JP5328814B2 - Plasma processing apparatus and plasma CVD film forming method - Google Patents

Plasma processing apparatus and plasma CVD film forming method Download PDF

Info

Publication number
JP5328814B2
JP5328814B2 JP2010545740A JP2010545740A JP5328814B2 JP 5328814 B2 JP5328814 B2 JP 5328814B2 JP 2010545740 A JP2010545740 A JP 2010545740A JP 2010545740 A JP2010545740 A JP 2010545740A JP 5328814 B2 JP5328814 B2 JP 5328814B2
Authority
JP
Japan
Prior art keywords
substrate
space
shower plate
pressure
plate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2010545740A
Other languages
Japanese (ja)
Other versions
JPWO2010079738A1 (en
Inventor
貞次 若松
厚治 亀崎
正志 菊池
洋介 神保
謙次 江藤
伸 浅利
寛人 内田
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Ulvac Inc
Original Assignee
Ulvac Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Ulvac Inc filed Critical Ulvac Inc
Priority to JP2010545740A priority Critical patent/JP5328814B2/en
Publication of JPWO2010079738A1 publication Critical patent/JPWO2010079738A1/en
Application granted granted Critical
Publication of JP5328814B2 publication Critical patent/JP5328814B2/en
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/509Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using internal electrodes
    • C23C16/5096Flat-bed apparatus
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32091Radio frequency generated discharge the radio frequency energy being capacitively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32623Mechanical discharge control means
    • H01J37/32633Baffles
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • H01J37/32724Temperature
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/20Positioning, supporting, modifying or maintaining the physical state of objects being observed or treated
    • H01J2237/2001Maintaining constant desired temperature
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02532Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD

Description

本発明は、プラズマ処理装置及びプラズマCVD成膜方法に関する。
本願は、2009年1月9日に出願された特願2009−004024号に基づき優先権を主張し、その内容をここに援用する。
The present invention relates to a plasma processing apparatus and a plasma CVD film forming method.
This application claims priority based on Japanese Patent Application No. 2009-004024 for which it applied on January 9, 2009, and uses the content here.

従来から、プラズマを用いて原料ガスを分解し、例えば、基板の被成膜面に薄膜を形成するプラズマ処理装置が知られている。このプラズマ処理装置においては、例えば、複数の噴出口を有するシャワープレートによって、チャンバ内の空間が、基板が配置される成膜空間(反応室)と、原料ガスが導入されるガス導入空間とに分けられている。また、チャンバには、高周波電源が接続されており、シャワープレートがカソード電極として機能している。ガス導入空間に導入されたガスは、シャワープレートの各噴出口から均一に成膜空間に噴出される。このとき、成膜空間内に原料ガスのプラズマが発生し、プラズマによって分解された原料ガスが基板の被成膜面に到達し、所望の膜が基板上に形成される。   2. Description of the Related Art Conventionally, a plasma processing apparatus that decomposes a source gas using plasma and forms a thin film on a deposition surface of a substrate is known. In this plasma processing apparatus, for example, by a shower plate having a plurality of jet nozzles, the space in the chamber is divided into a film formation space (reaction chamber) in which the substrate is arranged and a gas introduction space into which the source gas is introduced. It is divided. The chamber is connected to a high frequency power source, and the shower plate functions as a cathode electrode. The gas introduced into the gas introduction space is uniformly ejected from the respective ejection ports of the shower plate into the film formation space. At this time, plasma of the source gas is generated in the deposition space, the source gas decomposed by the plasma reaches the deposition surface of the substrate, and a desired film is formed on the substrate.

このようなガス導入空間に関し、シャワープレートとガス導入口との間にガス分散板が設けられ、ガス分散板とシャワープレートとの間にガス分散空間が形成された技術が開示されている。この技術においては、ガス分散空間を形成することにより、シャワープレート全体からより均一に原料ガスを噴出させる試みがなされている(例えば、特許文献1参照)。   With regard to such a gas introduction space, a technique is disclosed in which a gas dispersion plate is provided between a shower plate and a gas introduction port, and a gas dispersion space is formed between the gas dispersion plate and the shower plate. In this technique, an attempt is made to eject the source gas more uniformly from the entire shower plate by forming a gas dispersion space (see, for example, Patent Document 1).

特開2002−280377号公報JP 2002-280377 A

ところで、上述のプラズマ処理装置により処理される基板がLCD(Liquid Crystal Display;液晶表示ディスプレイ)用基板である場合、ガス導入空間と成膜空間との圧力差を大きく設定することができる。このため、シャワープレート全体から均一に原料ガスを噴出することができる。
これに対し、上述のプラズマ処理装置により処理される基板が例えば、太陽電池用基板である場合には、LCD用基板を処理する場合と比較してガス導入空間と成膜空間との圧力差が小さい。このため、シャワープレート全体から均一に原料ガスを噴出することが難しい。
By the way, when the substrate processed by the plasma processing apparatus described above is an LCD (Liquid Crystal Display) substrate, the pressure difference between the gas introduction space and the film formation space can be set large. For this reason, source gas can be uniformly ejected from the whole shower plate.
On the other hand, when the substrate to be processed by the above-described plasma processing apparatus is, for example, a solar cell substrate, the pressure difference between the gas introduction space and the film formation space is larger than that in the case of processing the LCD substrate. small. For this reason, it is difficult to uniformly eject the source gas from the entire shower plate.

即ち、太陽電池用基板にμc−Si(マイクロクリスタルシリコン)層を形成する場合、生産性の観点から成膜速度を高速化する必要がある。このように成膜速度を高速にするには、互いに対向する電極間の距離を狭めて(ナローギャップ)高圧枯渇法を行うことが有効である。
高圧枯渇法を用いて太陽電池用基板に成膜を行う場合、LCD用基板に膜を形成する場合よりも成膜空間内の圧力が高くなってしまう。
That is, when a μc-Si (microcrystal silicon) layer is formed on a solar cell substrate, it is necessary to increase the deposition rate from the viewpoint of productivity. In order to increase the deposition rate in this way, it is effective to perform the high pressure depletion method by narrowing the distance between the electrodes facing each other (narrow gap).
When the film is formed on the solar cell substrate using the high pressure depletion method, the pressure in the film formation space becomes higher than when the film is formed on the LCD substrate.

しかしながら、上述の従来技術においては、ガス分散板は、原料ガスをシャワープレート全体に均一に噴出するだけであり、このガス分散板を用いてガス導入空間の圧力と成膜空間の圧力との圧力差を大きくすることは難しい。
このため、ナローギャップによる高圧枯渇法を用いて基板の処理を行う場合、基板上に膜を均一に形成することが難しいという問題がある。
また、シャワープレートに形成されている噴出口の孔径を小さく設定し、ガス導入空間の圧力と成膜空間の圧力との圧力差を大きくすることも考えられる。しかしながら、この場合においては、小さい孔径を有する噴出口を加工することが困難であり、製造コストが増加するという問題がある。
However, in the above-described prior art, the gas dispersion plate only ejects the source gas uniformly over the entire shower plate, and the pressure between the pressure of the gas introduction space and the pressure of the film formation space using this gas dispersion plate. It is difficult to increase the difference.
For this reason, when processing a substrate using a high pressure depletion method using a narrow gap, there is a problem that it is difficult to form a film uniformly on the substrate.
It is also conceivable to reduce the diameter of the jet outlet formed in the shower plate and increase the pressure difference between the pressure in the gas introduction space and the pressure in the film formation space. However, in this case, it is difficult to process a jet port having a small hole diameter, and there is a problem that the manufacturing cost increases.

更に、シャワープレートの噴出口の数を減少させ、ガス導入空間の圧力と成膜空間の圧力との圧力差を大きくすることも考えられる。しかしながら、この場合においては、互いに隣接する噴出口の間の間隔が大きくなり、基板全体に均一に原料ガスを供給することが困難になるという問題がある。
また、ガス導入口の数を増加し、シャワープレート全体に原料ガスを均一に供給することも考えられる。しかしながら、この場合においては、カソード電極を加工するための工程数が増加し、生産性が低下する。また、ガス導入口の数の増加に伴って、カソード電極の機械強度が低下するという問題がある。 これに加え、各ガス導入口に均一に原料ガスを供給するガス供給系の数が増加し、生産コストが増大するという問題がある。
Furthermore, it is conceivable to increase the pressure difference between the pressure in the gas introduction space and the pressure in the film formation space by reducing the number of outlets of the shower plate. However, in this case, there is a problem in that it becomes difficult to uniformly supply the source gas to the entire substrate because the interval between the adjacent jet ports becomes large.
It is also conceivable to increase the number of gas inlets and supply the source gas uniformly to the entire shower plate. However, in this case, the number of steps for processing the cathode electrode increases, and the productivity decreases. Further, there is a problem that the mechanical strength of the cathode electrode is lowered with an increase in the number of gas inlets. In addition to this, there is a problem that the number of gas supply systems for uniformly supplying the raw material gas to each gas inlet increases and the production cost increases.

本発明は、ナローギャップによる高圧枯渇法を用いて基板の処理を行う場合において、製造コストの増大を抑制し、容易、かつ効率的に基板に膜を均一に形成することができ、電極強度を十分に確保できるプラズマ処理装置を提供する。   The present invention suppresses an increase in manufacturing cost when a substrate is processed using a high-pressure depletion method using a narrow gap, can easily and efficiently form a film uniformly on the substrate, and can increase the electrode strength. Provided is a plasma processing apparatus that can be sufficiently secured.

上記の課題を解決するために、本発明の第1態様のプラズマ処理装置は、チャンバと、ガス導入口を有する電極フランジと、前記チャンバ及び前記電極フランジによって挟まれた絶縁フランジとから構成され、反応室を有する処理室と、前記反応室内に収容され、処理面を有する基板が載置され、前記基板の温度を制御する支持部と、前記反応室内に収容され、前記処理面に対向するように配置され、前記基板に向けてプロセスガスを供給するシャワープレートと、前記電極フランジと前記シャワープレートとの間に設けられた空間を、前記ガス導入口側に形成される第一空間と、前記シャワープレート側に形成される第二空間とに分ける圧力調整プレートと、前記シャワープレートと前記支持部との間に電圧を印加し、前記プロセスガスのプラズマを生成する電圧印加部とを含み、前記基板と前記シャワープレートとの距離が3mm以上、10mm以下である。   In order to solve the above problems, the plasma processing apparatus according to the first aspect of the present invention includes a chamber, an electrode flange having a gas inlet, and an insulating flange sandwiched between the chamber and the electrode flange. A processing chamber having a reaction chamber, a substrate having a processing surface accommodated in the reaction chamber, a support unit for controlling the temperature of the substrate, and a reaction chamber accommodated in the reaction chamber so as to face the processing surface. A shower plate that supplies a process gas toward the substrate, a space provided between the electrode flange and the shower plate, a first space formed on the gas inlet side, and A voltage is applied between the pressure adjusting plate divided into the second space formed on the shower plate side, the shower plate and the support portion, and the process gas And a voltage application unit for generating plasma, the substrate and the distance between the shower plate 3mm or more and 10mm or less.

この構成によれば、ガス導入口側に形成される第一空間の圧力と、シャワープレート側に形成される第二空間の圧力との圧力差を大きく設定することができる。
このため、シャワープレートの両側の空間の圧力差、即ち、第二空間の圧力と反応室の圧力との圧力差が比較的小さくても、結果的に第一空間と反応室との圧力差を大きく設定することができる。
従って、プロセスガスを反応室内に均一に供給することが可能になり、製造コストの増大を抑制し、容易、かつ効率的に基板に膜を均一に形成することができる。
また、ガス導入口を複数設置する必要もないので、電極フランジの強度を十分確保できると共に生産性を向上させることができ、製造コストを抑えることが可能になる。
According to this configuration, the pressure difference between the pressure in the first space formed on the gas inlet side and the pressure in the second space formed on the shower plate side can be set large.
Therefore, even if the pressure difference between the two sides of the shower plate, that is, the pressure difference between the second space and the reaction chamber is relatively small, the pressure difference between the first space and the reaction chamber is consequently reduced. Can be set large.
Accordingly, the process gas can be uniformly supplied into the reaction chamber, and an increase in manufacturing cost can be suppressed, and a film can be formed uniformly and efficiently on the substrate.
In addition, since it is not necessary to install a plurality of gas inlets, the strength of the electrode flange can be sufficiently secured, the productivity can be improved, and the manufacturing cost can be suppressed.

本発明の第1態様のプラズマ処理装置においては、前記シャワープレートのコンダクタンスをAで表し、前記圧力調整プレートのコンダクタンスをBで表したとき、前記シャワープレート及び前記圧力調整プレートは、
0.05≦(B/A)≦0.2
を満たすように形成されていることが好ましい。
In the plasma processing apparatus of the first aspect of the present invention, when the conductance of the shower plate is represented by A and the conductance of the pressure adjustment plate is represented by B, the shower plate and the pressure adjustment plate are:
0.05 ≦ (B / A) ≦ 0.2
It is preferable that it is formed so as to satisfy.

ここで、コンダクタンスとは、各プレートに形成されているガス噴出口をプロセスガスが通過する際に生じる流路抵抗である。
即ち、コンダクタンスの大きさによって、圧力調整プレートの両側の空間の圧力差、及びシャワープレートの両側の空間の圧力差が決定される。
従って、第二空間の圧力と反応室の圧力との圧力差が比較的小さくても、第一空間の圧力と第二空間の圧力との圧力差を確実に大きく設定することができる。このため、シャワープレートから反応室内にプロセスガスを更に確実に均一に供給することが可能になる。このため、より確実に基板の処理面に安定した品質の膜を形成することが可能になる。
Here, the conductance is a flow resistance generated when the process gas passes through the gas jets formed in each plate.
That is, the pressure difference between the spaces on both sides of the pressure adjustment plate and the pressure difference between the spaces on both sides of the shower plate are determined according to the conductance.
Therefore, even if the pressure difference between the pressure in the second space and the pressure in the reaction chamber is relatively small, the pressure difference between the pressure in the first space and the pressure in the second space can be reliably set large. For this reason, it becomes possible to supply process gas more reliably and uniformly from the shower plate into the reaction chamber. For this reason, it is possible to more reliably form a stable quality film on the processing surface of the substrate.

上記の課題を解決するために、本発明の第2態様のプラズマCVD成膜方法は、圧力調整プレートとシャワープレートとを準備し、基板と前記シャワープレートとの距離を3mm以上、10mm以下に設定し、プロセスガスを前記圧力調整プレートに通過させた後に、前記シャワープレートを通過させ、前記基板と前記シャワープレートの間の空間に前記プロセスガスを供給し、前記基板と前記シャワープレートとの間にプラズマを発生させ、前記基板上に膜を形成する。
このような方法においては、製造コストの増大を抑制し、容易、かつ効率的に基板に膜を均一に形成することができる。
In order to solve the above problems, the plasma CVD film forming method of the second aspect of the present invention prepares a pressure adjustment plate and a shower plate, and sets the distance between the substrate and the shower plate to 3 mm or more and 10 mm or less. And after passing the process gas through the pressure adjusting plate, the process gas is passed through the shower plate, and the process gas is supplied to a space between the substrate and the shower plate, and between the substrate and the shower plate. Plasma is generated to form a film on the substrate.
In such a method, an increase in manufacturing cost can be suppressed, and a film can be uniformly formed on the substrate easily and efficiently.

本発明の第2態様のプラズマCVD成膜方法においては、前記圧力調整プレートの上流と下流との圧力差は、前記シャワープレートの上流と下流との圧力差よりも大きいことが好ましい。
このような方法においては、シャワープレートの上流と下流の圧力差が比較的小さくても圧力調整プレートの上流と下流の圧力差が比較的大きいので、確実にシャワープレートから反応室内にプロセスガスを均一に供給することが可能になる。
In the plasma CVD film forming method of the second aspect of the present invention, it is preferable that the pressure difference between the upstream and downstream of the pressure adjusting plate is larger than the pressure difference between the upstream and downstream of the shower plate.
In such a method, even if the pressure difference between the upstream and downstream of the shower plate is relatively small, the pressure difference between the upstream and downstream of the pressure adjusting plate is relatively large. It becomes possible to supply to.

本発明の第2態様のプラズマCVD成膜方法においては、前記プロセスガスは、シリコン化合物と水素とを含み、前記基板上に供給される前記水素の量を前記基板上に供給される前記シリコン化合物の量より多くして前記プロセスガスを供給することにより、前記基板上にマイクロクリスタルシリコンを含む膜を形成することが好ましい。
このような方法においては、マイクロクリスタルシリコンを含む膜を好適に形成することができる。
In the plasma CVD film forming method of the second aspect of the present invention, the process gas includes a silicon compound and hydrogen, and the silicon compound supplied on the substrate is supplied with an amount of hydrogen supplied on the substrate. It is preferable that a film containing microcrystal silicon is formed on the substrate by supplying the process gas in an amount larger than the above-described amount.
In such a method, a film containing microcrystal silicon can be suitably formed.

本発明の第2態様のプラズマCVD成膜方法においては、前記シャワープレートに27.12MHzの高周波電圧を印加することによって前記プラズマを発生させることが好ましい。
このような方法においては、基板に安定した品質の膜を形成することが可能になる。
In the plasma CVD film forming method of the second aspect of the present invention, it is preferable to generate the plasma by applying a high frequency voltage of 27.12 MHz to the shower plate.
In such a method, a stable quality film can be formed on the substrate.

本発明によれば、ナローギャップによる高圧枯渇法を用いて基板の処理を行う場合において、ガス導入口側に形成される第一空間の圧力と、シャワープレート側に形成される第二空間の圧力との圧力差を大きく設定することができる。
このため、シャワープレートの両側において第二空間の圧力と反応室の圧力との圧力差が比較的小さくても、第一空間と反応室との圧力差を大きく設定することができる。
従って、プロセスガスを反応室に均一に供給することが可能になり、製造コストの増大を抑制し、容易、かつ効率的に基板に膜を均一に形成することができる。
また、ガス導入口を複数設置する必要もないので、電極フランジの強度を十分確保できると共に生産性を向上させることができ、製造コストを抑えることが可能になる。
According to the present invention, when processing a substrate using a high pressure depletion method using a narrow gap, the pressure of the first space formed on the gas inlet side and the pressure of the second space formed on the shower plate side Can be set large.
For this reason, even if the pressure difference between the pressure in the second space and the pressure in the reaction chamber is relatively small on both sides of the shower plate, the pressure difference between the first space and the reaction chamber can be set large.
Therefore, it becomes possible to uniformly supply the process gas to the reaction chamber, suppress an increase in manufacturing cost, and form a film on the substrate easily and efficiently.
In addition, since it is not necessary to install a plurality of gas inlets, the strength of the electrode flange can be sufficiently secured, the productivity can be improved, and the manufacturing cost can be suppressed.

本発明の実施形態におけるプラズマ処理装置の構成を示す概略断面図である。It is a schematic sectional drawing which shows the structure of the plasma processing apparatus in embodiment of this invention. 本発明の実施形態におけるプラズマ処理装置における作用を説明するための図である。It is a figure for demonstrating the effect | action in the plasma processing apparatus in embodiment of this invention. 本発明の実施形態におけるシャワープレート及び圧力プレートのガス噴出口の孔寸法を示す表である。It is a table | surface which shows the hole dimension of the gas jet nozzle of the shower plate and pressure plate in embodiment of this invention. 本発明の実施例におけるプラズマ処理装置の稼働条件を示す表である。It is a table | surface which shows the operating conditions of the plasma processing apparatus in the Example of this invention. 本発明の実施例における第一空間,第二空間,及び成膜空間の圧力を示す表である。It is a table | surface which shows the pressure of the 1st space in the Example of this invention, 2nd space, and film-forming space. 本発明の実施例のプラズマ処理装置を用いて得られた膜厚分布と、従来のプラズマ処理装置を用いて得られた膜厚分布との比較表である。It is a comparison table | surface of the film thickness distribution obtained using the plasma processing apparatus of the Example of this invention, and the film thickness distribution obtained using the conventional plasma processing apparatus.

以下、本発明に係るプラズマ処理装置の実施形態を図面に基づき説明する。
また、以下の説明に用いる各図においては、各構成要素を図面上で認識し得る程度の大きさとするため、各構成要素の寸法及び比率を実際のものとは適宜に異ならせてある。
また、本実施形態においては、プラズマCVD法を用いた成膜装置を説明する。
Hereinafter, embodiments of a plasma processing apparatus according to the present invention will be described with reference to the drawings.
In the drawings used for the following description, the dimensions and ratios of the respective components are appropriately changed from the actual ones in order to make the respective components large enough to be recognized on the drawings.
In this embodiment, a film forming apparatus using a plasma CVD method will be described.

図1は、本実施形態におけるプラズマ処理装置1の構成を示す概略断面図である。
図1に示すように、プラズマ処理装置1は、反応室である成膜空間2aを有する処理室101を含む。処理室101は、真空チャンバ2と、電極フランジ4と、絶縁フランジ81とを含む。絶縁フランジ81は、真空チャンバ2及び電極フランジ4に挟まれている。
FIG. 1 is a schematic cross-sectional view showing a configuration of a plasma processing apparatus 1 in the present embodiment.
As shown in FIG. 1, the plasma processing apparatus 1 includes a processing chamber 101 having a film formation space 2a that is a reaction chamber. The processing chamber 101 includes a vacuum chamber 2, an electrode flange 4, and an insulating flange 81. The insulating flange 81 is sandwiched between the vacuum chamber 2 and the electrode flange 4.

真空チャンバ2の底部11には、開口部が形成されている。この開口部には支柱25が挿通され、支柱25は真空チャンバ2の下部に配置されている。支柱25の先端(真空チャンバ2内)には、板状のヒータ15(支持部,第二電極部)が接続されている。また、真空チャンバ2には、排気管27が接続されている。排気管27の先端には、真空ポンプ28が設けられている。真空ポンプ28は、真空チャンバ2内が真空状態となるように減圧する。   An opening is formed in the bottom 11 of the vacuum chamber 2. A support column 25 is inserted into the opening, and the support column 25 is disposed in the lower portion of the vacuum chamber 2. A plate-like heater 15 (support portion, second electrode portion) is connected to the tip of the support column 25 (in the vacuum chamber 2). Further, an exhaust pipe 27 is connected to the vacuum chamber 2. A vacuum pump 28 is provided at the tip of the exhaust pipe 27. The vacuum pump 28 reduces the pressure so that the inside of the vacuum chamber 2 is in a vacuum state.

また、支柱25は、真空チャンバ2の外部に設けられた昇降機構(不図示)に接続されており、基板10の鉛直方向において上下に移動可能である。つまり、支柱25の先端に接続されているヒータ15は、上下方向に昇降可能に構成されている。また、真空チャンバ2の外部においては、支柱25の外周を覆うようにベローズ(不図示)が設けられている。   The support column 25 is connected to an elevating mechanism (not shown) provided outside the vacuum chamber 2 and can move up and down in the vertical direction of the substrate 10. That is, the heater 15 connected to the tip of the support column 25 is configured to be able to move up and down in the vertical direction. A bellows (not shown) is provided outside the vacuum chamber 2 so as to cover the outer periphery of the support column 25.

電極フランジ4は、上壁41と周壁43とを有する。電極フランジ4は、開口部が基板10の鉛直方向において下方に位置するように配置されている。また、電極フランジ4の開口部には、シャワープレート5(第一電極部)が取り付けられている。これにより、電極フランジ4とシャワープレート5との間に空間24が形成されている。
また、電極フランジ4は、シャワープレート5と対向する上壁41を有する。上壁41には、ガス導入口42が設けられている。
また、処理室101の外部に設けられたプロセスガス供給部21とガス導入口42との間には、ガス導入管7が設けられている。ガス導入管7の一端は、ガス導入口42に接続され、他端は、プロセスガス供給部21に接続されている。ガス導入管7を通じて、プロセスガス供給部21から空間24にプロセスガスが供給される。即ち、空間24は、プロセスガスが導入されるガス導入空間として機能する。
The electrode flange 4 has an upper wall 41 and a peripheral wall 43. The electrode flange 4 is disposed so that the opening is positioned below in the vertical direction of the substrate 10. A shower plate 5 (first electrode portion) is attached to the opening of the electrode flange 4. Thereby, a space 24 is formed between the electrode flange 4 and the shower plate 5.
The electrode flange 4 has an upper wall 41 that faces the shower plate 5. A gas inlet 42 is provided in the upper wall 41.
In addition, a gas introduction pipe 7 is provided between the process gas supply unit 21 and the gas introduction port 42 provided outside the processing chamber 101. One end of the gas introduction pipe 7 is connected to the gas introduction port 42, and the other end is connected to the process gas supply unit 21. Process gas is supplied from the process gas supply unit 21 to the space 24 through the gas introduction pipe 7. That is, the space 24 functions as a gas introduction space into which process gas is introduced.

電極フランジ4とシャワープレート5は、それぞれ導電材で構成されており、電極フランジ4は処理室101の外部に設けられたRF電源9(高周波電源,電圧印加部)に電気的に接続されている。即ち、電極フランジ4、及びシャワープレート5はカソード電極71として構成されている。シャワープレート5には、複数のガス噴出口6(第二ガス噴出口)が形成されている。空間24内に導入されたプロセスガスは、ガス噴出口6から真空チャンバ2内の成膜空間2aに噴出される。   The electrode flange 4 and the shower plate 5 are each made of a conductive material, and the electrode flange 4 is electrically connected to an RF power source 9 (high frequency power source, voltage application unit) provided outside the processing chamber 101. . That is, the electrode flange 4 and the shower plate 5 are configured as the cathode electrode 71. A plurality of gas jets 6 (second gas jets) are formed in the shower plate 5. The process gas introduced into the space 24 is ejected from the gas ejection port 6 into the film formation space 2 a in the vacuum chamber 2.

ここで、電極フランジ4の周壁43においては、上壁41とシャワープレート5との間に、圧力調整プレート51が設けられている。この圧力調整プレート51によって、ガス導入口42側に形成される第一空間24aとシャワープレート5側に形成される第二空間24bとに、空間24が分けられている。
圧力調整プレート51は、電極フランジ4と同様に導電材で板状に形成されている。圧力調整プレート51には、複数のガス噴出口61(第一ガス噴出口)が形成されている。
即ち、プロセスガス供給部21からガス導入管7及びガス導入口42を通じて第一空間24aに導入されたプロセスガスは、圧力調整プレート51のガス噴出口61を通じて第二空間24bに噴出される。その後、第二空間24b内のプロセスガスは、シャワープレート5のガス噴出口6を通じて、真空チャンバ2内に噴出される。
このことから、第一空間24aは圧力調整プレート51の上流側の空間であり、第二空間24bは圧力調整プレート51の下流側の空間である。
また、第二空間24bはシャワープレート5の上流側の空間であり、真空チャンバ2内はシャワープレート5の下流側の空間である。
Here, a pressure adjusting plate 51 is provided between the upper wall 41 and the shower plate 5 in the peripheral wall 43 of the electrode flange 4. The pressure adjusting plate 51 divides the space 24 into a first space 24a formed on the gas inlet 42 side and a second space 24b formed on the shower plate 5 side.
Similar to the electrode flange 4, the pressure adjustment plate 51 is formed in a plate shape with a conductive material. The pressure adjusting plate 51 has a plurality of gas outlets 61 (first gas outlets).
That is, the process gas introduced into the first space 24 a from the process gas supply unit 21 through the gas introduction pipe 7 and the gas introduction port 42 is ejected to the second space 24 b through the gas ejection port 61 of the pressure adjustment plate 51. Thereafter, the process gas in the second space 24 b is ejected into the vacuum chamber 2 through the gas ejection port 6 of the shower plate 5.
Therefore, the first space 24 a is a space on the upstream side of the pressure adjustment plate 51, and the second space 24 b is a space on the downstream side of the pressure adjustment plate 51.
The second space 24 b is a space on the upstream side of the shower plate 5, and the inside of the vacuum chamber 2 is a space on the downstream side of the shower plate 5.

また、第一空間24aと第二空間24bとの間に圧力調整プレート51が設けられ、第二空間24bと真空チャンバ2内の成膜空間2aとの間にシャワープレート5が設けられているので、第一空間24aの圧力よりも第二空間24bの圧力が低く、第二空間24bの圧力よりも成膜空間2aの圧力Peが低い。即ち、上流側から下流側に向けて徐々に圧力が低くなっている。
ここで、第二空間24bと成膜空間2aとの間に圧力差を生じさせるシャワープレート5のコンダクタンスをAと表し、第一空間24aと第二空間24bとの間に圧力差を生じさせる圧力調整プレート51のコンダクタンスをBと表したとき、本実施形態のシャワープレート5及び圧力調整プレート51は、
0.05≦(B/A)≦0.2・・・(1)
を満たすように形成されている。
Further, the pressure adjustment plate 51 is provided between the first space 24 a and the second space 24 b, and the shower plate 5 is provided between the second space 24 b and the film formation space 2 a in the vacuum chamber 2. The pressure in the second space 24b is lower than the pressure in the first space 24a, and the pressure Pe in the film formation space 2a is lower than the pressure in the second space 24b. That is, the pressure gradually decreases from the upstream side toward the downstream side.
Here, the conductance of the shower plate 5 that generates a pressure difference between the second space 24b and the film formation space 2a is represented by A, and the pressure that generates a pressure difference between the first space 24a and the second space 24b. When the conductance of the adjustment plate 51 is represented as B, the shower plate 5 and the pressure adjustment plate 51 of this embodiment are
0.05 ≦ (B / A) ≦ 0.2 (1)
It is formed to satisfy.

より具体的に、圧力調整プレート51及びシャワープレート5の各々のコンダクタンスは、ガス噴出口6,61が形成されている個数、ガス噴出口6,61の孔径、ガス噴出口6,61の孔深さ、つまり、各プレート5,51の厚さにより決めることができる。
また、一般的に、流量Qを圧力差ΔPで割ることによってコンダクタンスCが求められることが知られている。ここで、圧力差ΔPとは、2つの空間の圧力差を意味する。
そのため、第一空間24aの圧力をP1で表し、第二空間24bの圧力をP2で表し、成膜空間2aの圧力をPeで表すと、次の等式が成り立つ。
Q=B(P1−P2)=A(P2−Pe)
なお、流量Qは一定であるため、第一空間24a,第二空間24b,及び成膜空間2aの圧力に基づいて、上記のコンダクタンスA,Bが求められる。
更に、式(1)を満たすようにシャワープレート5及び圧力調整プレート51が形成されているので、圧力調整プレート51のコンダクタンスBは、シャワープレート5のコンダクタンスAの5%以上かつ20%以下である。
More specifically, the conductance of each of the pressure adjustment plate 51 and the shower plate 5 includes the number of the gas ejection ports 6 and 61, the hole diameter of the gas ejection ports 6 and 61, and the hole depth of the gas ejection ports 6 and 61. That is, it can be determined by the thickness of each plate 5, 51.
Further, it is generally known that the conductance C is obtained by dividing the flow rate Q by the pressure difference ΔP. Here, the pressure difference ΔP means a pressure difference between the two spaces.
Therefore, when the pressure of the first space 24a is represented by P1, the pressure of the second space 24b is represented by P2, and the pressure of the film formation space 2a is represented by Pe, the following equation is established.
Q = B (P1-P2) = A (P2-Pe)
Since the flow rate Q is constant, the conductances A and B are obtained based on the pressures in the first space 24a, the second space 24b, and the film formation space 2a.
Furthermore, since the shower plate 5 and the pressure adjustment plate 51 are formed so as to satisfy the expression (1), the conductance B of the pressure adjustment plate 51 is 5% or more and 20% or less of the conductance A of the shower plate 5. .

このことから、例えば、圧力調整プレート51に形成されているガス噴出口61の個数は、シャワープレート5に形成されているガス噴出口6の個数よりも少くなるように設定されている(詳細は後述する)。
このようにシャワープレート5及び圧力調整プレート51を形成することにより、第一空間24aの圧力P1と第二空間24bの圧力P2との圧力差を大きくすることができる。例えば、第一空間24aの圧力を1680(pa)に設定したとき、第二空間24bの圧力を812(Pa)程度に設定できる。
このため、第二空間24bと成膜空間2aの圧力Peとの圧力差が比較的小さくても、結果的に第一空間24aの圧力P1と成膜空間2aの圧力Peとの圧力差を大きく設定することができる。このため、成膜空間2aにプロセスガスを均一に供給することが可能になる。
From this, for example, the number of gas jets 61 formed in the pressure adjustment plate 51 is set to be smaller than the number of gas jets 6 formed in the shower plate 5 (for details, see FIG. Will be described later).
By forming the shower plate 5 and the pressure adjusting plate 51 in this way, the pressure difference between the pressure P1 in the first space 24a and the pressure P2 in the second space 24b can be increased. For example, when the pressure of the first space 24a is set to 1680 (pa), the pressure of the second space 24b can be set to about 812 (Pa).
For this reason, even if the pressure difference between the second space 24b and the pressure Pe in the film formation space 2a is relatively small, as a result, the pressure difference between the pressure P1 in the first space 24a and the pressure Pe in the film formation space 2a is increased. Can be set. For this reason, it becomes possible to supply process gas uniformly to the film-forming space 2a.

次に、コンダクタンスBがコンダクタンスAの5%未満である場合(0.05>(B/A))について説明する。例えば、圧力調整プレート51のガス噴出口61の直径が0.5mmに設定されていると、0.05>(B/A)の条件を有するコンダクタンスを得るにはガス噴出口61の個数が著しく少なくする必要がある。このため、ガス噴出口61の各々を流動するプロセスガスの流速が著しく大きくなり、結果としてこのように形成されたガス噴出口61に依存して、プロセスガスを均一に供給することが困難になる。
次に、コンダクタンスBがコンダクタンスAの20%を超える場合((B/A)>0.2)について説明する。このような条件を有するコンダクタンスを得るにはガス噴出口61の個数を増加させる必要がある。この場合、圧力調整プレート51による圧力調整の効果が減少し、結果として第一空間24aと第二空間24bとの圧力差が小さくなり、プロセスガスを均一に供給することを行うことが困難になる。
上述した理由に基づき、本実施形態においては、圧力調整プレート51のコンダクタンスBは、シャワープレート5のコンダクタンスAの5%以上かつ20%以下に設定されている。
Next, a case where conductance B is less than 5% of conductance A (0.05> (B / A)) will be described. For example, when the diameter of the gas outlet 61 of the pressure adjusting plate 51 is set to 0.5 mm, the number of the gas outlets 61 is remarkably large in order to obtain conductance having a condition of 0.05> (B / A). There is a need to reduce it. For this reason, the flow velocity of the process gas flowing through each of the gas jet ports 61 is remarkably increased, and as a result, it becomes difficult to uniformly supply the process gas depending on the gas jet ports 61 thus formed. .
Next, a case where conductance B exceeds 20% of conductance A ((B / A)> 0.2) will be described. In order to obtain conductance having such conditions, it is necessary to increase the number of gas outlets 61. In this case, the effect of pressure adjustment by the pressure adjustment plate 51 is reduced, and as a result, the pressure difference between the first space 24a and the second space 24b is reduced, and it is difficult to uniformly supply the process gas. .
Based on the above-described reason, in this embodiment, the conductance B of the pressure adjustment plate 51 is set to 5% or more and 20% or less of the conductance A of the shower plate 5.

また、真空チャンバ2の成膜空間2aには、ガス導入管7とは異なるガス導入管8が接続されている。
ガス導入管8にはフッ素ガス供給部22とラジカル源23とが設けられている。
ラジカル源23は、フッ素ガス供給部22から供給されたフッ素ガスを分解する。ガス導入管8は、フッ素ガスが分解されて得られたフッ素ラジカルを、真空チャンバ2内の成膜空間2aに供給する。
Further, a gas introduction pipe 8 different from the gas introduction pipe 7 is connected to the film forming space 2 a of the vacuum chamber 2.
The gas introduction pipe 8 is provided with a fluorine gas supply unit 22 and a radical source 23.
The radical source 23 decomposes the fluorine gas supplied from the fluorine gas supply unit 22. The gas introduction pipe 8 supplies fluorine radicals obtained by decomposing fluorine gas to the film formation space 2 a in the vacuum chamber 2.

ヒータ15は、表面が平坦に形成された板状の部材である。ヒータ15の上面には、基板10が載置される。ヒータ15は、接地電極、つまりアノード電極72として機能する。このため、ヒータ15は、導電性を有する、例えば、アルミニウム合金で形成されている。基板10がヒータ15上に配置されると、基板10とシャワープレート5とは互いに近接して平行に位置される。より具体的には、基板10の処理面10aとシャワープレート5との間の距離(ギャップ)G1は、3mm以上10mm以下のナローギャップに設定されている。   The heater 15 is a plate-like member having a flat surface. The substrate 10 is placed on the upper surface of the heater 15. The heater 15 functions as a ground electrode, that is, an anode electrode 72. For this reason, the heater 15 is made of, for example, an aluminum alloy having conductivity. When the substrate 10 is disposed on the heater 15, the substrate 10 and the shower plate 5 are positioned close to each other and in parallel. More specifically, the distance (gap) G1 between the processing surface 10a of the substrate 10 and the shower plate 5 is set to a narrow gap of 3 mm or more and 10 mm or less.

なお、距離G1が3mmよりも小さい場合、シャワープレート5に形成されているガス噴出口6の最小(限界)孔径が0.3mmに設定されているとき、基板10の処理面10aに形成される膜の品質がシャワープレート5のガス噴出口6の孔径の影響を受けるおそれがある。また、距離G1が10mmよりも大きい場合、成膜時にパウダーが生じるおそれがある。   When the distance G1 is smaller than 3 mm, the gas hole 6 formed in the shower plate 5 is formed on the processing surface 10a of the substrate 10 when the minimum (limit) hole diameter is set to 0.3 mm. The quality of the film may be affected by the hole diameter of the gas outlet 6 of the shower plate 5. Further, when the distance G1 is larger than 10 mm, powder may be generated during film formation.

ヒータ15上に基板10が配置された状態で、ガス噴出口6からプロセスガスを噴出させると、プロセスガスは基板10の処理面10a上の空間に供給される。
また、ヒータ15の内部にはヒータ線16が設けられている。ヒータ線16によってヒータ15の温度が所定の温度に調整される。ヒータ線16は、ヒータ15の鉛直方向から見たヒータ15の略中央部の裏面17から突出されている。ヒータ線16は、ヒータ15の略中央部に形成された貫通孔18及び支柱25の内部に挿通され、真空チャンバ2の外部へと導かれている。
When the process gas is ejected from the gas ejection port 6 in a state where the substrate 10 is disposed on the heater 15, the process gas is supplied to the space on the processing surface 10 a of the substrate 10.
A heater wire 16 is provided inside the heater 15. The temperature of the heater 15 is adjusted to a predetermined temperature by the heater wire 16. The heater wire 16 protrudes from a back surface 17 at a substantially central portion of the heater 15 as viewed from the vertical direction of the heater 15. The heater wire 16 is inserted into a through hole 18 and a support column 25 formed in the substantially central portion of the heater 15 and led to the outside of the vacuum chamber 2.

そして、ヒータ線16は真空チャンバ2の外部にて電源(不図示)と接続され、ヒータ15の温度を調節する。
更に、ヒータ15の外周縁には、ヒータ15と真空チャンバ2との間を接続するように複数のアース30が略等間隔で配設されている。アース30は、例えば、ニッケル系合金又はアルミ合金などで構成されている。
The heater wire 16 is connected to a power source (not shown) outside the vacuum chamber 2 to adjust the temperature of the heater 15.
Further, a plurality of grounds 30 are arranged at substantially equal intervals on the outer peripheral edge of the heater 15 so as to connect between the heater 15 and the vacuum chamber 2. The ground 30 is made of, for example, a nickel-based alloy or an aluminum alloy.

次に、図2に基づいて、プラズマ処理装置1を用いて基板10の処理面10aに膜を形成する場合の作用について説明する。
まず、真空ポンプ28を用いて真空チャンバ2内を減圧する。
真空チャンバ2内が真空に維持された状態で、基板10は真空チャンバ2内の成膜空間2aに搬入され、ヒータ15上に載置される。
ここで、基板10を載置する前は、ヒータ15は真空チャンバ2内の下方に位置している。つまり、基板10が搬入される前においては、ヒータ15とシャワープレート5との間隔が広くなっているので、ロボットアーム(不図示)を用いて基板10をヒータ15上に容易に載置することができる。
Next, based on FIG. 2, an operation when a film is formed on the processing surface 10 a of the substrate 10 using the plasma processing apparatus 1 will be described.
First, the vacuum chamber 2 is depressurized using the vacuum pump 28.
The substrate 10 is carried into the film formation space 2 a in the vacuum chamber 2 and placed on the heater 15 while the inside of the vacuum chamber 2 is maintained in vacuum.
Here, before the substrate 10 is placed, the heater 15 is positioned below the vacuum chamber 2. In other words, since the space between the heater 15 and the shower plate 5 is wide before the substrate 10 is carried in, the substrate 10 can be easily placed on the heater 15 using a robot arm (not shown). Can do.

基板10がヒータ15上に載置された後には、昇降機構(不図示)が起動し、支柱25が上方へ押し上げられ、ヒータ15上に載置された基板10も上方へ移動する。これによって、適切に成膜を行うために必要な間隔になるようにシャワープレート5と基板10との間隔が所望に決定され、この間隔が維持される。ここで、シャワープレート5と基板10と間隔は、基板10上に膜を形成するために適した距離に保持される。具体的に、基板10の処理面10aとシャワープレート5との間の距離G1は、3mm以上10mm以下であるナローギャップに設定される。   After the substrate 10 is placed on the heater 15, an elevating mechanism (not shown) is activated, the column 25 is pushed upward, and the substrate 10 placed on the heater 15 also moves upward. As a result, the interval between the shower plate 5 and the substrate 10 is determined as desired so that the interval necessary for proper film formation is achieved, and this interval is maintained. Here, the distance between the shower plate 5 and the substrate 10 is maintained at a distance suitable for forming a film on the substrate 10. Specifically, the distance G1 between the processing surface 10a of the substrate 10 and the shower plate 5 is set to a narrow gap that is 3 mm or more and 10 mm or less.

その後、プロセスガス供給部21からガス導入管7及びガス導入口42を介して第一空間24aにプロセスガスが導入される。第一空間24aにプロセスガスが充満され、圧力調整プレート51のガス噴出口61を通って第二空間24bにプロセスガスが供給される。
このとき、第二空間24bの圧力P2は、第一空間24aの圧力P1と比較して圧力調整プレート51のコンダクタンスBによって減少される。
続いて、第二空間24bにプロセスガスが充満され、シャワープレート5のガス噴出口6を通って真空チャンバ2内の成膜空間2aにプロセスガスが供給される。
このとき、成膜空間2aの圧力Peは、シャワープレート5のコンダクタンスAによって減少される。
Thereafter, the process gas is introduced into the first space 24 a from the process gas supply unit 21 through the gas introduction pipe 7 and the gas introduction port 42. The first space 24 a is filled with the process gas, and the process gas is supplied to the second space 24 b through the gas outlet 61 of the pressure adjustment plate 51.
At this time, the pressure P2 in the second space 24b is reduced by the conductance B of the pressure adjusting plate 51 as compared with the pressure P1 in the first space 24a.
Subsequently, the second space 24 b is filled with a process gas, and the process gas is supplied to the film formation space 2 a in the vacuum chamber 2 through the gas outlet 6 of the shower plate 5.
At this time, the pressure Pe in the film formation space 2 a is reduced by the conductance A of the shower plate 5.

上述したように、圧力調整プレート51のコンダクタンスB及びシャワープレート5のコンダクタンスAは、式(1)を満たすように設定されている。このため、第一空間24aの圧力P1と第二空間24bの圧力P2との差は比較的大きくなり、真空チャンバ2内の成膜空間2aの圧力Peと第二空間24bの圧力P2との差は比較的小さくなる。従って、このように圧力P1と圧力P2との圧力差が大きいため、圧力調整プレート51を通じて第二空間24bに供給されるプロセスガスは、全体的に均一に噴出される。一方、成膜空間2aと第二空間24bとにおいては、圧力P2と圧力Peとの圧力差が小さいが、圧力調整プレート51からプロセスガスが均一に供給されているので、シャワープレート5から成膜空間2aに向けてプロセスガスを均一に供給することができる。   As described above, the conductance B of the pressure adjustment plate 51 and the conductance A of the shower plate 5 are set so as to satisfy Expression (1). For this reason, the difference between the pressure P1 in the first space 24a and the pressure P2 in the second space 24b becomes relatively large, and the difference between the pressure Pe in the film formation space 2a in the vacuum chamber 2 and the pressure P2 in the second space 24b. Is relatively small. Therefore, since the pressure difference between the pressure P1 and the pressure P2 is large in this way, the process gas supplied to the second space 24b through the pressure adjustment plate 51 is ejected uniformly throughout. On the other hand, in the film formation space 2a and the second space 24b, the pressure difference between the pressure P2 and the pressure Pe is small, but since the process gas is uniformly supplied from the pressure adjustment plate 51, the film formation from the shower plate 5 is performed. The process gas can be uniformly supplied toward the space 2a.

次に、RF電源9を起動して電極フランジ4に高周波電圧を印加する。
このとき、電極フランジ4は絶縁フランジ81を介して真空チャンバ2とは電気的に絶縁されている。また、真空チャンバ2は、接地されている。
このような構造において、シャワープレート5とヒータ15との間に高周波電圧が印加されて放電が生じ、電極フランジ4に設けられているシャワープレート5と基板10の処理面10aとの間にプラズマが発生する。
こうして発生したプラズマ内でプロセスガスが分解され、プラズマ状態のプロセスガスが得られ、基板10の処理面10aで気相成長反応が生じ、薄膜が処理面10a上に成膜される。
なお、高周波電圧は、電極フランジ4の外表面を通ってシャワープレート5に伝達されるので、圧力調整プレート51に高周波電圧が印加されるおそれはない。
Next, the RF power source 9 is activated to apply a high frequency voltage to the electrode flange 4.
At this time, the electrode flange 4 is electrically insulated from the vacuum chamber 2 via the insulating flange 81. The vacuum chamber 2 is grounded.
In such a structure, a high frequency voltage is applied between the shower plate 5 and the heater 15 to generate a discharge, and plasma is generated between the shower plate 5 provided on the electrode flange 4 and the processing surface 10a of the substrate 10. Occur.
The process gas is decomposed in the plasma thus generated to obtain a plasma process gas, a vapor phase growth reaction occurs on the processing surface 10a of the substrate 10, and a thin film is formed on the processing surface 10a.
Since the high frequency voltage is transmitted to the shower plate 5 through the outer surface of the electrode flange 4, there is no possibility that the high frequency voltage is applied to the pressure adjustment plate 51.

また、上記のような成膜工程が何度か繰り返されると、真空チャンバ2の内壁面33などに成膜材料が付着するため、真空チャンバ2内は定期的にクリーニングされる。クリーニング工程においては、フッ素ガス供給部22から供給されたフッ素ガスがラジカル源23によって分解され、フッ素ラジカルが生じ、フッ素ラジカルが真空チャンバ2に接続されたガス導入管8を通り、真空チャンバ2内に供給される。このように真空チャンバ2内の成膜空間2aにフッ素ラジカルを供給することによって、化学反応が生じ、成膜空間2aの周囲に配置された部材又は真空チャンバ2の内壁面に付着された付着物が除去される。   Further, when the film forming process as described above is repeated several times, the film forming material adheres to the inner wall surface 33 and the like of the vacuum chamber 2, so that the inside of the vacuum chamber 2 is periodically cleaned. In the cleaning process, the fluorine gas supplied from the fluorine gas supply unit 22 is decomposed by the radical source 23 to generate fluorine radicals. To be supplied. By supplying fluorine radicals to the film formation space 2a in the vacuum chamber 2 in this way, a chemical reaction occurs, and deposits attached to the members disposed around the film formation space 2a or the inner wall surface of the vacuum chamber 2 Is removed.

次に、図1、図3〜図6に基づいて、本発明の実施例を具体的に示して説明する。なお、本発明は、以下に説明する実施例に限定されない。
図3は、シャワープレート5のガス噴出口6及び圧力調整プレート51のガス噴出口61の孔径(mm),孔深さ(mm),及び孔ピッチ(mm)を示す表である。図4は、プラズマ処理装置1を構成する部品のサイズ及び稼働条件を示す表である。図5は、高圧枯渇法によって基板10の処理面10aにμc−Si(マイクロクリスタルシリコン)膜を形成する場合の第一空間24a,第二空間24b,及び成膜空間2aの圧力(Pa)を示す表である。
図6は、実施例の条件に基づいて基板10の処理面10aに形成された膜厚分布(実施例)と、従来のプラズマ処理装置を用いて得られた膜厚分布(比較例)、つまり、圧力調整プレート51が設けられていないプラズマ処理装置を用いて基板10の処理面10aに形成された膜厚分布とを比較した表である。
ここで、膜厚分布とは、基板10上に形成された膜厚の均一性(thickness uniformity)を意味する。
Next, based on FIG. 1, FIG. 3 to FIG. In addition, this invention is not limited to the Example demonstrated below.
FIG. 3 is a table showing the hole diameter (mm), hole depth (mm), and hole pitch (mm) of the gas outlet 6 of the shower plate 5 and the gas outlet 61 of the pressure adjusting plate 51. FIG. 4 is a table showing the sizes and operating conditions of the parts constituting the plasma processing apparatus 1. FIG. 5 shows the pressure (Pa) of the first space 24a, the second space 24b, and the film formation space 2a when a μc-Si (microcrystal silicon) film is formed on the processing surface 10a of the substrate 10 by the high pressure depletion method. It is a table | surface which shows.
FIG. 6 shows a film thickness distribution (Example) formed on the processing surface 10a of the substrate 10 based on the conditions of the example, and a film thickness distribution (Comparative Example) obtained using a conventional plasma processing apparatus. 5 is a table comparing the film thickness distribution formed on the processing surface 10a of the substrate 10 using a plasma processing apparatus in which the pressure adjustment plate 51 is not provided.
Here, the film thickness distribution means the uniformity of the film thickness formed on the substrate 10.

図3に示すように、本実施例のシャワープレート5においては、ガス噴出口6の孔径が0.7±0.01mmに設定され、孔深さが10mmに設定され、孔ピッチが10mm×10mmに設定されている。本実施例の圧力調整プレート51においては、ガス噴出口61の孔径が0.5±0.05mmに設定され、孔深さが10mmに設定され、孔ピッチが20mm×20mmに設定されている。
これにより、シャワープレート5のコンダクタンスAと、圧力調整プレート51のコンダクタンスBとの関係は、上記の式(1)を満たす。
As shown in FIG. 3, in the shower plate 5 of the present embodiment, the hole diameter of the gas outlet 6 is set to 0.7 ± 0.01 mm, the hole depth is set to 10 mm, and the hole pitch is 10 mm × 10 mm. Is set to In the pressure adjustment plate 51 of the present embodiment, the hole diameter of the gas outlet 61 is set to 0.5 ± 0.05 mm, the hole depth is set to 10 mm, and the hole pitch is set to 20 mm × 20 mm.
Thereby, the relationship between the conductance A of the shower plate 5 and the conductance B of the pressure adjusting plate 51 satisfies the above formula (1).

また、図1、図4に示すように、電極サイズの面積、つまり、基板10に対向するシャワープレート5の領域の長手方向の長さL1が1,600mmに設定され、かつ短手方向の長さが1,300mmに設定されている。更に、サセプターサイズ(面積)、つまり、アノード電極72であるヒータ15に基板10が載置される領域の長手方向の長さL2が1,700mmに設定され、かつ短手方向の長さが1,400mmに設定されている。また、RF電源9をRF周波数が27.12MHzに設定され、RFパワー密度が1.2W/cmに設定されている。また、基板10の処理面10aとシャワープレート5との間の距離G1が10mmに設定されている。
また、成膜空間2aの圧力が700Paになるように基板10の処理面10aにμc−Si膜を形成した。
なお、プロセスガス供給部21から第一空間24aへ導入されるプロセスガスの種類及び流量としては、1.5(slm)のSiH(モノシラン)及び45(slm)のH(水素)が用いられている。
As shown in FIGS. 1 and 4, the area of the electrode size, that is, the length L1 in the longitudinal direction of the region of the shower plate 5 facing the substrate 10 is set to 1,600 mm, and the length in the short direction is set. Is set to 1,300 mm. Further, the susceptor size (area), that is, the length L2 in the longitudinal direction of the region where the substrate 10 is placed on the heater 15 as the anode electrode 72 is set to 1,700 mm, and the length in the short direction is 1 , 400 mm. In addition, the RF power source 9 has an RF frequency set to 27.12 MHz and an RF power density set to 1.2 W / cm 2 . Further, the distance G1 between the processing surface 10a of the substrate 10 and the shower plate 5 is set to 10 mm.
Further, a μc-Si film was formed on the processing surface 10a of the substrate 10 so that the pressure in the film formation space 2a was 700 Pa.
Note that 1.5 (slm) of SiH 4 (monosilane) and 45 (slm) of H 2 (hydrogen) are used as the type and flow rate of the process gas introduced from the process gas supply unit 21 into the first space 24a. It has been.

このような条件のもと、図5に示すように、第一空間24aの圧力P1は1680(Pa)となる。また、第二空間24bの圧力P2は812(Pa)となる。更に、成膜空間2aの圧力Peは700(Pa)となる。
ここで、第一空間24aの圧力P1と第二空間24bの圧力P2との圧力差は、圧力調整プレート51によって大きくなることが確認できる。また、この圧力差と比較して第二空間24bの圧力P2と成膜空間2aの圧力Peとの圧力差が小さくなることが確認できる。
Under such conditions, as shown in FIG. 5, the pressure P1 in the first space 24a is 1680 (Pa). The pressure P2 in the second space 24b is 812 (Pa). Furthermore, the pressure Pe in the film formation space 2a is 700 (Pa).
Here, it can be confirmed that the pressure difference between the pressure P <b> 1 of the first space 24 a and the pressure P <b> 2 of the second space 24 b is increased by the pressure adjustment plate 51. Further, it can be confirmed that the pressure difference between the pressure P2 in the second space 24b and the pressure Pe in the film formation space 2a is smaller than this pressure difference.

そして、基板10の処理面10aにμc−Si膜を形成すると、図6に示すように、本実施例の膜厚分布が9.5%であるという結果を得た。
一方、図6に示すように、従来のプラズマ処理装置を用いて得られた、つまり、圧力調整プレート51を設けずに高圧枯渇法により基板10の処理面10aにμc−Si膜を形成した場合、膜厚分布は45%程度であるという結果を得た。
即ち、本実施形態のように、圧力調整プレート51を設けることによって、膜厚分布を向上できることが確認できる。なお、膜厚分布は15%以下であることが望ましい。
Then, when the μc-Si film was formed on the processing surface 10a of the substrate 10, as shown in FIG. 6, the film thickness distribution of this example was 9.5%.
On the other hand, as shown in FIG. 6, obtained using a conventional plasma processing apparatus, that is, when the μc-Si film is formed on the processing surface 10a of the substrate 10 by the high pressure depletion method without providing the pressure adjusting plate 51. The film thickness distribution was about 45%.
That is, it can be confirmed that the film thickness distribution can be improved by providing the pressure adjustment plate 51 as in this embodiment. The film thickness distribution is desirably 15% or less.

従って、上述の実施形態によれば、ナローギャップによる高圧枯渇法を用いて基板10の処理を行う場合に、電極フランジ4とシャワープレート5との間に形成される空間24を圧力調整プレート51を用いて第一空間24aと第二空間24bとに分けることによって、両空間24a,24bの圧力差を大きく設定することができる。
このため、シャワープレート5の両側に形成されている第二空間24bの圧力P2と成膜空間2aの圧力Peとの圧力差が比較的小さくても、成膜空間2aにプロセスガスを均一に供給することが可能である。このため、製造コストの増大を抑制し、容易かつ効率的に基板10に膜を均一に形成することができる。
また、ガス導入口42を複数設置する必要がないので、シャワープレート5を含むカソード電極71(電極フランジ4)の強度を十分確保できる。また、生産性を向上させることができ、製造コストを抑えることが可能になる。
Therefore, according to the above-described embodiment, when the substrate 10 is processed using the high pressure depletion method using the narrow gap, the space 24 formed between the electrode flange 4 and the shower plate 5 is removed from the pressure adjustment plate 51. By using and dividing into the 1st space 24a and the 2nd space 24b, the pressure difference of both space 24a, 24b can be set large.
Therefore, even if the pressure difference between the pressure P2 in the second space 24b formed on both sides of the shower plate 5 and the pressure Pe in the film formation space 2a is relatively small, the process gas is uniformly supplied to the film formation space 2a. Is possible. For this reason, an increase in manufacturing cost can be suppressed, and a film can be uniformly formed on the substrate 10 easily and efficiently.
In addition, since it is not necessary to install a plurality of gas inlets 42, the strength of the cathode electrode 71 (electrode flange 4) including the shower plate 5 can be sufficiently secured. Moreover, productivity can be improved and manufacturing cost can be suppressed.

また、シャワープレート5のコンダクタンスをAで表し、圧力調整プレート51のコンダクタンスをBで表したとき、シャワープレート5及び圧力調整プレート51は、それぞれ
0.05≦(B/A)≦0.2・・・(2)
を満たすように形成されている。
このため、第二空間24bの圧力P2と成膜空間2aの圧力Peとの圧力差が比較的小さくても、第一空間24aと第二空間24bとの圧力差を確実に大きく設定することができる。
従って、より確実にシャワープレート5からガスを均一に噴出させることができ、基板10の処理面10aに安定した品質の膜を形成することが可能になる。
Further, when the conductance of the shower plate 5 is represented by A and the conductance of the pressure adjusting plate 51 is represented by B, the shower plate 5 and the pressure adjusting plate 51 have 0.05 ≦ (B / A) ≦ 0.2 · (2)
It is formed to satisfy.
For this reason, even if the pressure difference between the pressure P2 in the second space 24b and the pressure Pe in the film formation space 2a is relatively small, the pressure difference between the first space 24a and the second space 24b can be reliably set large. it can.
Therefore, the gas can be ejected from the shower plate 5 more reliably and a stable quality film can be formed on the processing surface 10a of the substrate 10.

なお、本発明の技術範囲は、上記実施形態に限定されることなく、本発明の趣旨を逸脱しない範囲において種々の変更を加えることが可能である。即ち、本実施形態で述べた具体的な材料又は構成等は本発明の一例であり、適宜変更が可能である。
上述の実施形態では、プロセスガス供給部21から第一空間24aにプロセスガスが導入され、このプロセスガスが圧力調整プレート51を介して第二空間24bに供給される構造について説明した。
しかしながら、この構造に限らず、第一空間24a内のガス導入口42の近傍に、遮蔽板を設けてもよい。この遮蔽板は、ガス導入口42から供給されるプロセスガスを第一空間24a内に均一に分散させる。この遮蔽板を設けることによって、シャワープレート5から成膜空間2aにプロセスガスをより均一に供給することが可能になる。
The technical scope of the present invention is not limited to the above embodiment, and various modifications can be made without departing from the spirit of the present invention. That is, the specific materials or configurations described in the present embodiment are examples of the present invention, and can be appropriately changed.
In the above-described embodiment, the structure in which the process gas is introduced from the process gas supply unit 21 into the first space 24 a and this process gas is supplied to the second space 24 b through the pressure adjustment plate 51 has been described.
However, the present invention is not limited to this structure, and a shielding plate may be provided near the gas inlet 42 in the first space 24a. The shielding plate uniformly disperses the process gas supplied from the gas inlet 42 in the first space 24a. By providing this shielding plate, the process gas can be supplied more uniformly from the shower plate 5 to the film formation space 2a.

更に、上述の実施例では、プラズマ処理装置1においてプロセスガスとしてSiHとHとの混合ガスを用い、基板10の処理面10aにμc−Si膜を形成した場合について説明した。しかしながら、このような膜種に限らず、プラズマ処理装置1を利用してa−Si(アモルファスシリコン),SiO(酸化膜),SiN(チッカ膜),及びSiC(炭化膜)を形成することが可能である。また、基板10に所望の膜を形成する成膜処理に代えて、エッチング処理を行うプラズマ処理装置に上述したプラズマ処理装置1を適用してもよい。この場合、それぞれの処理条件に応じてプロセスガスの種類又は流量が適切に変更される。Further, in the above-described embodiment, the case where the plasma processing apparatus 1 uses the mixed gas of SiH 4 and H 2 as the process gas and forms the μc-Si film on the processing surface 10a of the substrate 10 has been described. However, the present invention is not limited to such film types, and a-Si (amorphous silicon), SiO 2 (oxide film), SiN (ticker film), and SiC (carbonized film) are formed using the plasma processing apparatus 1. Is possible. Further, instead of the film forming process for forming a desired film on the substrate 10, the above-described plasma processing apparatus 1 may be applied to a plasma processing apparatus that performs an etching process. In this case, the type or flow rate of the process gas is appropriately changed according to each processing condition.

上述した実施例においては、プロセスガスとしてSiH(モノシラン)とH(水素)との混合ガスを用いた例について詳細に説明したが、SiHのみに限定されず、シリコン化合物を用いた場合であっても本発明の作用・効果は得られる。
シリコン化合物としては、SiHの他にSi(ジシラン)などが挙げられる。
In the above-described embodiment, the example in which the mixed gas of SiH 4 (monosilane) and H 2 (hydrogen) is used as the process gas has been described in detail. However, the present invention is not limited only to SiH 4 , and a silicon compound is used. Even so, the action and effect of the present invention can be obtained.
Examples of the silicon compound include Si 2 H 6 (disilane) in addition to SiH 4 .

上述した実施形態及び実施例においては、成膜空間2aの圧力Peが700(Pa)である場合について説明したが、成膜空間2aの圧力Peは、プロセス条件に応じて適切に設定される。例えば、成膜空間2aの圧力Peが700(Pa)以上又は700(Pa)以下の圧力に設定されてもよい。この場合、例えば、プロセスガス供給部21から供給されるプロセスガスの流量を制御することにより、又は真空ポンプ28と真空チャンバ2との間に設けられた圧力制御部(不図示)によって排気速度を適切に制御することにより、成膜空間2aの圧力Peが設定される。   In the embodiments and examples described above, the case where the pressure Pe in the film formation space 2a is 700 (Pa) has been described, but the pressure Pe in the film formation space 2a is appropriately set according to the process conditions. For example, the pressure Pe in the film formation space 2a may be set to a pressure of 700 (Pa) or more or 700 (Pa) or less. In this case, for example, the pumping speed is controlled by controlling the flow rate of the process gas supplied from the process gas supply unit 21 or by a pressure control unit (not shown) provided between the vacuum pump 28 and the vacuum chamber 2. By appropriately controlling, the pressure Pe of the film formation space 2a is set.

以上詳述したように、本発明は、ナローギャップによる高圧枯渇法を用いて基板の処理を行う場合において、製造コストの増大を抑制し、容易、かつ効率的に基板に膜を均一に形成することができ、電極強度を十分に確保できるプラズマ処理装置に有用である。   As described above in detail, the present invention suppresses an increase in manufacturing cost and easily and efficiently uniformly forms a film on a substrate when processing a substrate using a high pressure depletion method using a narrow gap. Therefore, it is useful for a plasma processing apparatus that can secure sufficient electrode strength.

1…プラズマ処理装置 2…真空チャンバ(チャンバ) 2a…成膜空間(反応室) 4…電極フランジ 5…シャワープレート 6…ガス噴出口(第二ガス噴出口) 9…RF電源(電圧印加部) 10…基板 10a…処理面 15…ヒータ(支持部) 24…空間 24a…第一空間 24b…第二空間 42…ガス導入口 51…圧力調整プレート 61…ガス噴出口(第一ガス噴出口) 71…カソード電極(第一電極部) 72…アノード電極(第二電極部) 81…絶縁フランジ 101…処理室 G1…距離。   DESCRIPTION OF SYMBOLS 1 ... Plasma processing apparatus 2 ... Vacuum chamber (chamber) 2a ... Film-forming space (reaction chamber) 4 ... Electrode flange 5 ... Shower plate 6 ... Gas jet (second gas jet) 9 ... RF power supply (voltage application part) DESCRIPTION OF SYMBOLS 10 ... Substrate 10a ... Processing surface 15 ... Heater (support part) 24 ... Space 24a ... First space 24b ... Second space 42 ... Gas introduction port 51 ... Pressure adjustment plate 61 ... Gas ejection port (first gas ejection port) 71 ... Cathode electrode (first electrode part) 72 ... Anode electrode (second electrode part) 81 ... Insulating flange 101 ... Processing chamber G1 ... Distance.

Claims (4)

プラズマ処理装置であって、
チャンバと、ガス導入口を有する電極フランジと、前記チャンバ及び前記電極フランジによって挟まれた絶縁フランジとから構成され、反応室を有する処理室と、
前記反応室内に収容され、処理面を有する基板が載置され、前記基板の温度を制御する支持部と、
前記反応室内に収容され、前記処理面に対向するように配置され、前記基板に向けてプロセスガスを供給するシャワープレートと、
前記電極フランジと前記シャワープレートとの間に設けられた空間を、前記ガス導入口側に形成される第一空間と、前記シャワープレート側に形成される第二空間とに分ける圧力調整プレートと、
前記シャワープレートと前記支持部との間に電圧を印加し、前記プロセスガスのプラズマを生成する電圧印加部と、
を含み、
前記基板と前記シャワープレートとの距離が3mm以上、10mm以下であると共に、
前記シャワープレートのコンダクタンスをAで表し、前記圧力調整プレートのコンダクタンスをBで表したとき、
前記シャワープレート及び前記圧力調整プレートは、
0.05≦(B/A)≦0.2
を満たすように形成されていることを特徴とするプラズマ処理装置。
A plasma processing apparatus,
A processing chamber including a chamber, an electrode flange having a gas inlet, an insulating flange sandwiched between the chamber and the electrode flange, and having a reaction chamber;
A support housed in the reaction chamber, on which a substrate having a processing surface is placed, and controlling the temperature of the substrate;
A shower plate that is housed in the reaction chamber and disposed to face the processing surface, and supplies a process gas toward the substrate;
A pressure adjusting plate that divides a space provided between the electrode flange and the shower plate into a first space formed on the gas inlet side and a second space formed on the shower plate side;
A voltage application unit that applies a voltage between the shower plate and the support unit and generates plasma of the process gas;
Including
The distance between the substrate and the shower plate is 3 mm or more and 10 mm or less ,
When the conductance of the shower plate is represented by A and the conductance of the pressure adjusting plate is represented by B,
The shower plate and the pressure adjustment plate are:
0.05 ≦ (B / A) ≦ 0.2
The plasma processing apparatus is formed so as to satisfy the above .
プラズマCVD成膜方法であって、
圧力調整プレートとシャワープレートとを準備し、
基板と前記シャワープレートとの距離を3mm以上、10mm以下に設定し、
プロセスガスを前記圧力調整プレートに通過させた後に、前記シャワープレートを通過させ、前記基板と前記シャワープレートの間の空間に前記プロセスガスを供給し、
前記基板と前記シャワープレートとの間にプラズマを発生させ、前記基板上に膜を形成する際に、
前記圧力調整プレートの上流と下流との圧力差が、前記シャワープレートの上流と下流との圧力差よりも大きいことを特徴とするプラズマCVD成膜方法。
A plasma CVD film forming method comprising:
Prepare pressure adjustment plate and shower plate,
The distance between the substrate and the shower plate is set to 3 mm or more and 10 mm or less,
Passing the process gas through the pressure adjustment plate, then passing through the shower plate, and supplying the process gas to a space between the substrate and the shower plate;
When generating a plasma between the substrate and the shower plate to form a film on the substrate ,
The plasma CVD film forming method , wherein a pressure difference between the upstream and downstream of the pressure adjusting plate is larger than a pressure difference between upstream and downstream of the shower plate .
請求項に記載のプラズマCVD成膜方法であって、
前記プロセスガスは、シリコン化合物と水素とを含み、
前記基板上に供給される前記水素の量を前記基板上に供給される前記シリコン化合物の量より多くして前記プロセスガスを供給することにより、前記基板上にマイクロクリスタルシリコンを含む膜を形成することを特徴とするプラズマCVD成膜方法。
The plasma CVD film forming method according to claim 2 ,
The process gas includes a silicon compound and hydrogen,
A film containing microcrystalline silicon is formed on the substrate by supplying the process gas with the amount of the hydrogen supplied on the substrate larger than the amount of the silicon compound supplied on the substrate. The plasma CVD film-forming method characterized by the above-mentioned.
請求項に記載のプラズマCVD成膜方法であって、
前記シャワープレートに27.12MHzの高周波電圧を印加することによって前記プラズマを発生させることを特徴とするプラズマCVD成膜方法。
The plasma CVD film forming method according to claim 2 ,
A plasma CVD film forming method, wherein the plasma is generated by applying a high frequency voltage of 27.12 MHz to the shower plate.
JP2010545740A 2009-01-09 2010-01-05 Plasma processing apparatus and plasma CVD film forming method Active JP5328814B2 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2010545740A JP5328814B2 (en) 2009-01-09 2010-01-05 Plasma processing apparatus and plasma CVD film forming method

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
JP2009004024 2009-01-09
JP2009004024 2009-01-09
JP2010545740A JP5328814B2 (en) 2009-01-09 2010-01-05 Plasma processing apparatus and plasma CVD film forming method
PCT/JP2010/000021 WO2010079738A1 (en) 2009-01-09 2010-01-05 Plasma processing apparatus and plasma cvd film-forming method

Publications (2)

Publication Number Publication Date
JPWO2010079738A1 JPWO2010079738A1 (en) 2012-06-21
JP5328814B2 true JP5328814B2 (en) 2013-10-30

Family

ID=42316504

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2010545740A Active JP5328814B2 (en) 2009-01-09 2010-01-05 Plasma processing apparatus and plasma CVD film forming method

Country Status (6)

Country Link
JP (1) JP5328814B2 (en)
KR (1) KR20110104982A (en)
CN (1) CN102272897A (en)
DE (1) DE112010000724T8 (en)
TW (1) TWI414628B (en)
WO (1) WO2010079738A1 (en)

Families Citing this family (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20120043636A (en) * 2010-10-26 2012-05-04 가부시키가이샤 한도오따이 에네루기 켄큐쇼 Plasma treatment apparatus and plasma cvd apparatus
JP5948040B2 (en) * 2010-11-04 2016-07-06 株式会社半導体エネルギー研究所 Method for manufacturing crystalline semiconductor film and method for manufacturing semiconductor device
CN103422075B (en) * 2012-05-14 2015-09-02 中芯国际集成电路制造(上海)有限公司 Form the method for rete
CN109461685B (en) 2014-02-27 2022-03-08 株式会社思可林集团 Substrate processing apparatus
KR101962331B1 (en) * 2015-03-13 2019-03-26 주식회사 엘지화학 Reactor for Plasma Deposition of Reducing By-product Formation and Deposition Method Using the Same
WO2016157317A1 (en) * 2015-03-27 2016-10-06 株式会社日立国際電気 Substrate processing device, semiconductor device production method, and recording medium
JP2016225018A (en) * 2015-05-27 2016-12-28 東京エレクトロン株式会社 Gas processing device and multi-division shower head used for the same
CN115341198B (en) * 2022-07-05 2023-08-04 湖南红太阳光电科技有限公司 Flat plate type PECVD equipment

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH09283498A (en) * 1996-04-10 1997-10-31 Tokyo Electron Ltd Vacuum treatment device
JP2000091244A (en) * 1998-06-03 2000-03-31 Hitachi Ltd Plasma processing device and semiconductor device manufactured by the same, and active matrix substrate constituted by the semiconductor device and liquid crystal display device using the active matrix substrate
JP2004311646A (en) * 2003-04-04 2004-11-04 Asm Japan Kk Plasma processing apparatus
JP2007019284A (en) * 2005-07-08 2007-01-25 Sony Corp Plasma cvd apparatus and thin film forming method

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH06336677A (en) * 1993-05-28 1994-12-06 Koyo Rindobaagu Kk Plasma cvd device
JP3422583B2 (en) * 1994-03-23 2003-06-30 東京エレクトロン株式会社 Processing equipment
WO1997022141A1 (en) * 1995-12-14 1997-06-19 Seiko Epson Corporation Method of manufacturing thin film semiconductor device, and thin film semiconductor device
JP2002280377A (en) 2001-03-19 2002-09-27 Hitachi Kokusai Electric Inc Substrate treatment apparatus
JP2008047869A (en) * 2006-06-13 2008-02-28 Hokuriku Seikei Kogyo Kk Shower plate and its fabrication process, plasma processing equipment employing it, plasma processing method and process for fabricating electronic device
US8123902B2 (en) * 2007-03-21 2012-02-28 Applied Materials, Inc. Gas flow diffuser
JP2009004024A (en) 2007-06-21 2009-01-08 Seiko Instruments Inc Near-field light head and information recording and reproducing apparatus

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH09283498A (en) * 1996-04-10 1997-10-31 Tokyo Electron Ltd Vacuum treatment device
JP2000091244A (en) * 1998-06-03 2000-03-31 Hitachi Ltd Plasma processing device and semiconductor device manufactured by the same, and active matrix substrate constituted by the semiconductor device and liquid crystal display device using the active matrix substrate
JP2004311646A (en) * 2003-04-04 2004-11-04 Asm Japan Kk Plasma processing apparatus
JP2007019284A (en) * 2005-07-08 2007-01-25 Sony Corp Plasma cvd apparatus and thin film forming method

Also Published As

Publication number Publication date
DE112010000724T8 (en) 2013-04-18
CN102272897A (en) 2011-12-07
TW201033401A (en) 2010-09-16
DE112010000724T5 (en) 2012-07-05
WO2010079738A1 (en) 2010-07-15
TWI414628B (en) 2013-11-11
JPWO2010079738A1 (en) 2012-06-21
KR20110104982A (en) 2011-09-23

Similar Documents

Publication Publication Date Title
JP5328814B2 (en) Plasma processing apparatus and plasma CVD film forming method
JP5274229B2 (en) Plasma CVD apparatus and method
US20050145170A1 (en) Substrate processing apparatus and cleaning method therefor
US20100024729A1 (en) Methods and apparatuses for uniform plasma generation and uniform thin film deposition
WO2010079756A1 (en) Plasma processing apparatus
JP3872357B2 (en) Cathode type PECVD apparatus with built-in thermal catalyst, cathode type PECVD method with built-in thermal catalyst, and CVD apparatus using the same
JP5659225B2 (en) Plasma deposition source and method for depositing thin films
JP3837539B2 (en) Plasma CVD equipment
JP5378416B2 (en) Plasma processing equipment
JP2011086776A (en) Thin film forming apparatus
JP5394403B2 (en) Plasma processing equipment
JP2010161316A (en) Plasma processing device
TWI434951B (en) Plasma film forming device and plasma film forming method
WO2011099205A1 (en) Film formation device
JP2009041095A (en) Film forming apparatus and cleaning method thereof
JP2008261010A (en) Film deposition system
JP5038769B2 (en) Plasma processing equipment
WO2010079740A1 (en) Plasma processing apparatus
KR101807567B1 (en) Method and apparatus for forming ald oxide layer
JP2004149857A (en) Cat-PECVD DEVICE, AND FILM TREATMENT SYSTEM USING THE SAME
JP5302835B2 (en) Plasma processing equipment
JPH05343338A (en) Plasma cvd apparatus
JPH0892746A (en) Plasma chemical vapor deposition and device therefor
JP2009141116A (en) Film forming device
JP2011151105A (en) Plasma cvd apparatus

Legal Events

Date Code Title Description
A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20130507

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20130606

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20130702

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20130723

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

Ref document number: 5328814

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250