JP5178996B2 - Reflective photomask blank, reflective photomask, and pattern transfer method using the same - Google Patents

Reflective photomask blank, reflective photomask, and pattern transfer method using the same Download PDF

Info

Publication number
JP5178996B2
JP5178996B2 JP2005183024A JP2005183024A JP5178996B2 JP 5178996 B2 JP5178996 B2 JP 5178996B2 JP 2005183024 A JP2005183024 A JP 2005183024A JP 2005183024 A JP2005183024 A JP 2005183024A JP 5178996 B2 JP5178996 B2 JP 5178996B2
Authority
JP
Japan
Prior art keywords
layer
film
tantalum
pattern
light
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP2005183024A
Other languages
Japanese (ja)
Other versions
JP2007005523A (en
Inventor
泰史 西山
正 松尾
浩一郎 金山
信平 田村
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Toppan Inc
Original Assignee
Toppan Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Toppan Inc filed Critical Toppan Inc
Priority to JP2005183024A priority Critical patent/JP5178996B2/en
Publication of JP2007005523A publication Critical patent/JP2007005523A/en
Application granted granted Critical
Publication of JP5178996B2 publication Critical patent/JP5178996B2/en
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Landscapes

  • Preparing Plates And Mask In Photomechanical Process (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)

Description

本発明は極端紫外光すなわちEUV(Extreme Ultraviolet)光の波長を有する光によるフォトリソグラフィー法を用いた半導体装置に利用される反射型フォトマスおよびこれに用いられる反射型フォトマスクブランクならびにこれを用いたパターン転写方法に関する。   The present invention relates to a reflective photomass used for a semiconductor device using a photolithographic method using light having a wavelength of extreme ultraviolet light, that is, EUV (Extreme Ultraviolet) light, a reflective photomask blank used therefor, and the same The present invention relates to a pattern transfer method.

近年の半導体素子における高集積化に伴い、フォトリソグラフィー法により転写されるパターンの微細化が加速している。パターンの解像限界は露光波長に対して比例関係にあることから、これまでに水銀ランプ(波長365nm)、エキシマレーザ(波長248nm、193nm)といった短波長光源を使用した露光装置が開発されてきた。   With the recent high integration in semiconductor elements, the miniaturization of patterns transferred by photolithography is accelerating. Since the resolution limit of the pattern is proportional to the exposure wavelength, exposure apparatuses using short-wavelength light sources such as mercury lamps (wavelength 365 nm) and excimer lasers (wavelengths 248 nm and 193 nm) have been developed so far. .

しかしながら、このような波長よりも小さい100nm以下のパターンを形成するためには位相シフト法や近接効果補正等の技術を駆使する必要があり、このためにパターンデータ量が膨大となりパターンの集積度以上に増加してきている。   However, in order to form a pattern of 100 nm or less which is smaller than such a wavelength, it is necessary to make full use of techniques such as a phase shift method and proximity effect correction. Has been increasing.

より短波長のエキシマレーザであるF2レーザ光(波長157nm)を用いるリソグラフィ技術の開発も進められているが、70nm程度の解像が限界である。また、このような波長よりも小さいパターンの解像のために、より強い超解像技術の適用が必要となる。 Development of lithography technology using F 2 laser light (wavelength 157 nm), which is an excimer laser with a shorter wavelength, is also in progress, but resolution of about 70 nm is the limit. In addition, in order to resolve a pattern smaller than such a wavelength, it is necessary to apply a stronger super-resolution technique.

そこで、近年F2レーザよりも1桁以上短い10ないし15nmの波長を有するEUV光を光源とするリソグラフィ技術が開発されており、反射多層膜の特性から13.5nm近傍の波長が最も有力な候補となっている。 Therefore, in recent years, lithography technology using EUV light having a wavelength of 10 to 15 nm, which is one digit or more shorter than that of an F 2 laser, as a light source has been developed, and a wavelength near 13.5 nm is the most promising candidate because of the characteristics of the reflective multilayer film. It has become.

EUVの波長領域において、ほとんどの物質の屈折率は1よりもわずかに小さい値(0.9〜1.01)であり、また光吸収性が非常に高い。このため、EUVリソグラフィにおいては従来から用いられてきた屈折光学系を使用することができず、反射光学系となる。フォトマスクも同様に従来の透過型のマスクは使用できないため、反射型のマスクとする必要がある。このように、EUVリソグラフィ法では露光に使用する光学系やマスクなどが従来の露光技術とは顕著に異なる。   In the EUV wavelength region, the refractive index of most substances is slightly smaller than 1 (0.9 to 1.01), and the light absorption is very high. For this reason, in EUV lithography, the refractive optical system conventionally used cannot be used, but becomes a reflection optical system. Similarly, since a conventional transmission type mask cannot be used for the photomask, it is necessary to use a reflection type mask. As described above, in the EUV lithography method, the optical system and the mask used for the exposure are significantly different from the conventional exposure technique.

このEUVリソグラフィ用の反射型フォトマスクは、熱膨張率が非常に小さい物質からなる平坦な基板の上に、EUV波長における反射率が大きいミラー(反射鏡)を設け、さらにその上にEUV光に対して吸収性の高い物質からなる光吸収層を所望の露光パターンに応じてパターン加工して形成したものである。   In this reflective photomask for EUV lithography, a mirror (reflecting mirror) having a high reflectivity at the EUV wavelength is provided on a flat substrate made of a material having a very low coefficient of thermal expansion, and further on the EUV light. On the other hand, a light absorption layer made of a highly absorptive substance is formed by patterning according to a desired exposure pattern.

EUV光に対するミラー(反射鏡)は屈折率差が大きい材料を組合せた多層反射膜から構成される。反射型フォトマスクでは多層反射膜表面が光吸収層パターンにより覆われた吸収領域と、光吸収層がなく多層反射膜表面が露出した反射領域とのEUV光反射率のコントラストにより露光パターンの転写をおこなう。なお、パターン欠陥修正あるいはその他の工程において多層反射膜に損傷が生じて反射率が低下するのを防止するために、前記多層反射膜と光吸収層の間にバッファー層と呼ばれる層を設ける場合が多い。   A mirror (reflecting mirror) for EUV light is composed of a multilayer reflective film in which materials having a large refractive index difference are combined. In the reflection type photomask, the exposure pattern is transferred by the contrast of the EUV light reflectance between the absorption area where the multilayer reflection film surface is covered with the light absorption layer pattern and the reflection area where there is no light absorption layer and the multilayer reflection film surface is exposed. Do it. In some cases, a layer called a buffer layer is provided between the multilayer reflective film and the light absorption layer in order to prevent the multilayer reflective film from being damaged due to pattern defect correction or other processes, thereby reducing the reflectance. Many.

通常、光吸収層に形成されたパターンの検査は、波長190−260nm程度のDUV(遠紫外)光をマスク表面に入射させ、その反射光を検出して、反射率のコントラストに
よりパターン形状を調べることによっておこなわれる。
In general, the pattern formed on the light absorption layer is inspected by making DUV (far ultraviolet) light having a wavelength of about 190 to 260 nm incident on the mask surface, detecting the reflected light, and examining the pattern shape based on the contrast of reflectance. Is done.

具体的には、多層反射膜の保護層として光吸収層直下に設けられるバッファー層が表面に露出した部分が反射領域となり、パターン加工された光吸収層表面からなる吸収領域との反射コントラストにより、光吸収層が設計通りにパターン加工されているかどうかの第1段階目の検査がまずおこなわれる。そこでは本来エッチングされるべき光吸収層がエッチングされずにバッファー層上に残っている箇所(黒欠陥)や、本来エッチングされずにバッファー層上に残るべき光吸収層の一部がエッチング除去された箇所(白欠陥)の検出をおこなう。バッファー層の材料としては、光吸収層のドライエッチングに対して耐性が高いこと、修正工程において耐性が高いこと、ならびに、不要なバッファー層を検査修正後に除去する際に、光吸収層の損傷が少ないことが要求される(例えば、特許文献1参照)。   Specifically, a portion where the buffer layer provided immediately below the light absorption layer as a protective layer of the multilayer reflection film is exposed to the surface becomes a reflection region, and due to the reflection contrast with the absorption region consisting of the patterned light absorption layer surface, A first stage inspection is first performed to determine whether the light absorbing layer is patterned as designed. There, the portion of the light absorbing layer that should be etched is not etched but remains on the buffer layer (black defect), and the portion of the light absorbing layer that should remain on the buffer layer without being etched is etched away. Detecting the spot (white defect). As a material for the buffer layer, the light absorption layer has high resistance to dry etching, high resistance in the correction process, and damage to the light absorption layer when removing unnecessary buffer layers after inspection and correction. A small amount is required (see, for example, Patent Document 1).

この第1段階目の検査において検出された欠陥を修正した後、さらにバッファー層の除去をおこない、バッファー層直下の多層膜表面を露出させた後、光吸収層に形成されたパターンに対する第2段階目の最終検査がおこなわれるが、この検査は光吸収層表面からなる吸収領域と、多層反射膜表面からなる反射領域との反射コントラストによりパターン形状を調べることによっておこなわれる。   After correcting the defects detected in the first stage inspection, the buffer layer is further removed to expose the surface of the multilayer film immediately below the buffer layer, and then the second stage for the pattern formed in the light absorption layer. The final inspection of the eye is performed. This inspection is performed by examining the pattern shape based on the reflection contrast between the absorption region composed of the light absorption layer surface and the reflection region composed of the multilayer reflective film surface.

なお、バッファー層が露光波長において十分に透明である場合にはバッファー層を除去しなくてもよい。また、バッファー層を完全に除去するのではなく、一部分を除去し、多層膜の反射率を大きく損なわない程度の膜厚の分だけを残してもよい。このような層は、この後の様々な工程において多層膜の表面を保護する、いわゆるキャッピング層として機能する。また、バッファー層と反射多層膜の間にキャッピング層を別途設けることもできる。   If the buffer layer is sufficiently transparent at the exposure wavelength, the buffer layer may not be removed. Further, instead of completely removing the buffer layer, a part of the buffer layer may be removed to leave only a film thickness that does not significantly impair the reflectance of the multilayer film. Such a layer functions as a so-called capping layer that protects the surface of the multilayer film in various subsequent processes. Further, a capping layer can be separately provided between the buffer layer and the reflective multilayer film.

以下の説明において、反射多層膜表面という場合、残留したバッファー層表面もしくはキャッピング層表面と適宜読みかえることができる。   In the following description, the surface of the reflective multilayer film can be appropriately read as the remaining buffer layer surface or capping layer surface.

先に述べた第1段階目、および第2段階目のDUV検査光による光吸収層のパターンの検査においては、それぞれ光吸収層が除去されたバッファー層表面と光吸収層が除去されずに残った光吸収層およびバッファー層が除去された多層反射膜表面と光吸収層表面とのDUV光反射コントラストを利用しておこなわれる。したがって、高い検査精度を得るためには、第1段階目の検査においてはバッファー層表面と光吸収層表面、第2段階目の検査においては多層反射膜表面と光吸収層表面において、それぞれDUV検査波長における反射率の差が大きいことが望まれる。   In the inspection of the pattern of the light absorption layer by the DUV inspection light in the first stage and the second stage described above, the surface of the buffer layer from which the light absorption layer has been removed and the light absorption layer remain without being removed. The DUV light reflection contrast between the surface of the multilayer reflective film from which the light absorption layer and the buffer layer are removed and the surface of the light absorption layer is used. Therefore, in order to obtain high inspection accuracy, the DUV inspection is performed on the buffer layer surface and the light absorption layer surface in the first stage inspection, and on the multilayer reflective film surface and the light absorption layer surface in the second stage inspection. It is desirable that the difference in reflectance at wavelengths is large.

このような要求に対して、従来から用いられている透過型の低反射クロムマスクブランクと同様に、光吸収層の上にクロムやタンタルの酸化物や窒化物などを設けた多層吸収層とすることが提案されている(例えば、特許文献2参照)。   In response to such a demand, a multilayer absorption layer in which a chromium or tantalum oxide or nitride is provided on a light absorption layer as in the case of a conventionally used transmission type low reflection chromium mask blank. (For example, refer to Patent Document 2).

多層膜を用いて反射率を低くするためには膜の上面と下面での多重反射の干渉効果を用いる。検査光の波長において透明性が高いほどこの効果は大きくなり、適切な膜厚とすることで反射率のより低い膜とすることができる。   In order to reduce the reflectance using a multilayer film, the interference effect of multiple reflections on the upper and lower surfaces of the film is used. The higher the transparency at the wavelength of the inspection light, the greater this effect. By setting the film thickness to an appropriate thickness, a film having a lower reflectance can be obtained.

クロムやタンタル等の金属の場合、検査で使用されるような紫外領域において透明性は低く、酸化や窒化がより進行するにしたがって透明性が向上する傾向がある。したがって、酸化や窒化のより進行した膜の方が反射率を低減することができ、吸収層の除去された高反射領域とのコントラストを大きくすることができる。   In the case of metals such as chromium and tantalum, the transparency is low in the ultraviolet region used in inspection, and the transparency tends to improve as oxidation and nitridation progress. Therefore, the film with more advanced oxidation and nitridation can reduce the reflectance, and the contrast with the high reflection region from which the absorption layer is removed can be increased.

しかしながら、クロムやタンタルにおいて窒化や酸化がより進むにしたがって、EUV光に対する吸収は小さくなる傾向がある。このため、EUV光に対して反射コントラストを十分大きい値とするために、吸収層の膜厚を大きくする必要がある。EUVリソグラフィにおける露光光学系は既に述べたようにすべて反射光学系で構成されている。このため、マスクに対して光は垂直ではなく5ないし6度の入射角で入射する。例えばマスク基板面内で入射面と平行な方向をX軸、マスク基板面内でX軸と直角方向にY軸をとると、Y軸方向の中心線に対して対称なパターンの空間像が非対称になったり、X−Y方向で寸法差を生じたりすることが指摘されている。このような現象は吸収パターンの厚さ、すなわち吸収層の膜厚が大きいほど顕著である。このため光吸収層の膜厚はできる限り薄いことが望まれている。   However, as nitridation and oxidation progress in chromium and tantalum, the absorption of EUV light tends to decrease. For this reason, it is necessary to increase the thickness of the absorption layer in order to make the reflection contrast sufficiently large with respect to EUV light. The exposure optical system in EUV lithography is composed of a reflection optical system as described above. For this reason, the light is incident on the mask at an incident angle of 5 to 6 degrees rather than perpendicularly. For example, if the X axis is the direction parallel to the incident surface within the mask substrate surface and the Y axis is perpendicular to the X axis within the mask substrate surface, the aerial image of the pattern symmetric with respect to the center line in the Y axis direction is asymmetric. Or a dimensional difference in the XY direction is pointed out. Such a phenomenon becomes more remarkable as the thickness of the absorption pattern, that is, the thickness of the absorption layer increases. For this reason, it is desired that the thickness of the light absorption layer be as thin as possible.

また、金属の酸化や窒化が進行するにしたがって導電性は低下し絶縁体となる。一般にマスクのパターンは電子線リソグラフィ技術により形成される。電子線露光工程においてレジストの下に厚い絶縁層が存在するとチャージアップによりパターンの位置精度が低下したり、パターン形状に歪を生じる。このような問題を回避するために、有機導電性膜をレジスト上にさらに形成してからパターン描画をおこなう必要があった。   In addition, as the oxidation and nitridation of the metal progresses, the conductivity decreases and becomes an insulator. In general, a mask pattern is formed by an electron beam lithography technique. If a thick insulating layer is present under the resist in the electron beam exposure process, the pattern position accuracy is lowered due to charge-up, and the pattern shape is distorted. In order to avoid such a problem, it is necessary to perform pattern drawing after further forming an organic conductive film on the resist.

近年、高解像度が要求される工程のレジストとしては、化学増幅型レジストが広く用いられており、フォトマスク製造のための電子線露光用レジストでも化学増幅系のものが用いられる。一般に、化学増幅型レジストは露光領域中にある光酸発生剤から生じた酸が触媒となって、脱保護反応や架橋反応によって現像液に対する溶解性を大きく変化させることができるものである。レジストが塗布される基材が窒素を含む材料である場合には、レジスト中の露光領域に発生した酸が失活してしまう問題が指摘されている。この現象により、ポジ型レジストの場合は基材との界面近傍でパターンが裾を引く形状となり、ネガ型レジストの場合は逆に、基材との界面近傍でアンダーカットの入った形状となってしまう。したがって、反射率等の特性を改善する目的で窒素を含有させると、レジストパターン形成において解像性能が低下してしまうという問題があった。   In recent years, chemically amplified resists are widely used as resists in processes that require high resolution, and chemically amplified resists for electron beam exposure for photomask manufacturing are also used. In general, chemically amplified resists can change the solubility in a developing solution by a deprotection reaction or a crosslinking reaction by using an acid generated from a photoacid generator in an exposed region as a catalyst. When the base material to which the resist is applied is a material containing nitrogen, a problem has been pointed out that the acid generated in the exposed region in the resist is deactivated. Due to this phenomenon, in the case of a positive type resist, the pattern has a shape with a tail in the vicinity of the interface with the base material, and in the case of a negative type resist, on the contrary, the shape has an undercut near the interface with the base material. End up. Therefore, when nitrogen is contained for the purpose of improving characteristics such as reflectance, there is a problem that the resolution performance is deteriorated in forming a resist pattern.

以下に公知の文献を記す。
特開平7−333829号公報 特開2004−39884号公報
Known documents are described below.
JP-A-7-333829 JP 2004-39884 A

このような問題に対し、光吸収層をタンタルの濃度を変えた多層構造とすることで、解決する方法があった。すなわち、基板と該基板上に設けられた多層反射膜と、該多層反射膜上に設けられた光吸収層を具備する反射型フォトマスクブランクにおいて、光吸収層がタンタルを含有する複数の層からなり、多層反射膜に近いほうの層に含まれるタンタルの含有率が、その後に積層して形成した層のタンタル含有率よりも高くした反射型フォトマスクブランクとするものである。そのタンタルを含有する層が、タンタルの他にケイ素を含有してもよい。多層反射膜と、前記タンタルを含有する層の間に、タンタル以外の金属を主成分として含有する層を設けてもよい。またタンタル以外の金属としてジルコニウムを利用できる。あるいは、タンタル以外の金属を主成分として含有する層が、ケイ素を含有しているものでもよい。このような反射型フォトマスクブランクを用いて作成した反射型フォトマクスを利用する。   To solve such a problem, there has been a method for solving the problem by making the light absorption layer a multilayer structure in which the concentration of tantalum is changed. That is, in a reflective photomask blank comprising a substrate, a multilayer reflective film provided on the substrate, and a light absorption layer provided on the multilayer reflective film, the light absorption layer includes a plurality of layers containing tantalum. Thus, a reflective photomask blank is obtained in which the content of tantalum contained in the layer closer to the multilayer reflective film is higher than the tantalum content of the layer formed by subsequent lamination. The layer containing tantalum may contain silicon in addition to tantalum. A layer containing a metal other than tantalum as a main component may be provided between the multilayer reflective film and the layer containing tantalum. Zirconium can be used as a metal other than tantalum. Alternatively, the layer containing a metal other than tantalum as a main component may contain silicon. A reflection type photomax created using such a reflection type photomask blank is used.

このように、反射型フォトマスクブランクおよび反射型フォトマスクに使用される光吸収層が、タンタルを含有する複数の層からなり、多層反射膜に近い層のタンタル含有率が、その上に形成された層よりも高いことにより、EUV光による露光時のみならずDUV光による検査時においても、反射領域に対して良好なコントラストが得られ、反射型フォ
トマスクの検査精度およびそのパターン転写精度が良好となる。また、光吸収層と多層反射膜の間にタンタル以外の金属を主成分として含有する層を設けることにより光吸収層のパターン加工時に多層反射膜が損傷するのを防止するとともに、反射領域の表面酸化による反射コントラストの変動を抑制することができる。
Thus, the light absorption layer used in the reflective photomask blank and the reflective photomask is composed of a plurality of layers containing tantalum, and the tantalum content of the layer close to the multilayer reflective film is formed thereon. By contrast, the contrast of the reflective area is good not only when exposed to EUV light but also when inspected with DUV light, and the inspection accuracy of the reflective photomask and its pattern transfer accuracy are good. It becomes. In addition, by providing a layer containing a metal other than tantalum as a main component between the light absorption layer and the multilayer reflection film, the multilayer reflection film is prevented from being damaged during patterning of the light absorption layer, and the surface of the reflection region Variations in reflection contrast due to oxidation can be suppressed.

しかし、光吸収層の表層近くのタンタル含有率の低い光吸収膜はタンタル含有率の高い膜と比較して、導電性が低い。このため、透明性を大きくした膜をパターン加工するために電子線にてパターン露光する際にチャージアップによりパターンの位置や形状に歪みを生じることがある。このような問題点は、解決されていない。   However, a light absorption film having a low tantalum content near the surface layer of the light absorption layer has lower conductivity than a film having a high tantalum content. For this reason, when pattern exposure is performed with an electron beam to pattern a film with increased transparency, the pattern position and shape may be distorted by charge-up. Such a problem has not been solved.

本発明は、以上の問題点を解決するものであり、EUV光による露光時およびDUV光による検査時において反射領域に対して良好なコントラストが得られ、電子線でのパターン露光によるチャージアップのない反射型フォトマスクブランクおよびそれを用いた反射型フォトマスク並びにそれを用いたパターン転写方法を提供することを課題とする。   The present invention solves the above-described problems. A good contrast is obtained with respect to a reflection region at the time of exposure with EUV light and at the time of inspection with DUV light, and there is no charge-up due to pattern exposure with an electron beam. It is an object of the present invention to provide a reflective photomask blank, a reflective photomask using the same, and a pattern transfer method using the same.

本発明は係る課題に鑑みなされたもので、 本発明請求項1に記載の反射型フォトマスクブランクは、
基板と基板上に設けられた多層反射膜と、多層反射膜上に設けられた光吸収層を具備し、光吸収層がタンタルを含有する複数の層からなり、多層反射膜に近いほうの層に含まれるタンタルの含有率が、その後に積層して形成した層のタンタル含有率よりも高い反射型フォトマスクブランクにおいて、
タンタルを含有する複数の層の上に、タンタル以外の金属を主成分として含有する層をさらに積層してなり、前記タンタル以外の金属がチタンであり、チタンとケイ素をスパッタリングターゲットとして形成してなることを特徴とする。
This invention is made | formed in view of the subject which concerns, The reflective photomask blank of this invention Claim 1 is,
A substrate, a multilayer reflection film provided on the substrate, and a light absorption layer provided on the multilayer reflection film, the light absorption layer comprising a plurality of layers containing tantalum, the layer closer to the multilayer reflection film In the reflection type photomask blank in which the content of tantalum contained in is higher than the tantalum content of the layer formed by subsequent lamination,
A layer containing a metal other than tantalum as a main component is further laminated on a plurality of layers containing tantalum, and the metal other than tantalum is titanium, and titanium and silicon are formed as a sputtering target. It is characterized by that.

本発明によれば、反射型フォトマスクブランクおよび反射型フォトマスクに使用される光吸収層が、タンタルを含有する複数の層からなり、多層反射膜に近い層のタンタル含有率が、その上に形成された層よりも高いことにより、EUV光による露光時のみならずDUV光による検査時においても、反射領域に対して良好なコントラストが得られ、反射型フォトマスクの検査精度およびそのパターン転写精度が良好となり、タンタルを含有する
複数の層の上に、タンタル以外の金属を主成分として含有する層をさらに積層することで、電子線でのパターン露光によるチャージアップのない反射型フォトマスクブランクおよびそれを用いた反射型フォトマスクとすることが出来る。
According to the present invention, the light absorption layer used in the reflective photomask blank and the reflective photomask is composed of a plurality of layers containing tantalum, and the tantalum content of the layer close to the multilayer reflective film is on it. By being higher than the formed layer, it is possible to obtain a good contrast with respect to the reflective area not only during exposure with EUV light but also during inspection with DUV light. A reflective photomask blank without charge-up due to pattern exposure with an electron beam by further laminating a layer containing a metal other than tantalum as a main component on a plurality of layers containing tantalum, and A reflective photomask using the same can be obtained.
.

また、本発明のパターン転写方法によると、試料基板上に形成されたレジストに対し、精度良いパターン露光が長期間可能となり、その結果、電子デバイス等のパターンの製造を、高い歩留まりで行なうことが出来る。   Moreover, according to the pattern transfer method of the present invention, it is possible to perform pattern exposure with high accuracy for a resist formed on a sample substrate for a long period of time, and as a result, it is possible to manufacture patterns of electronic devices and the like with a high yield. I can do it.

本発明の反射型フォトマスクブランクは、基板と基板上に設けられた多層反射膜と、多層反射膜上に設けられた光吸収層を具備し、光吸収層がタンタルを含有する複数の層からなり、多層反射膜に近いほうの層に含まれるタンタルの含有率が、その後に積層して形成した層のタンタル含有率よりも高い反射型フォトマスクブランクを前提とする。そして上記のタンタルを含有する複数の層の上に、タンタル以外の金属を主成分として含有する層をさらに積層してなる反射型フォトマスクブランクである。   The reflective photomask blank of the present invention comprises a substrate, a multilayer reflective film provided on the substrate, and a light absorbing layer provided on the multilayer reflective film, the light absorbing layer comprising a plurality of layers containing tantalum. Thus, it is premised on a reflective photomask blank in which the content of tantalum contained in the layer closer to the multilayer reflective film is higher than the tantalum content of a layer formed by subsequent lamination. A reflective photomask blank is obtained by further laminating a layer containing a metal other than tantalum as a main component on the plurality of layers containing tantalum.

反射型フォトマスクブランクは、反射型フォトマスクに加工する前の製品であって、光吸収層は転写される露光パターンに応じたパターン加工がなされていない。   The reflection type photomask blank is a product before being processed into a reflection type photomask, and the light absorption layer is not subjected to pattern processing according to the transferred exposure pattern.

図1は本発明にかかる反射型フォトマスクブランクの一例の構成を断面で示す説明図、および図2は本発明にかかる反射型フォトマスクの一例の構成を断面で表す説明図である。   FIG. 1 is an explanatory view showing the structure of an example of a reflective photomask blank according to the present invention in cross section, and FIG. 2 is an explanatory view showing the structure of an example of a reflective photomask according to the present invention in cross section.

図1に示すように、本例の反射型フォトマスクブランク10は基板1上に多層反射膜2、キャッピング層3、2層光吸収膜4、5、およびタンタル以外の金属を含む層であるエッチングマスク層6を順次積層した構造を有する。多層反射膜2は多層積層されているけれども簡略して単層で示している。   As shown in FIG. 1, the reflective photomask blank 10 of this example is an etching that is a layer including a multilayer reflective film 2, a capping layer 3, two-layer light absorption films 4, 5, and a metal other than tantalum on a substrate 1. The mask layer 6 is sequentially laminated. Although the multilayer reflective film 2 is multilayered, it is simply shown as a single layer.

本発明の反射型フォトマスクは、上記反射型フォトマスクブランクの光吸収膜4、5がパターン加工され、エッチングマスク層6が除去された構成である。   The reflection type photomask of the present invention has a configuration in which the light absorption films 4 and 5 of the reflection type photomask blank are patterned and the etching mask layer 6 is removed.

図2に示すように、本発明の反射型フォトマスク20は光吸収膜4、5の代わりにパターン加工された光吸収層4a、5aが設けられており、このパターン加工により光吸収膜4、5が部分的に除去されてキャッピング層の一部が露出された部分が反射領域B、除去されずに残った光吸収層4a、5aの表面が吸収領域Aを構成している。   As shown in FIG. 2, the reflective photomask 20 of the present invention is provided with patterned light absorption layers 4 a and 5 a instead of the light absorption films 4 and 5. A portion where the capping layer 5 is partially removed and a portion of the capping layer is exposed constitutes the reflection region B, and the surfaces of the light absorption layers 4a and 5a remaining without being removed constitute the absorption region A.

また、本発明の反射型フォトマスクブランクの製造方法は上記反射型フォトマスクブランクを用いて上記反射型フォトマスクを得るための方法の一例であって、上記反射型フォトマスクブランクのエッチングマスク層の上にレジスト層を形成し、露光、現像することにより所定のパターンに応じて該レジスト層を部分的に除去し、エッチングマスク層の表面を露出させる工程、およびエッチングマスク層を介して光吸収層をパターン加工する工程を具備する。   The method for producing a reflective photomask blank of the present invention is an example of a method for obtaining the reflective photomask using the reflective photomask blank, and is an etching mask layer of the reflective photomask blank. A resist layer is formed thereon, exposed and developed to partially remove the resist layer in accordance with a predetermined pattern to expose the surface of the etching mask layer, and the light absorbing layer through the etching mask layer A pattern processing step.

図3ないし図4に本発明の反射型フォトマスクブランク及び反射型フォトマスクの製造工程の一例を説明するための図を断面で示す。   3 to 4 are sectional views for explaining an example of the manufacturing process of the reflective photomask blank and the reflective photomask of the present invention.

基板としては、熱膨張係数の小さい材料で平坦度がよく、表面粗さが小さい材料が好ましく、例えば図3(a)に示すようにSiO2−TiO2ガラスを平坦に研磨して表面を清浄にしたガラス基板1を用意する。 As the substrate, a material having a small thermal expansion coefficient and good flatness and a small surface roughness is preferable. For example, as shown in FIG. 3A, the surface is cleaned by flatly polishing SiO 2 —TiO 2 glass. A glass substrate 1 is prepared.

次に、基板1の上にDCマグネトロンスパッタによりモリブデン2.8nmとケイ素4.2nmを交互に約40周期積層して、図3(b)に示すように、波長13〜14nmのEUV光に対して反射率が最大となるような多層反射膜2を作成することができる。なお、この多層反射膜2は多層膜であるけれども、図中では単層で示している。   Next, approximately 40 cycles of molybdenum 2.8 nm and silicon 4.2 nm are alternately laminated on the substrate 1 by DC magnetron sputtering, and as shown in FIG. 3B, for EUV light having a wavelength of 13 to 14 nm. Thus, the multilayer reflective film 2 having the maximum reflectance can be produced. Although the multilayer reflective film 2 is a multilayer film, it is shown as a single layer in the drawing.

その後、図3(c)に示すように、例えばジルコニウムシリサイド等のキャッピング層3をDCマグネトロンスパッタにより、例えば5ないし10nmの厚さに成膜することができる。   Thereafter, as shown in FIG. 3C, a capping layer 3 such as zirconium silicide can be formed to a thickness of, for example, 5 to 10 nm by DC magnetron sputtering.

さらに図3(d)に示すように、キャッピング層3上にタンタルとケイ素からなる第1の光吸収膜4とタンタルケイ素、酸素、窒素からなる第2の光吸収膜5をそれぞれ50ないし100nm、20ないし60nmの厚さにDCマグネトロンスパッタにより成膜することができる。   Further, as shown in FIG. 3D, a first light absorption film 4 made of tantalum and silicon and a second light absorption film 5 made of tantalum silicon, oxygen, and nitrogen are formed on the capping layer 3 to 50 to 100 nm, respectively. The film can be formed by DC magnetron sputtering to a thickness of 20 to 60 nm.

光吸収膜5上には、図3(e)に示すように、例えばニオブシリサイドからなるエッチングマスク層6を形成することができる。このようにして本例の反射型フォトマスクブランクを作成できる。   An etching mask layer 6 made of, for example, niobium silicide can be formed on the light absorption film 5 as shown in FIG. In this way, the reflective photomask blank of this example can be created.

その後、エッチングマスク層6を例えば電子線リソグラフィ技術によりパターン加工することができる。   Thereafter, the etching mask layer 6 can be patterned by, for example, an electron beam lithography technique.

まずエッチングマスク層6上に、図3(f)に示すように、電子線露光用レジスト塗布液を塗布し、ベーキングをおこなうことにより電子線露光用レジスト層7を形成する。   First, as shown in FIG. 3F, an electron beam exposure resist coating solution is applied on the etching mask layer 6 and baked to form an electron beam exposure resist layer 7.

次に、レジスト層7に電子線描画装置により所望のパターンを描画し、例えば2.38wt%水酸化テトラメチルアンモニウム水溶液により現像処理をおこない、図3(g)に示すようにエッチングマスク層6をエッチングするためのレジストパターン7aを形成することができる。   Next, a desired pattern is drawn on the resist layer 7 by an electron beam drawing apparatus, and developed with, for example, a 2.38 wt% tetramethylammonium hydroxide aqueous solution, and the etching mask layer 6 is formed as shown in FIG. A resist pattern 7a for etching can be formed.

次に塩素を含む雰囲気下でドライエッチングをおこなうことにより、図3(h)に示すようにエッチングマスク層6のパターン6aを形成することができる。   Next, by performing dry etching in an atmosphere containing chlorine, a pattern 6a of the etching mask layer 6 can be formed as shown in FIG.

次にフッ素を含む雰囲気下、たとえばC26ガスを含むガスを用いてドライエッチングをおこなうことによりレジストパターン7aおよびエッチングマスクパターン6aに対応した光吸収膜パターン5aを図4(i)に示すように形成することができる。図4(i)ではレジストパターン7aが示されているが、吸収膜パターン5aを形成するエッチングの途中で消失してもよく、エッチングマスクパターン6aが十分に残存していればよい。 Next, dry etching is performed using, for example, a gas containing C 2 F 6 gas in an atmosphere containing fluorine, and the light absorption film pattern 5a corresponding to the resist pattern 7a and the etching mask pattern 6a is shown in FIG. Can be formed. Although FIG. 4 (i) shows the resist pattern 7a, it may disappear during the etching for forming the absorption film pattern 5a, and the etching mask pattern 6a only needs to remain sufficiently.

次にレジストパターン7aを例えば酸素ガスを用いたプラズマアッシングにより除去し、エッチングマスクパターン6aを例えば塩素ガスを含む雰囲気でエッチング除去することにより、図4(j)に示すように、吸収膜パターン5aと光吸収層4の一部が表面に露出した状態となる。   Next, the resist pattern 7a is removed by, for example, plasma ashing using oxygen gas, and the etching mask pattern 6a is removed by etching in an atmosphere containing, for example, chlorine gas, thereby obtaining an absorption film pattern 5a as shown in FIG. And part of the light absorption layer 4 is exposed on the surface.

この状態で吸収膜パターンが正常に形成されているかどうかを遠紫外光により検査することができ、必要に応じて欠陥を修正することができる。また、検査や修正の前後に適宜洗浄工程を設けてもよい。   In this state, whether or not the absorption film pattern is normally formed can be inspected with far ultraviolet light, and defects can be corrected as necessary. Moreover, you may provide a washing | cleaning process suitably before and after an inspection and correction.

さらに、吸収膜パターン5aをエッチングマスクとして塩素を含む雰囲気中でドライエッチングをおこなうことにより図4(k)に示したような2層吸収パターン4a、5aを
備えた反射型マスクが得られる。キャッピング層3は吸収膜パターン4aを形成するときのエッチング停止層として機能する。
Further, by performing dry etching in an atmosphere containing chlorine using the absorption film pattern 5a as an etching mask, a reflective mask having the two-layer absorption patterns 4a and 5a as shown in FIG. 4K is obtained. The capping layer 3 functions as an etching stop layer when forming the absorption film pattern 4a.

本発明に用いられる多層反射膜としては、EUV領域の波長で高い反射率を得るために屈折率の異なる材料を多層に積層した膜を用いることができる。高い反射率を得るためには、各層間の界面で急峻に屈折率が変化することが望まれる。13.5nm付近の波長のEUV光を使用する場合、モリブデンとケイ素を交互に積層した多層膜を用いることができる。多層膜の最上層は真空との屈折率差の大きいモリブデンの方が反射率を高くできるが、モリブデン表面に生成する酸化膜が不安定であることから、最上層はケイ素とすることが多い。最上層は多層膜を保護する観点からキャッピング層と呼ばれる。キャッピング層は表面酸化に対して安定なケイ素以外の材料を最上層としてもよく、多層膜の反射を損なわないように消衰係数が小さく、また、前記理由により屈折率が1からなるべく離れていることが好ましい。   As the multilayer reflective film used in the present invention, a film in which materials having different refractive indexes are laminated in multiple layers in order to obtain a high reflectance at a wavelength in the EUV region can be used. In order to obtain a high reflectance, it is desirable that the refractive index changes sharply at the interface between the layers. When EUV light having a wavelength near 13.5 nm is used, a multilayer film in which molybdenum and silicon are alternately stacked can be used. The uppermost layer of the multilayer film can have a higher reflectance with molybdenum having a larger refractive index difference from vacuum, but the uppermost layer is often made of silicon because the oxide film formed on the molybdenum surface is unstable. The uppermost layer is called a capping layer from the viewpoint of protecting the multilayer film. The capping layer may be made of a material other than silicon that is stable against surface oxidation, and its extinction coefficient is small so as not to impair the reflection of the multilayer film, and the refractive index is as far as possible from 1 for the above reasons. It is preferable.

本発明に係る光吸収層は、少なくとも2層の光吸収膜を有する。   The light absorption layer according to the present invention has at least two light absorption films.

ここで使用される光吸収膜はマスク上にパターン加工され、露光プロセスにおいて転写されるパターンの光強度の小さい領域を形成するものをいう。光吸収膜としてはEUV波長の光を吸収する能力の高い材料が使用され得る。吸収能力は露光に用いられる光の波長における消衰係数で定まる。   The light absorption film used here refers to a film that is patterned on a mask and forms a low light intensity region of a pattern transferred in an exposure process. As the light absorbing film, a material having a high ability to absorb light having an EUV wavelength can be used. The absorption capacity is determined by the extinction coefficient at the wavelength of light used for exposure.

本発明では、使用される光吸収膜がタンタルを含む複数の層からなり、多層反射膜に近い層のタンタル含有率が、その上に形成される吸収層のタンタル含有率よりも高い。   In the present invention, the light absorption film used is composed of a plurality of layers containing tantalum, and the tantalum content of the layer close to the multilayer reflective film is higher than the tantalum content of the absorption layer formed thereon.

タンタル単体をスパッタリングにより成膜すると、結晶粒子が成長しやすく、応力の経時変化が大きくなるために、低い応力の膜とすることが困難となる傾向がある。また、粒子が粗いとパターン端部の粗さ(Line Edge Roughness)が大きくなり、転写性能を劣化させる原因となる。   When a single tantalum film is formed by sputtering, crystal grains are likely to grow and the change with time of stress increases, so that it tends to be difficult to form a film with low stress. Further, if the particles are rough, the roughness of the pattern edge (Line Edge Roughness) increases, which causes the transfer performance to deteriorate.

たとえば、タンタルとケイ素をターゲットとしてスパッタリングすると、ケイ素の含有量を5%以上とすると、タンタルの結晶構造は見られなくなり、アモルファスとなる。この条件で、スパッタリングの電流値やガスの流量を適宜選択することによって、低応力の膜が得られる。ケイ素の量が過剰であると、膜の密度が低下し、タンタルによる高い吸収効果が損なわれる。したがって、タンタルを主成分とする光吸収膜のタンタル含有率は比較的高いことが好ましい。   For example, when sputtering is performed using tantalum and silicon as targets, if the silicon content is 5% or more, the crystal structure of tantalum is not seen and the film becomes amorphous. Under these conditions, a low-stress film can be obtained by appropriately selecting the sputtering current value and the gas flow rate. If the amount of silicon is excessive, the density of the film is lowered and the high absorption effect by tantalum is impaired. Therefore, it is preferable that the light absorption film containing tantalum as a main component has a relatively high tantalum content.

光吸収膜の一部をエッチング除去した後にパターンが正常に形成されたかどうかを検査するために、遠紫外光による欠陥検査装置を用いようとした場合に、前記のタンタル含有率の高い膜の反射率は概ね40ないし60%の範囲にある。一方、光吸収膜の一部を除去して多層反射膜の表面が露出した部分の反射率もまた、40ないし60%の範囲にある。したがって、タンタル含有率の高い光吸収膜のパターン欠陥検査を遠紫外光でおこなうことが困難になってしまう。   Reflection of the film having a high tantalum content when a defect inspection apparatus using far ultraviolet light is used to inspect whether a pattern is normally formed after etching away a part of the light absorption film. The rate is generally in the range of 40-60%. On the other hand, the reflectance of the portion where the surface of the multilayer reflective film is exposed by removing a part of the light absorbing film is also in the range of 40 to 60%. Therefore, it becomes difficult to perform pattern defect inspection of a light absorption film having a high tantalum content with far ultraviolet light.

このような問題を解決する方法として、膜の材料に窒素や酸素を含有したものを用いる方法や、光吸収膜上に反射防止層を設ける方法がある。反射防止層は層の上面と下面の反射の干渉効果を利用するものであり、用いる光の波長において比較的透明性の高い材料であることが要求される。   As a method for solving such a problem, there are a method using a film material containing nitrogen or oxygen, and a method of providing an antireflection layer on the light absorption film. The antireflection layer utilizes the interference effect of reflection on the upper and lower surfaces of the layer, and is required to be a material having a relatively high transparency at the wavelength of light used.

タンタル含有率の高い材料をパターン加工する場合、塩素を含むガスを用いたドライエッチングを用いることができる。タンタルの含有率が90%以上であるような材料をIC
P(誘導結合プラズマ)方式のドライエッチング装置を使用し、ポリヒドロキシスチレン系のベースポリマーを使用した典型的な電子線露光用レジストをエッチングマスクとしてパターンを形成する際に、レジストに対するエッチングの選択比は0.5ないし0.7程度であり、必ずしも良好とはいえないが、素子寸法のエッチングバイアスを適正に設定することにより、要求されるパターンを形成することが可能である。
When patterning a material having a high tantalum content, dry etching using a gas containing chlorine can be used. A material whose tantalum content is 90% or more is IC
When using P (inductively coupled plasma) type dry etching equipment to form a pattern using a typical resist for electron beam exposure using a polyhydroxystyrene base polymer as an etching mask, the etching selectivity to the resist Is about 0.5 to 0.7, which is not necessarily good, but it is possible to form a required pattern by appropriately setting the etching bias of the element dimensions.

しかしながら、前記の検査光のコントラストを向上させる目的で酸化度を高くした膜を塩素ガスを用いてエッチングした場合、エッチング速度が大幅に低下し、レジストとの選択比も0.1未満となってしまう。このため、タンタルを主成分とする光吸収膜でDUV検査光に対する反射率が低くなるように設定した膜を塩素系のプラズマのみでエッチング加工することはきわめて困難である。   However, when a film with a high degree of oxidation is etched using chlorine gas for the purpose of improving the contrast of the inspection light, the etching rate is greatly reduced and the selectivity with respect to the resist is less than 0.1. End up. For this reason, it is extremely difficult to etch a film having a light absorption film mainly composed of tantalum so that the reflectance with respect to the DUV inspection light is lowered by using only chlorine-based plasma.

このような酸化の進行したタンタルを主成分とする材料はフッ素系のガスを用いてエッチング加工することができる。例えばエッチングガスとしてC26を用いて酸素含有率が40−60%であるような膜をエッチングすると、レジストとの選択比は0.7程度の値が得られる。 Such a material mainly composed of tantalum that has been oxidized can be etched using a fluorine-based gas. For example, when a film having an oxygen content of 40-60% is etched using C 2 F 6 as an etching gas, the selectivity with respect to the resist is about 0.7.

これまでに提案された単層の光吸収層を具備した反射型フォトマスクブランクは、光吸収層と多層反射膜の間に修正用のバッファー層を設けるのが典型的である。光吸収層とバッファー層はドライエッチングに対して互いに選択比の高くなる組み合わせが好適に用いられる。   In the reflection type photomask blank having a single light absorption layer proposed so far, a correction buffer layer is typically provided between the light absorption layer and the multilayer reflection film. A combination in which the light absorption layer and the buffer layer have a high selectivity with respect to dry etching is preferably used.

例えば、一方を塩素系のエッチングガスに対してエッチング速度が大きく、フッ素系のエッチングガスに対してエッチング速度が小さい材料、他方を塩素系のエッチングガスに対してエッチング速度が小さく、フッ素系のエッチングガスに対してエッチング速度が大きい材料を選択するのが典型的である。   For example, one is a material with a high etching rate for a chlorine-based etching gas and a small etching rate for a fluorine-based etching gas, and the other is a material with a low etching rate for a chlorine-based etching gas, and a fluorine-based etching gas. Typically, a material with a high etch rate relative to the gas is selected.

ここでいう塩素系のエッチングガスとは、例えば塩素(Cl2)、三塩化ホウ素(BCl3)、塩化水素(HCl)等を指し、フッ素系エッチングガスとは、例えばパーフルオロエタン(C26)、六フッ化硫黄(SF6)、三フッ化窒素(NF3)等のガスのことをいう。 Here, the chlorine-based etching gas refers to, for example, chlorine (Cl 2 ), boron trichloride (BCl 3 ), hydrogen chloride (HCl), and the like. The fluorine-based etching gas refers to, for example, perfluoroethane (C 2 F 6 ) Gases such as sulfur hexafluoride (SF 6 ) and nitrogen trifluoride (NF 3 ).

前記2層吸収膜に対して、さらに修正用のバッファー層を設けることもできるが、上記吸収膜をエッチング加工した段階でエッチングマスクを剥離して検査、修正し、上層吸収膜のパターンをエッチングマスクとしてパターン加工することができれば、2層吸収膜の他に修正用のバッファー層を設ける必要はない。   A buffer layer for correction can be further provided on the two-layer absorption film. However, the etching mask is peeled off at the stage of etching the absorption film to inspect and correct the upper absorption film pattern. If the pattern processing can be performed, it is not necessary to provide a correction buffer layer in addition to the two-layer absorption film.

遠紫外光を用いた欠陥検査装置を使用してパターン検査をおこなう場合の反射コントラストに注目すると、既に説明したように、タンタルを90%以上含有した層の遠紫外領域の反射率は、吸収膜をエッチングして多層反射膜あるいはキャッピング層表面の反射率との差が小さい。いいかえれば、酸素含有率を高くしてタンタル含有率を低くした第2の吸収層の成膜条件を適宜選択して、前記第1の吸収層に対して反射コントラストを大きくなるようにすれば、第1の吸収層をエッチング除去して多層膜表面あるいはキャッピング層の表面が露出した部分との反射コントラストも大きくなり好都合である。   When attention is paid to the reflection contrast when pattern inspection is performed using a defect inspection apparatus using far ultraviolet light, as already described, the reflectance in the far ultraviolet region of a layer containing 90% or more of tantalum is an absorption film. The difference between the reflectance of the surface of the multilayer reflective film or the capping layer by etching is small. In other words, if the film-forming conditions of the second absorption layer with a high oxygen content and a low tantalum content are appropriately selected and the reflection contrast is increased with respect to the first absorption layer, The first absorption layer is removed by etching, and the reflection contrast with the exposed portion of the surface of the multilayer film or the capping layer is advantageously increased.

本発明のフォトマスクブランクは前記の複数の吸収膜の上にさらにタンタル以外の金属を主成分とする層をさらに設けることができる。この層は吸収膜の一部をエッチングにより除去する際にレジストとともにエッチングマスクとなる。以後、この層をエッチングマスク層と呼ぶ。タンタル含有率が低い前記の光吸収膜はフッ素系のガスで好適にエッチングされ得る。したがって、エッチングマスク層は塩素系のエッチングガスで容易にエッチ
ングすることが可能で、フッ素系のエッチングガスに対して耐性が大きいことが望ましい。
In the photomask blank of the present invention, a layer mainly containing a metal other than tantalum can be further provided on the plurality of absorption films. This layer becomes an etching mask together with the resist when part of the absorption film is removed by etching. Hereinafter, this layer is referred to as an etching mask layer. The light absorption film having a low tantalum content can be suitably etched with a fluorine-based gas. Accordingly, it is desirable that the etching mask layer can be easily etched with a chlorine-based etching gas and has high resistance to a fluorine-based etching gas.

また、タンタル含有率の低い前記の光吸収膜はタンタル含有率の高い膜と比較して、導電性が低い。このため、透明性を大きくした膜をパターン加工するために電子線にてパターン露光する際にチャージアップによりパターンの位置や形状に歪みを生じることがある。したがって、エッチングマスク層の材料としては、金属含有率が高く、導電性が高い材料を好適に用いることができる。   In addition, the light absorption film having a low tantalum content has lower conductivity than a film having a high tantalum content. For this reason, when pattern exposure is performed with an electron beam to pattern a film with increased transparency, the pattern position and shape may be distorted by charge-up. Therefore, a material having a high metal content and high conductivity can be suitably used as the material for the etching mask layer.

さらに、前記エッチングマスク層としては、窒素を含有しない材料を好適に用いることができる。また、これにより、前記タンタルの含有率の低い光吸収層に窒素を含有させることにより膜の特性をさらに改善することも可能となる。   Furthermore, as the etching mask layer, a material containing no nitrogen can be suitably used. This also makes it possible to further improve the film characteristics by incorporating nitrogen into the light absorption layer having a low tantalum content.

前記の要件を満たすエッチングマスク層の材料として、具体的にはニオブ、チタン、アルミニウム、クロムから選択される一つ以上の金属を含む材料をあげることができる。金属単体でスパッタリングをおこなうと、結晶粒子が成長しやすいことから、上記金属を組合せたり、ケイ素をさらに含有する膜を好適に用いることができる。   Specific examples of the material for the etching mask layer that satisfies the above requirements include a material containing one or more metals selected from niobium, titanium, aluminum, and chromium. When sputtering is performed with a single metal, crystal grains are likely to grow. Therefore, a film containing the above metals or further containing silicon can be suitably used.

チタンやアルミニウム等の軽元素を主成分とすれば、電子線描画時の電子の後方散乱によるパターン寸法変動に対する影響を低減することもできる。   If a light element such as titanium or aluminum is used as a main component, it is also possible to reduce the influence on the pattern dimension variation due to backscattering of electrons during electron beam drawing.

また、本発明の反射型フォトマスクブランクは、多層反射膜と光吸収膜の間にタンタル以外の金属を主成分として含有する膜をさらに設けることができる。この層は多層反射膜のすぐ上にあって、多層反射膜の損傷による反射率の変動を防止することからキャッピング層と呼ばれる。本発明においてキャッピング層のすぐ上にあるタンタル含有率の高い光吸収層は、塩素系のエッチングガスを使用してエッチング加工される。したがって、本発明におけるキャッピング層は塩素系ガスを使用したドライエッチングに対して高い耐性を有する材料から選択された材料を好適に用いることができる。   In the reflective photomask blank of the present invention, a film containing a metal other than tantalum as a main component can be further provided between the multilayer reflective film and the light absorbing film. This layer is just above the multilayer reflective film, and is called a capping layer because it prevents a change in reflectance due to damage to the multilayer reflective film. In the present invention, the light absorbing layer having a high tantalum content immediately above the capping layer is etched using a chlorine-based etching gas. Therefore, the capping layer in the present invention can be preferably made of a material selected from materials having high resistance to dry etching using a chlorine-based gas.

また、図2から明らかなように、キャッピング層は最終的に反射型フォトマスクを形成した際に、反射領域の最上層となる。このことから、キャッピング層の材料はEUVの露光波長において消衰係数が小さく、また、屈折率が真空の屈折率すなわち1との差が大きいものを好適に用いることができる。   Further, as is apparent from FIG. 2, the capping layer becomes the uppermost layer of the reflective region when the reflective photomask is finally formed. For this reason, a material for the capping layer having a small extinction coefficient at the EUV exposure wavelength and a refractive index having a large difference from the refractive index of vacuum, that is, 1 can be preferably used.

具体的にはルテニウム、ジルコニウム、イットリウムから選択される一つ以上の金属を含有する材料を好適に用いることができる。特にジルコニウムとケイ素を含有する材料を用いることにより、洗浄液等の化学物質に対して安定な膜とすることができる。   Specifically, a material containing one or more metals selected from ruthenium, zirconium, and yttrium can be preferably used. In particular, by using a material containing zirconium and silicon, a film that is stable against chemical substances such as a cleaning liquid can be obtained.

本発明によるフォトマスクを用いたパターン転写方法は、例えば、先ず被加工層を表面に形成した基板上にフォトレジスト層を設けたのち、本発明によるフォトマスクを介して反射した極端紫外線を選択的に照射する。   In the pattern transfer method using the photomask according to the present invention, for example, first, a photoresist layer is provided on a substrate on which a layer to be processed is formed, and then the extreme ultraviolet rays reflected through the photomask according to the present invention are selectively selected. Irradiate.

次いで、現像工程において不必要な部分のフォトレジスト層を除去し、基板上にレジスト層のパターンを形成させたのち、このレジスト層のパターンをマスクとして被加工層を処理し、次いで、レジスト層のパターンを除去することにより、フォトマスクパターンに忠実なパターンを基板上に転写する方法である。   Next, unnecessary portions of the photoresist layer in the development process are removed, and a pattern of the resist layer is formed on the substrate. Then, the layer to be processed is processed using the pattern of the resist layer as a mask. In this method, a pattern faithful to the photomask pattern is transferred onto the substrate by removing the pattern.

以下に実施例を示し、本発明をより詳細に説明する。   The following examples illustrate the present invention in more detail.

図3ないし図4を用いて、実施例1の反射型フォトマスクの製造工程について説明する。   A manufacturing process of the reflective photomask of Example 1 will be described with reference to FIGS.

基板1として、図3(a)に示すように6インチ×6インチ×0.25インチの大きさの合成石英ガラス基板を用意した。   As the substrate 1, a synthetic quartz glass substrate having a size of 6 inches × 6 inches × 0.25 inches was prepared as shown in FIG.

図3(b)に示すように、基板1上にDCマグネトロンスパッタ装置を用いてモリブデンとケイ素のターゲットを交互に使用し、アルゴン雰囲気で2.8nmの膜厚を有するMo層、および4.2nmの膜厚を有するSi層を1周期として40周期積層し、280nmの厚さを有する多層反射膜2を形成した。多層反射膜2の最上層はケイ素とした。この多層反射膜2の波長257nmにおける反射率は63%であった。   As shown in FIG. 3B, a Mo layer having a film thickness of 2.8 nm in an argon atmosphere using a DC magnetron sputtering apparatus alternately on a substrate 1 and a film thickness of 2.8 nm, and 4.2 nm. A multilayer reflection film 2 having a thickness of 280 nm was formed by laminating 40 periods each having an Si layer having a thickness of 280 nm. The uppermost layer of the multilayer reflective film 2 was silicon. The reflectance of the multilayer reflective film 2 at a wavelength of 257 nm was 63%.

次に、図3(c)に示すように、多層反射膜2の上にジルコニウムとケイ素の原子数比が1:2のスパッタリングターゲットを使用して、ジルコニウムシリサイドからなるキャッピング層3を7nmの厚さで成膜した。   Next, as shown in FIG. 3C, a sputtering target having a zirconium / silicon atomic ratio of 1: 2 is used on the multilayer reflective film 2 to form a capping layer 3 made of zirconium silicide with a thickness of 7 nm. A film was formed.

次に図3(d)に示すように、アルゴンガス雰囲気下でタンタルとケイ素のスパッタリングターゲットを使用し、パワー比6:1でDCマグネトロンスパッタリングにより80nmの厚さにタンタルとケイ素からなる第1の光吸収膜4を成膜し、引き続き、タンタルとケイ素のスパッタリングターゲットを使用し、パワー比を1:3として、アルゴンと酸素の流量比を7:1とした反応性DCスパッタリングにより第2の吸収膜5を28nmの厚さに成膜した。それぞれの吸収膜をX線光電子分光法により分析して膜の組成を調べたところ、第1の光吸収膜のタンタル含有率は94%であり、第2の光吸収膜のタンタル含有率は30%であった。第2の光吸収膜を形成した面の波長257nmにおける反射率は5%であった。   Next, as shown in FIG. 3D, a tantalum and silicon sputtering target is used in an argon gas atmosphere, and a power ratio of 6: 1 is formed by DC magnetron sputtering to a thickness of 80 nm. The light absorption film 4 is formed, and then the second absorption is performed by reactive DC sputtering using a sputtering target of tantalum and silicon, with a power ratio of 1: 3, and a flow ratio of argon and oxygen of 7: 1. The film 5 was formed to a thickness of 28 nm. When each absorption film was analyzed by X-ray photoelectron spectroscopy and the composition of the film was examined, the tantalum content of the first light absorption film was 94%, and the tantalum content of the second light absorption film was 30%. %Met. The reflectance at a wavelength of 257 nm of the surface on which the second light absorption film was formed was 5%.

さらに、ニオブとケイ素をスパッタリングターゲットとして使用し、パワー比1:2、アルゴンガス雰囲気下でDCマグネトロンスパッタリングによりエッチングマスク層6を30nmの厚さに形成して、図3(e)に示すようなEUV光用反射型マスクブランクを得た。   Further, using niobium and silicon as a sputtering target, a power ratio of 1: 2, and an etching mask layer 6 having a thickness of 30 nm is formed by DC magnetron sputtering under an argon gas atmosphere, as shown in FIG. A reflective mask blank for EUV light was obtained.

上記マスクブランクに電子線レジストFEP171(富士フイルムエレクトロニクスマテリアルズ社製)を300nmの厚さにスピンコートし、ホットプレートにて110℃で10分間のベーキングをおこない、図3(f)に示すようにレジスト層7を形成した。   The mask blank is spin-coated with an electron beam resist FEP171 (manufactured by FUJIFILM Electronics Materials Co., Ltd.) to a thickness of 300 nm, and baked at 110 ° C. for 10 minutes on a hot plate, as shown in FIG. A resist layer 7 was formed.

次いで、電子線描画装置を用いて、10μC/cm2のドーズ量でパターンを描画した。描画後のブランクをホットプレートにて110℃で10分間ベーキングし、2.38wt%の水酸化テトラメチルアンモニウム水溶液で90秒間現像処理して、純水でリンスした後にスピン乾燥して図3(g)に示すようなレジストパターン7aを得た。 Next, a pattern was drawn with a dose of 10 μC / cm 2 using an electron beam drawing apparatus. The blank after drawing was baked on a hot plate at 110 ° C. for 10 minutes, developed with a 2.38 wt% tetramethylammonium hydroxide aqueous solution for 90 seconds, rinsed with pure water, spin-dried, and dried as shown in FIG. A resist pattern 7a as shown in FIG.

続いて、ICPエッチング装置を用いて、塩素ガスとヘリウムガスを用いて前記レジストパターン7aを介して、図3(h)に示すように、吸収膜をパターン加工するためのエッチングマスクパターン6aを得た。   Subsequently, using an ICP etching apparatus, an etching mask pattern 6a for patterning the absorption film is obtained as shown in FIG. 3 (h) through the resist pattern 7a using chlorine gas and helium gas. It was.

このエッチング条件において、エッチングマスク層6のエッチング速度は230nm/min、レジスト膜のエッチング速度は85nm/minであり、選択比は2.7となり十分に大きい値であった。   Under these etching conditions, the etching rate of the etching mask layer 6 was 230 nm / min, the etching rate of the resist film was 85 nm / min, and the selectivity was 2.7, which was a sufficiently large value.

次にICPエッチング装置を使用しC26ガスとヘリウムガスを1:7で混合した雰囲気下で前記第2の光吸収層のパターン5aを得た。このエッチング条件において、前記エ
ッチングマスク層6のエッチング速度は9nm/min、第2の吸収膜のエッチング速度は13nm/minであり、エッチングマスクに対するエッチングの選択比は十分に大きい値であった。一方、このエッチング条件におけるレジスト材料のエッチング速度は22nm/minであった。このことから、エッチングマスク層による選択比の改善効果は2倍以上であり非常に大きい。
Next, using the ICP etching apparatus, the pattern 5a of the second light absorption layer was obtained in an atmosphere in which C 2 F 6 gas and helium gas were mixed at 1: 7. Under these etching conditions, the etching rate of the etching mask layer 6 was 9 nm / min, the etching rate of the second absorption film was 13 nm / min, and the etching selectivity with respect to the etching mask was a sufficiently large value. On the other hand, the etching rate of the resist material under these etching conditions was 22 nm / min. For this reason, the effect of improving the selectivity by the etching mask layer is twice or more, which is very large.

次に、酸素プラズマによりレジストパターン7aを除去し、引き続いて塩素ガスとヘリウムガスを混合したプラズマ雰囲気下でニオブとケイ素からなるエッチングマスク層を除去した。エッチングマスク層のエッチング速度は230nm/minで非常に大きく、約8秒で除去されることから、第1の吸収層の露出した部分は十分な膜厚で残留している。   Next, the resist pattern 7a was removed by oxygen plasma, and then the etching mask layer made of niobium and silicon was removed in a plasma atmosphere in which chlorine gas and helium gas were mixed. Since the etching rate of the etching mask layer is very high at 230 nm / min and is removed in about 8 seconds, the exposed portion of the first absorption layer remains with a sufficient film thickness.

この状態でタンタル含有率の低い第2の吸収層が残留した吸収領域の257nmにおける反射率は3.0%、タンタル含有率の高い第1の吸収層が残留した反射領域の257nmにおける反射率は54.2%であった。したがって、反射コントラストは90%であり十分なコントラストでパターン形状を確認することができた。   In this state, the reflectance at 257 nm of the absorption region where the second absorption layer having a low tantalum content remains is 3.0%, and the reflectance at 257 nm of the reflection region where the first absorption layer having a high tantalum content remains is It was 54.2%. Therefore, the reflection contrast was 90%, and the pattern shape could be confirmed with sufficient contrast.

ここでいう反射コントラストは
(高反射率部の反射率−低反射率部の反射率)/(高反射率部の反射率+低反射率部の反射率)×100
で計算される値である。
The reflection contrast here is (reflectance of the high reflectance part−reflectance of the low reflectance part) / (reflectance of the high reflectance part + reflectance of the low reflectance part) × 100.
It is a value calculated by.

パターンの確認後、再度塩素ガスとヘリウムガスの混合ガスによるプラズマにより第1の吸収膜をエッチングして、反射型マスク20を得た。   After confirming the pattern, the first absorption film was etched again by plasma with a mixed gas of chlorine gas and helium gas, and the reflective mask 20 was obtained.

このエッチング条件において、タンタル含有率の低い第2の吸収層のエッチング速度は4nm/minであり、タンタル含有率の高い第1の吸収層のエッチング速度は42nm/minであった。第1の吸収層の膜厚は80nmであることから20%程度のオーバーエッチングをおこなっても第2の吸収層の膜厚の減少量は約9nmと微小であった。   Under these etching conditions, the etching rate of the second absorption layer having a low tantalum content was 4 nm / min, and the etching rate of the first absorption layer having a high tantalum content was 42 nm / min. Since the film thickness of the first absorption layer is 80 nm, the amount of decrease in the film thickness of the second absorption layer was as small as about 9 nm even if overetching of about 20% was performed.

この状態でタンタル含有率の低い第2の吸収層の残留した部分の波長257nmにおける反射率は3.4%であり、ジルコニウムとケイ素からなるキャッピング層の257nmにおける反射率は55.0%であった。したがって反射コントラストは88%であり、十分にパターン欠陥を検査できる値であった。   In this state, the reflectivity at a wavelength of 257 nm of the remaining portion of the second absorption layer having a low tantalum content was 3.4%, and the reflectivity at 257 nm of the capping layer made of zirconium and silicon was 55.0%. It was. Therefore, the reflection contrast was 88%, which was a value that could sufficiently inspect pattern defects.

本発明にかかるEUV光用反射型フォトマスクは、半導体素子、半導体装置および電子回路装置等の製造工程で、EUV光用レジストを用いて微細なパターンを形成するために好適に用いることができる。   The reflective photomask for EUV light according to the present invention can be suitably used for forming a fine pattern using a resist for EUV light in a manufacturing process of a semiconductor element, a semiconductor device, an electronic circuit device or the like.

本発明にかかる反射型フォトマスクブランクの一例の構成を断面で示す説明図Explanatory drawing which shows the structure of an example of the reflective photomask blank concerning this invention in a cross section 本発明にかかる反射型フォトマスクの一例の構成を断面で示す説明図Explanatory drawing which shows the structure of an example of the reflective photomask concerning this invention in a cross section 本発明の反射型フォトマスクの製造工程の一例を断面で示す部分説明図Partial explanatory drawing which shows an example of the manufacturing process of the reflective photomask of this invention in a cross section 本発明の反射型フォトマスクの製造工程の一例を断面で示す部分説明図Partial explanatory drawing which shows an example of the manufacturing process of the reflective photomask of this invention in a cross section

符号の説明Explanation of symbols

1…基板、2…多層反射膜、3…キャッピング層、4、5…光吸収膜、4a、5a…光吸収層、6…エッチングマスク層、6a…エッチングマスクパターン、7…レジスト層、7a…レジストパターン DESCRIPTION OF SYMBOLS 1 ... Substrate, 2 ... Multilayer reflective film, 3 ... Capping layer, 4, 5 ... Light absorption film, 4a, 5a ... Light absorption layer, 6 ... Etching mask layer, 6a ... Etching mask pattern, 7 ... Resist layer, 7a ... Resist pattern

Claims (1)

基板と基板上に設けられた多層反射膜と、多層反射膜上に設けられた光吸収層を具備し、光吸収層がタンタルを含有する複数の層からなり、多層反射膜に近いほうの層に含まれるタンタルの含有率が、その後に積層して形成した層のタンタル含有率よりも高い反射型フォトマスクブランクにおいて、
タンタルを含有する複数の層の上に、タンタル以外の金属を主成分として含有する層をさらに積層してなり、前記タンタル以外の金属がチタンであり、チタンとケイ素をスパッタリングターゲットとして形成してなることを特徴とする反射型フォトマスクブランク。
A substrate, a multilayer reflection film provided on the substrate, and a light absorption layer provided on the multilayer reflection film, the light absorption layer comprising a plurality of layers containing tantalum, the layer closer to the multilayer reflection film In the reflection type photomask blank in which the content of tantalum contained in is higher than the tantalum content of the layer formed by subsequent lamination,
A layer containing a metal other than tantalum as a main component is further laminated on a plurality of layers containing tantalum, and the metal other than tantalum is titanium, and titanium and silicon are formed as a sputtering target. A reflective photomask blank characterized by that.
JP2005183024A 2005-06-23 2005-06-23 Reflective photomask blank, reflective photomask, and pattern transfer method using the same Expired - Fee Related JP5178996B2 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2005183024A JP5178996B2 (en) 2005-06-23 2005-06-23 Reflective photomask blank, reflective photomask, and pattern transfer method using the same

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2005183024A JP5178996B2 (en) 2005-06-23 2005-06-23 Reflective photomask blank, reflective photomask, and pattern transfer method using the same

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP2011163222A Division JP2011228743A (en) 2011-07-26 2011-07-26 Reflection type photomask blank, reflection type photomask, and pattern transfer method employing the same

Publications (2)

Publication Number Publication Date
JP2007005523A JP2007005523A (en) 2007-01-11
JP5178996B2 true JP5178996B2 (en) 2013-04-10

Family

ID=37690848

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2005183024A Expired - Fee Related JP5178996B2 (en) 2005-06-23 2005-06-23 Reflective photomask blank, reflective photomask, and pattern transfer method using the same

Country Status (1)

Country Link
JP (1) JP5178996B2 (en)

Families Citing this family (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2008192936A (en) * 2007-02-06 2008-08-21 Canon Inc Measuring instrument, exposure device, and device manufacturing method
DE102007028800B4 (en) * 2007-06-22 2016-11-03 Advanced Mask Technology Center Gmbh & Co. Kg Mask substrate, photomask and method of making a photomask
JP5082681B2 (en) * 2007-08-29 2012-11-28 凸版印刷株式会社 Reflective photomask blank and method for manufacturing reflective photomask
JP5292747B2 (en) * 2007-09-14 2013-09-18 凸版印刷株式会社 Reflective photomask for extreme ultraviolet
KR101771380B1 (en) 2008-05-09 2017-08-24 호야 가부시키가이샤 Reflective mask, reflective mask blank and method for manufacturing reflective mask
JP5381167B2 (en) * 2009-03-04 2014-01-08 凸版印刷株式会社 Reflective photomask blank and reflective photomask
JP5707696B2 (en) * 2009-12-16 2015-04-30 大日本印刷株式会社 Method for manufacturing a reflective mask
JP5418293B2 (en) * 2010-02-25 2014-02-19 凸版印刷株式会社 Reflective photomask, reflective photomask blank, and method of manufacturing the same

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH07152140A (en) * 1993-11-30 1995-06-16 Hoya Corp Production of halftone type phase shift mask
JPH07333829A (en) * 1994-06-07 1995-12-22 Hitachi Ltd Optical element and its production
JP4397496B2 (en) * 2000-02-25 2010-01-13 Okiセミコンダクタ株式会社 Reflective exposure mask and EUV exposure apparatus
KR100455383B1 (en) * 2002-04-18 2004-11-06 삼성전자주식회사 Reflection photomask, method of fabricating reflection photomask and method of fabricating integrated circuit using the same
JP4212025B2 (en) * 2002-07-04 2009-01-21 Hoya株式会社 REFLECTIVE MASK BLANK, REFLECTIVE MASK, AND METHOD FOR PRODUCING REFLECTIVE MASK
DE112004000235B4 (en) * 2003-02-03 2018-12-27 Hoya Corp. Photomask blank, photomask, and pattern transfer method using a photomask
JP4320050B2 (en) * 2003-07-18 2009-08-26 Hoya株式会社 REFLECTIVE MASK BLANKS AND ITS MANUFACTURING METHOD, REFLECTIVE MASK

Also Published As

Publication number Publication date
JP2007005523A (en) 2007-01-11

Similar Documents

Publication Publication Date Title
JP7047046B2 (en) A method for manufacturing a mask blank substrate, a substrate with a multilayer reflective film, a reflective mask blank and a reflective mask, and a semiconductor device.
TWI810176B (en) Reflective photomask substrate, reflective photomask and manufacturing method thereof, and semiconductor device manufacturing method
JP4635610B2 (en) Reflective photomask blank, reflective photomask, and reflective photomask manufacturing method
JP2011228743A (en) Reflection type photomask blank, reflection type photomask, and pattern transfer method employing the same
JP5332741B2 (en) Reflective photomask
US8409772B2 (en) Mask blank and method of manufacturing a transfer mask
KR102631779B1 (en) Reflective mask blank, method of manufacturing a reflective mask, and method of manufacturing a semiconductor device
JP5178996B2 (en) Reflective photomask blank, reflective photomask, and pattern transfer method using the same
KR20080080047A (en) Mask blank, method of manufacturing an exposure mask, and method of manufacturing an imprint template
TWI772645B (en) Blank photomask, method for manufacturing photomask, and photomask
JP2008041740A (en) Reflective photo-mask blank, reflective photo-mask and exposure method for extreme ultraviolet ray
JP6475400B2 (en) REFLECTIVE MASK BLANK, REFLECTIVE MASK, MANUFACTURING METHOD THEREOF, AND METHOD FOR MANUFACTURING SEMICONDUCTOR DEVICE
JP6818921B2 (en) Mask blank, transfer mask manufacturing method, and semiconductor device manufacturing method
WO2020189168A1 (en) Mask blank, method for manufacturing transfer mask, and method for manufacturing semiconductor device
JP2007250613A (en) Reflective mask blank, reflective mask, and exposure method of extremely short ultraviolet ray
JP6440996B2 (en) REFLECTIVE MASK BLANK AND ITS MANUFACTURING METHOD, REFLECTIVE MASK MANUFACTURING METHOD, AND SEMICONDUCTOR DEVICE MANUFACTURING METHOD
JP2016046370A5 (en)
JP4900656B2 (en) Reflective mask blank, reflective photomask, and reflective photomask manufacturing method
JP2020034666A5 (en)
JPWO2020066590A1 (en) Manufacturing method for mask blanks, transfer masks and semiconductor devices
JP2007035931A (en) Mask blank for extreme ultraviolet ray exposure, mask for extreme ultraviolet ray exposure, its manufacturing method, and pattern transfer method
JP5939662B2 (en) Mask blank manufacturing method
KR102468612B1 (en) Photomask blank, method for manufacturing photomask, and photomask
TW202303261A (en) Mask blank, phase shift mask, and method for manufacturing semiconductor device

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20080522

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20100916

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20100928

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20101117

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20110426

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20110726

A911 Transfer of reconsideration by examiner before appeal (zenchi)

Free format text: JAPANESE INTERMEDIATE CODE: A911

Effective date: 20110803

A912 Removal of reconsideration by examiner before appeal (zenchi)

Free format text: JAPANESE INTERMEDIATE CODE: A912

Effective date: 20110902

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20121108

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20130109

R150 Certificate of patent or registration of utility model

Ref document number: 5178996

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

LAPS Cancellation because of no payment of annual fees