JP4999265B2 - ゲート絶縁膜の製造方法 - Google Patents

ゲート絶縁膜の製造方法 Download PDF

Info

Publication number
JP4999265B2
JP4999265B2 JP2004248583A JP2004248583A JP4999265B2 JP 4999265 B2 JP4999265 B2 JP 4999265B2 JP 2004248583 A JP2004248583 A JP 2004248583A JP 2004248583 A JP2004248583 A JP 2004248583A JP 4999265 B2 JP4999265 B2 JP 4999265B2
Authority
JP
Japan
Prior art keywords
insulating film
gate insulating
processing chamber
deuterium
film
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP2004248583A
Other languages
English (en)
Other versions
JP2006066706A (ja
Inventor
孝昭 川原
和功 鳥居
實 井上
智 羽坂
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiyo Nippon Sanso Corp
Renesas Electronics Corp
Original Assignee
Taiyo Nippon Sanso Corp
Renesas Electronics Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiyo Nippon Sanso Corp, Renesas Electronics Corp filed Critical Taiyo Nippon Sanso Corp
Priority to JP2004248583A priority Critical patent/JP4999265B2/ja
Priority to PCT/JP2005/015429 priority patent/WO2006022326A1/ja
Priority to US11/660,925 priority patent/US20080128833A1/en
Priority to EP05780953A priority patent/EP1796174A1/en
Priority to TW094129045A priority patent/TW200629420A/zh
Publication of JP2006066706A publication Critical patent/JP2006066706A/ja
Application granted granted Critical
Publication of JP4999265B2 publication Critical patent/JP4999265B2/ja
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02181Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing hafnium, e.g. HfO2
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/308Oxynitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02142Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing silicon and at least one metal element, e.g. metal silicate based insulators or metal silicon oxynitrides
    • H01L21/02148Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing silicon and at least one metal element, e.g. metal silicate based insulators or metal silicon oxynitrides the material containing hafnium, e.g. HfSiOx or HfSiON
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02178Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing aluminium, e.g. Al2O3
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02194Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing more than one metal element
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28185Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation with a treatment, e.g. annealing, after the formation of the gate insulator and before the formation of the definitive gate conductor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28194Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation by deposition, e.g. evaporation, ALD, CVD, sputtering, laser deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/3141Deposition using atomic layer deposition techniques [ALD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/3143Inorganic layers composed of alternated layers or of mixtures of nitrides and oxides or of oxinitrides, e.g. formation of oxinitride by oxidation of nitride layers
    • H01L21/3145Inorganic layers composed of alternated layers or of mixtures of nitrides and oxides or of oxinitrides, e.g. formation of oxinitride by oxidation of nitride layers formed by deposition from a gas or vapour
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31645Deposition of Hafnium oxides, e.g. HfO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/511Insulating materials associated therewith with a compositional variation, e.g. multilayer structures
    • H01L29/513Insulating materials associated therewith with a compositional variation, e.g. multilayer structures the variation being perpendicular to the channel plane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/517Insulating materials associated therewith the insulating material comprising a metallic compound, e.g. metal oxide, metal silicate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02142Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing silicon and at least one metal element, e.g. metal silicate based insulators or metal silicon oxynitrides
    • H01L21/02159Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing silicon and at least one metal element, e.g. metal silicate based insulators or metal silicon oxynitrides the material containing zirconium, e.g. ZrSiOx
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02189Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing zirconium, e.g. ZrO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66575Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate
    • H01L29/6659Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate with both lightly doped source and drain extensions and source and drain self-aligned to the sides of the gate, e.g. lightly doped drain [LDD] MOSFET, double diffused drain [DDD] MOSFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7833Field effect transistors with field effect produced by an insulated gate with lightly doped drain or source extension, e.g. LDD MOSFET's; DDD MOSFET's

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Ceramic Engineering (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)
  • Formation Of Insulating Films (AREA)

Description

この発明は、ハフニウムを含む高誘電体膜、この高誘電体膜を用いた電界効果トランジスタ(FET)、この電界効果トランジスタを基板に集積した半導体集積回路装置ならびに高誘電体膜の製造方法に関する。
従来より、電界効果トランジスタのゲート絶縁膜として、酸化ケイ素膜よりも比誘電率の高い高誘電体膜を用い、ゲート絶縁膜の電気的な厚さを薄くし、駆動電流の向上とゲートリーク電流の低減を同時に実現しようとする試みがなされている。
このようなゲート絶縁膜としては、具体的には、SiOまたはSiONからなる第1絶縁膜と、前記第1絶縁膜上に形成されたHfO、HfAlO、HfSiONなどのハフニウムを含む高誘電体膜とからなる第2絶縁膜との組合せが提案されている。
そして、前記第2絶縁膜をなすハフニウムを含む高誘電体膜の製造は、原子層気相成長法(アトミックレイヤーデポジッション、atomic layer deposition、ALD法)、MOCVD法、スパッタ法などによって行われるが、なかでも厚さ、組成が均質な膜が得られるALD法が多く採用されている。
前記ALD法によって、例えばHfAlOからなる高誘電体膜を成膜する方法の一例を以下に説明する。
まず、処理室内に基板を置き、前記処理室内を真空とする。ついで、ハフニウム源としてのテトラキス(エチルメチルアミノ)ハフニウム(TEMAHf)を短時間前記処理室内に供給し、そののち余分のTEMAHfと副生成物をパージして前記処理室内から排出する。つぎに、酸化剤としての水を短時間前記処理室内に供給したのち、余分の水と副生成物とをパージして前記処理室内から排出する。さらに前記処理室内にアルミニウム源としてのトリメチルアルミニウム(TMA)を短時間供給したのち、パージして余分のTMAと副生成物を排出する。
こののち、再度前記処理室内に酸化剤としての水を短時間供給し、過剰の水と副生成物とをパージする。これらハフニウム源、アルミニウム源、酸化剤は、アルゴンなどのキャリアガスによって前記処理室内に供給されるようになっている。これらの操作では、前記基板を300℃程度に加熱しておき、反応が進行するようになっている。そして、この一連の操作を複数回繰り返すことで、所定の厚さのHfAlOからなる高誘電体膜が得られる。
しかしながら、このようなハフニウムを含む高誘電体膜をゲート絶縁膜として備えた電界効果トランジスタにあっては、シリコン基板とゲート絶縁膜との界面での欠陥準位の密度;界面準位密度が高いという問題があり、このためゲート絶縁膜におけるキャリアの移動度や長期信頼性が熱酸化シリカ膜に比べて劣る欠点があった。
ところで、シリコン基板とシリカからなるゲート絶縁膜とに重水素を含有させて、ホットキャリア劣化を低減させる技術が米国特許第6143632号明細書に開示されている。
また、米国特許第6187665号明細書には、シリコン基板上に熱酸化によってシリカからなるゲート絶縁膜を形成し、前記ゲート絶縁膜のトラップサイトに重水素を含有させることにより、ゲート絶縁膜中にトラップされる電荷を増加させるホットキャリアがトラップサイトに蓄積することを防止し、デバイスを劣化させないようにする技術が開示されている。
このように、シリカからなるゲート絶縁膜に重水素を含有させて電界効果トランジスタの性能の改善を図ることは公知である。
米国特許第6143632号明細書 米国特許第6187665号明細書
本発明における課題は、ハフニウムを含む高誘電体膜からなるゲート絶縁膜を備えた電界効果トランジスタにおいて、シリコン基板とゲート絶縁膜との界面における界面準位密度を低減し、ゲート絶縁膜におけるキャリアの移動度を高めることにある。
かかる課題を解決するため、
請求項1にかかる発明は、基板を装填した処理室内部を真空にする工程Aと、原料化合物を前記処理室内に導入し、前記基板表面に吸着させる工程Bと、余分な前記原料化合物と副生成物を前記処理室から排出する工程Cと、前記処理室内に酸化剤を導入して前記基板に吸着した原料化合物を酸化する工程Dと、余分な前記酸化剤と副生成物を前記処理室から排出する工程Eを有し、前記工程Bから前記工程Eを繰り返すことで、ハフニウム・シリコン・オキシナイトライド(HfSiON)またはハフニウム・アルミネイト(HfAlO)からなり、水素に対して0.05〜50%の割合で重水素が含まれるゲート絶縁膜を成膜するゲート絶縁膜の製造方法であって、
前記原料化合物が、ハフニウム化合物を含む1種以上の化合物であって、これら原料化合物を前記工程Bにおいてその種類毎に順次前記処理室内に導入し、
前記酸化剤として重水素とオゾンとを用いることを特徴とするゲート絶縁膜の製造方法である。
請求項2にかかる発明は、半導体基板上に設けられた酸化ケイ素膜または酸窒化ケイ素膜上に、前記ゲート絶縁膜を製造することを特徴とする請求項1に記載のゲート絶縁膜の製造方法である。
請求項3にかかる発明は、前記重水素に含まれる金属不純物の総量が1wtppm以下であることを特徴とする請求項1または請求項2に記載のゲート絶縁膜の製造方法である。
本発明によれば、ハフニウムを含む高誘電体膜からなるゲート絶縁膜を備えた電界効果トランジスタにおいて、シリコン基板とゲート絶縁膜との界面における界面準位密度を低減し、ゲート絶縁膜におけるキャリアの移動度を高めることができ、電界効果トランジスタの性能を改善することができる。
以下、本発明を詳しく説明する。
図1は、本発明の半導体集積回路装置の一例を示すもので、図中符号1はシリコン基板を示す。前記シリコン基板1には不純物が注入され、拡散層2が形成されている。また、前記シリコン基板1の素子分離領域4で分離された領域には、第1絶縁膜6と、前記第1絶縁膜6上の第2絶縁膜8とからなるゲート絶縁膜が形成されている。
前記第1絶縁膜6は、例えばSiO、SiONなど構成され、厚さが1nm以下の薄膜であり、界面ゲート絶縁膜として機能するものである。
また、前記記第2絶縁膜8は、例えば、HfO、HfSiON、HfAlOなどのハフニウムを含み、高誘電率を示す厚さ1.5〜3nmの薄膜である。
前記ゲート絶縁膜上には、ポリシリコンからなるゲート電極10が形成されている。
前記ゲート電極10の側面にはサイドウォール12が形成されている。前記サイドウォール12はSiなどから構成されている。
前記シリコン基板1の表面の前記ゲート電極10より外側に、比較的不純物濃度が低い拡散層であるSDエクステンション14が形成されている。また、前記シリコン基板1表面付近の前記SDエスクテンション14より外側で、かつ前記サイドウォール12より外側部分に、比較的結合深さが深く、かつ不純物濃度が高い拡散層であるソース/ドレイン16が形成されている。
さらに、前記シリコン基板1上には、前記ゲート電極10、前記サイドウォール12等を埋め込むようにして層間絶縁膜20が堆積されている。また、前記層間絶縁膜20には、これを貫通してソース/ドレイン16に至るコンタクトプラグ22が形成されており、前記コンタクトプラグ22上には配線層24が設けられている。
そして、この例では、前記第2絶縁膜8をなすハフニウムを含む高誘電体膜に、重水素が含有されている。前記重水素は、天然に存在する重水素と水素との比率;0.005%よりも大きな比率、例えば0.05〜50%で含まれている。また、前記重水素は、前記薄膜を形成するハフニウム、ケイ素またはアルミニウムと水酸基の形で結合している水素の一部が重水素に置換された状態となっている。トランジスタを形成するプロセス中の熱処理により前記重水素の一部が基板側に拡散し、シリコン基板1と第1絶縁膜6との界面に存在するシリコン・ダングリングボンドを終端している。
つぎに、このような半導体集積回路装置の製造例について説明する。ここでは、ゲート絶縁膜をなす第2絶縁膜8の形成を周知のALD法によって行うものを例示する。
まず、不純物を注入することにより拡散層2を形成したシリコン基板1上に、素子分離領域4を形成し、さらに各領域に分離したシリコン基板1の表面を希薄フッ酸を用いて洗浄する。希薄フッ酸処理後、図2に示すように、シリコン基板1上に第1絶縁膜6となるSiONを成膜する。ここでは、HもしくはDとNOを用いてSiONを成膜したが、熱酸化膜のプラズマ窒化や熱窒化膜の再酸化などの方法によって形成してもよい。
ついで、前記第1絶縁膜6上に第2絶縁膜8を成膜する。第1絶縁膜6を形成したシリコン基板1を処理室内に装填し、処理室内を真空とする。ついで、処理室内にテトラキス(エチルメチルアミノ)ハフニウム(TEMAHf)を1〜2秒間処理室内に供給する。
これにより、図3に示すように、基板1の第1絶縁膜6の表面にテトラキス(エチルメチルアミノ)ハフニウム(TEMAHf)の単分子層30が化学吸着により形成される。つぎに、処理室内をパージして余分のTEMAHfと副生成物とを排出する。
次に、酸化剤として重水を処理室内に0.1〜0.3秒間供給したのち、パージして余分の重水と副生成物を処理室から排出する。続いて、処理室内にNH/Arプラズマを発生させて活性種を供給したのち、処理室内をパージする。その後、処理室内にテトラメチルアルミニウム(TMA)を1秒以下の時間供給し、処理室内をパージし、ついで重水を再度0.1〜0.3秒間供給する。こののち、処理室内をパージして余分の重水と副生成物を排出する。
以上の一連の操作を複数回繰り返して、図4に示すように、HfAlOからなる高誘電率の第2絶縁膜8を成膜する。
前記第2絶縁膜8には、約0.2at%の重水素が含まれていた。次に、希釈酸素雰囲気中で熱処理を行い、第2絶縁膜8を緻密化する。ここでは、0.2%希釈酸素雰囲気中で1000℃、1秒間の急速熱処理を施した。熱処理後、基板界面付近には約0.01at%の重水素が存在し、水素に対する重水素の比率は6%であった。
次に、図5に示すように、前記第2絶縁膜8上に、前記ゲート電極10となるポリシリコン膜をCVD法により堆積する。公知のフォトリソグラフィ法とイオン注入法を用いて、ポリシリコン膜にゲート不純物を注入した後、図6に示すように、前記ポリシリコン膜をエッチングして所定の形状に加工して前記ゲート電極10とする。このとき、前記第2絶縁膜8、前記第1絶縁膜6もエッチングし、前記第1絶縁膜6と前記第2絶縁膜8をゲート電極10と同じ幅に加工する。
その後、前記ゲート電極10をマスクとしてイオン注入を行い、接合深さの比較的浅い拡散層であるSDエクステンション14を形成する。
つぎに、図7に示すように、前記ゲート電極10の側面にサイドウォール12を形成する。前記サイドウォール12は、前記ゲート電極10を埋め込むようにして、SiN膜等を堆積した後、エッチバックを行い、SiN膜を前記ゲート電極10の側面にのみ残すようすることにより形成される。
次に、前記ゲート電極10および前記サイドウォール12をマスクとしてイオン注入を行い、前記シリコン基板1表面に不純物濃度の高い拡散層であるソース/ドレイン16を形成する。その後、イオン注入した不純物を活性化するための活性化アニールを行う。
その後、前記ゲート電極10、前記サイドウォール12等を埋め込むようにして前記シリコン基板1上に層間絶縁膜20を形成する。さらに、前記層間絶縁膜20に前記ソース/ドレイン16に至るコンタクトプラグ22を形成したのち、この上に配線層24を形成することで図1に示した構造の半導体集積回路装置が得られる。
この製法における前記第2絶縁膜8のALD法による形成に際して、ハフニウム源としては、TEMAHf以外に、HfCl、Hf[OC(CHCHOCH、Hf[OC(CH、Hf[N(CH、Hf[N(C、Hf(NO、Hf(C1119などを用いることができる。また、アルミニウム源としては、TMA以外にTEA(トリエチルアルミニウム、Al(C、DMAH(ジメチルアルミニウムハライド、Al(CHH)、DMEAAl(ジメチルエチルアミン:アラン、AlH:N(CH)などが用いられる。
また、前記第2絶縁膜8としてHfO膜を成膜する場合には、TMAを導入する工程は不要である。さらに、前記第2絶縁膜8としてHfSiON膜を成膜する場合には、TMAの代わりに、シリコン源としてテトラキス・エチルメチル・アミノ・シラン、トリス・ジエチル・アミノ・シランなどのアミン系のシリコン原料を導入し、HfSiOx膜を形成したのち、アンモニア熱処理またはプラズマ窒化などの方法により窒素を導入する。
また、前記酸化剤としての重水以外に重水素と酸素との組合せ、重水素とオゾンとの組合せを用いることができる。この組合せでは、重水素の混合比率を1〜50容積%とすることが好ましい。
ここで使用される重水、重水素は、鉄、銅などの金属不純物の含有量の総量が1wtppm以下であるものが好ましく、金属不純物の含有量がこれよりも多くなると、第2絶縁膜8のリーク電流が増大し、TDDB(タイム−ディペンダントダイエレクトリックブレイクダウン)の寿命低下など長期信頼性上の問題を引き起こす危険がある。
また、前記シリコン基板と前記ゲート絶縁膜との界面で欠陥数が増加した場合には、前記重水、前記重水素中の鉄、銅などの金属不純物の含有量の総量を合計10wtppb未満とすると、前記界面での界面準位密度が低下するとともに、長期信頼性が改善される、なお、重水には軽水が少々混入していてもよく、重水素には水素が少々混入していてもよい。
さらに、前記第2絶縁膜8の形成の際には、NH/Arプラズマを用いる必要は必ずしもない。また、前記第2絶縁膜8の形成には、前記ALD法以外にMOCVD法によっても可能である。
さらに、前記第2絶縁膜8に前記重水素を含有させる方法としては、前記第2絶縁膜8の成膜時ではなく、一連の集積回路装置の製造工程における前記活性化アニール工程の後に、重水素雰囲気中で400〜600℃、30分程度のシンタを行うことでも可能である。
このような半導体集積回路装置にあっては、これを構成する電界効果トランジスタの前記第2絶縁膜8に前記重水素が含有されており、前記重水素がシリコン基板界面への重水素供給源として働き、前記基板界面のシリコン・ダングリングボンドの多くが重水素で終端されることになる。重水素は、水素と比べて約2倍の質量を持つため、重水素で終端されたシリコン・ダングリングボンドは、水素で終端されたシリコン・ダングリングボンドに比べて、熱処理や電気的ストレスによる界面準位の増大(水素終端が外れてシリコン・ダングリングボンドになること)が起こりにくい。
そのため、前記シリコン基板1と前記ゲート絶縁膜9との界面での界面準位密度が低下するとともに長期信頼性が改善される。
図8は、前記ALD法により重水を酸化剤として使用し成膜したHfAlO膜と、水を酸化剤として使用し同条件で成膜したHfAlO膜との界面準位密度を測定し、比較して示したものである。
また、図9は、同様に前記ALD法により重水を酸化剤として使用し成膜したHfAlO膜と、水を酸化剤として使用し同条件で成膜したHfAlO膜との界面準位密度をチャージポンピング法により測定して比較したものである。
これらのグラフから、重水素を含有されるHfAlO膜は、従来の重水素を含有しないHfAlO膜に比べて、界面準位密度が1/2〜1/3に低減していることが明らかである。
また、界面準位密度が減少した効果として、トランジスタのキャリア移動度が向上する。
図10および図11は、ALD法により重水を酸化剤として使用し成膜したHfAlO膜と、水を酸化剤として使用し同条件で成膜したHfAlO膜との移動度を測定し、比較して示したものである。
これらのグラフから、重水素を含有するHfAlO膜からなるゲート絶縁膜では、従来の重水素を含有しないHfAlO膜からなるゲート絶縁膜に比較して移動度が2倍程度にまで改善されていることがわかる。
本発明の重水を用いたHfAlOx膜の製造方法では、その平均的な堆積速度は、水を用いて成膜したもの比べて約90%に減少しているが、HfAlO膜のシリコン基板に対する付着量の基板面内での分布は、水を用いて成膜したHfAlO膜と同様に均一であることが明らかになった。
本発明の半導体集積回路装置の一例を示す概略断面図である。 本発明の半導体集積回路装置の製造工程を示す概略説明図である。 本発明の半導体集積回路装置の製造工程を示す概略説明図である。 本発明の半導体集積回路装置の製造工程を示す概略説明図である。 本発明の半導体集積回路装置の製造工程を示す概略説明図である。 本発明の半導体集積回路装置の製造工程を示す概略説明図である。 本発明の半導体集積回路装置の製造工程を示す概略説明図である。 本発明における効果を示すもので、界面準位密度を比較して示す図表である。 本発明における効果を示すもので、界面準位密度をイオンポンピング法により測定し、比較して示す図表である。 本発明における効果を示すもので、移動度を比較して示す図表である。 本発明における効果を示すもので、移動度を比較して示す図表である。
符号の説明
8・・・第2絶縁膜

Claims (3)

  1. 基板を装填した処理室内部を真空にする工程Aと、原料化合物を前記処理室内に導入し、前記基板表面に吸着させる工程Bと、余分な前記原料化合物と副生成物を前記処理室から排出する工程Cと、前記処理室内に酸化剤を導入して前記基板に吸着した原料化合物を酸化する工程Dと、余分な前記酸化剤と副生成物を前記処理室から排出する工程Eを有し、前記工程Bから前記工程Eを繰り返すことで、ハフニウム・シリコン・オキシナイトライド(HfSiON)またはハフニウム・アルミネイト(HfAlO)からなり、水素に対して0.05〜50%の割合で重水素が含まれるゲート絶縁膜を成膜するゲート絶縁膜の製造方法であって、
    前記原料化合物が、ハフニウム化合物を含む1種以上の化合物であって、これら原料化合物を前記工程Bにおいてその種類毎に順次前記処理室内に導入し、
    前記酸化剤として重水素とオゾンとを用いることを特徴とするゲート絶縁膜の製造方法。
  2. 半導体基板上に設けられた酸化ケイ素膜または酸窒化ケイ素膜上に、前記ゲート絶縁膜を製造することを特徴とする請求項1に記載のゲート絶縁膜の製造方法。
  3. 前記重水素に含まれる金属不純物の総量が1wtppm以下であることを特徴とする請求項1または請求項2に記載のゲート絶縁膜の製造方法。
JP2004248583A 2004-08-27 2004-08-27 ゲート絶縁膜の製造方法 Expired - Fee Related JP4999265B2 (ja)

Priority Applications (5)

Application Number Priority Date Filing Date Title
JP2004248583A JP4999265B2 (ja) 2004-08-27 2004-08-27 ゲート絶縁膜の製造方法
PCT/JP2005/015429 WO2006022326A1 (ja) 2004-08-27 2005-08-25 高誘電体膜、この高誘電体膜を用いた電界効果トランジスタおよび半導体集積回路装置ならびに高誘電体膜の製造方法
US11/660,925 US20080128833A1 (en) 2004-08-27 2005-08-25 High-Dielectric-Constant Film, Field-Effect Transistor and Semiconductor Integrated Circuit Device Using the Same, and Method for Producing High-Dielectric-Constant Film
EP05780953A EP1796174A1 (en) 2004-08-27 2005-08-25 Highly dielectric film, and utilizing the same, field-effect transistor and semiconductor integrated circuit apparatus, and process for producing the highly dielectric film
TW094129045A TW200629420A (en) 2004-08-27 2005-08-25 High dielectric film, field-effect transistor, semiconductor integrated circuit device and method of producing of high dielectric film

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2004248583A JP4999265B2 (ja) 2004-08-27 2004-08-27 ゲート絶縁膜の製造方法

Publications (2)

Publication Number Publication Date
JP2006066706A JP2006066706A (ja) 2006-03-09
JP4999265B2 true JP4999265B2 (ja) 2012-08-15

Family

ID=35967533

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2004248583A Expired - Fee Related JP4999265B2 (ja) 2004-08-27 2004-08-27 ゲート絶縁膜の製造方法

Country Status (5)

Country Link
US (1) US20080128833A1 (ja)
EP (1) EP1796174A1 (ja)
JP (1) JP4999265B2 (ja)
TW (1) TW200629420A (ja)
WO (1) WO2006022326A1 (ja)

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101377069B1 (ko) * 2008-05-23 2014-03-24 삼성전자주식회사 반도체 장치 및 그 형성방법
CN106571296A (zh) * 2015-10-13 2017-04-19 上海新昇半导体科技有限公司 晶圆的形成方法
CN106856171A (zh) * 2015-12-09 2017-06-16 中芯国际集成电路制造(上海)有限公司 鳍式场效应晶体管的形成方法
KR20230039438A (ko) * 2021-09-14 2023-03-21 삼성전자주식회사 반도체 소자 및 그 제조 방법

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH10223628A (ja) * 1997-02-04 1998-08-21 Fujitsu Ltd 半導体装置の製造方法
US6143632A (en) * 1997-12-18 2000-11-07 Advanced Micro Devices, Inc. Deuterium doping for hot carrier reliability improvement
KR20000021246A (ko) * 1998-09-28 2000-04-25 김영환 중수 또는 중수소를 이용한 반도체 소자용 절연막의 형성방법
US6187665B1 (en) * 1999-01-14 2001-02-13 Lucent Technologies, Inc. Process for deuterium passivation and hot carrier immunity
US6797644B2 (en) * 2000-08-01 2004-09-28 Texas Instruments Incorporated Method to reduce charge interface traps and channel hot carrier degradation
US20040099889A1 (en) * 2002-11-27 2004-05-27 Agere Systems, Inc. Process for fabricating a semiconductor device having an insulating layer formed over a semiconductor substrate
JP4125952B2 (ja) * 2002-12-27 2008-07-30 株式会社東芝 半導体装置の製造方法
JP2004228330A (ja) * 2003-01-22 2004-08-12 Tokyo Electron Ltd 被処理体の酸化方法及び酸化装置
US6740605B1 (en) * 2003-05-05 2004-05-25 Advanced Micro Devices, Inc. Process for reducing hydrogen contamination in dielectric materials in memory devices
KR100505068B1 (ko) * 2003-07-05 2005-07-29 삼성전자주식회사 반도체 소자의 다중 게이트 산화막 및 이를 포함하는게이트 전극 형성방법
US20050227003A1 (en) * 2004-04-08 2005-10-13 Carlson Chris M Methods of forming material over substrates

Also Published As

Publication number Publication date
WO2006022326A1 (ja) 2006-03-02
TW200629420A (en) 2006-08-16
US20080128833A1 (en) 2008-06-05
EP1796174A1 (en) 2007-06-13
JP2006066706A (ja) 2006-03-09

Similar Documents

Publication Publication Date Title
US8524589B2 (en) Plasma treatment of silicon nitride and silicon oxynitride
US7544996B2 (en) Methods of fabricating a semiconductor device having a metal gate pattern
US7994070B1 (en) Low-temperature dielectric film formation by chemical vapor deposition
US6806145B2 (en) Low temperature method of forming a gate stack with a high k layer deposited over an interfacial oxide layer
US7772678B2 (en) Metallic compound thin film that contains high-k dielectric metal, nitrogen, and oxygen
US7473994B2 (en) Method of producing insulator thin film, insulator thin film, method of manufacturing semiconductor device, and semiconductor device
JP2000208510A (ja) 酸窒化物ゲ―ト誘電体およびその形成方法
KR20040086750A (ko) 반도체 장치 및 반도체 장치의 제조 방법
US20150140838A1 (en) Two Step Deposition of High-k Gate Dielectric Materials
TW201926461A (zh) 半導體裝置的製造方法
JP3399413B2 (ja) 酸窒化膜およびその形成方法
WO2004107451A1 (ja) Mis型電界効果トランジスタを備える半導体装置及びその製造方法並びに金属酸化膜の形成方法
US20080128833A1 (en) High-Dielectric-Constant Film, Field-Effect Transistor and Semiconductor Integrated Circuit Device Using the Same, and Method for Producing High-Dielectric-Constant Film
US7592234B2 (en) Method for forming a nitrogen-containing gate insulating film
KR20040093584A (ko) 유전막 형성 방법
JP4564310B2 (ja) 半導体装置の製造方法
JP2005032908A (ja) 薄膜の形成方法
JP5141321B2 (ja) 半導体装置の製造方法
KR20120089147A (ko) 반도체 소자의 제조 방법
JP4719422B2 (ja) 半導体装置の製造方法
JP2007053299A (ja) 半導体装置の製造方法
JP2008288392A (ja) 半導体装置の製造方法
JP2006060155A (ja) 半導体装置及びその製造方法

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A821

Effective date: 20051118

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20070704

A711 Notification of change in applicant

Free format text: JAPANESE INTERMEDIATE CODE: A712

Effective date: 20100625

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A821

Effective date: 20100625

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20110614

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20110802

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20110830

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20111115

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20120131

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20120227

A911 Transfer to examiner for re-examination before appeal (zenchi)

Free format text: JAPANESE INTERMEDIATE CODE: A911

Effective date: 20120319

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20120417

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20120515

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20150525

Year of fee payment: 3

LAPS Cancellation because of no payment of annual fees