JP4927623B2 - Method of boosting load lock device - Google Patents

Method of boosting load lock device Download PDF

Info

Publication number
JP4927623B2
JP4927623B2 JP2007094165A JP2007094165A JP4927623B2 JP 4927623 B2 JP4927623 B2 JP 4927623B2 JP 2007094165 A JP2007094165 A JP 2007094165A JP 2007094165 A JP2007094165 A JP 2007094165A JP 4927623 B2 JP4927623 B2 JP 4927623B2
Authority
JP
Japan
Prior art keywords
chamber
substrate
load lock
pressure
lock device
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2007094165A
Other languages
Japanese (ja)
Other versions
JP2008251991A (en
Inventor
幸正 斎藤
勝伸 宮城
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Priority to JP2007094165A priority Critical patent/JP4927623B2/en
Publication of JP2008251991A publication Critical patent/JP2008251991A/en
Application granted granted Critical
Publication of JP4927623B2 publication Critical patent/JP4927623B2/en
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Description

本発明は、ロードロック装置の昇圧方法に関する。 The present invention relates to a boosting method b Dorokku device.

例えばLCD基板等の製造工程においては、減圧雰囲気下で基板にCVD等の所定の処理を施す基板処理装置を複数備えた、いわゆるマルチチャンバー型の処理システムが使用されている(例えば、特許文献1参照)。このような処理システムには、基板を搬送する基板搬送装置を備えた搬送室と、その周囲に設けられた複数の基板処理装置とを有する処理部が備えられている。そして、基板搬送装置の搬送アームにより、基板が各基板処理装置に対して搬入出されるようになっている。また、この処理システムには、外部に対して基板を搬入出させる搬入出部が備えられており、搬入出部と処理部との間には、ロードロック装置が備えられる。搬入出部に搬入された基板は、ロードロック装置を介して処理部に搬入され、処理部で処理された後、再びロードロック装置を介して搬入出部に搬出される。   For example, in a manufacturing process of an LCD substrate or the like, a so-called multi-chamber type processing system including a plurality of substrate processing apparatuses that perform predetermined processing such as CVD on a substrate in a reduced pressure atmosphere is used (for example, Patent Document 1). reference). Such a processing system includes a processing unit having a transfer chamber including a substrate transfer device for transferring a substrate and a plurality of substrate processing devices provided around the transfer chamber. A substrate is carried into and out of each substrate processing apparatus by a transfer arm of the substrate transfer apparatus. In addition, the processing system includes a loading / unloading unit for loading / unloading the substrate to / from the outside, and a load lock device is provided between the loading / unloading unit and the processing unit. The substrate loaded into the loading / unloading unit is loaded into the processing unit via the load lock device, processed by the processing unit, and then unloaded to the loading / unloading unit via the load lock device again.

ロードロック装置は、処理部内を真空に保持し、大気圧となっている搬入出部側に開放させないことを目的に設けられる。搬入出部に搬送された基板は、先ずロードロック装置の搬入出部側に設けた搬入出口を通じて、ロードロック装置内に収納される。そして、ロードロック装置内が減圧され真空になった後、ロードロック装置の処理部側に設けた搬入出口が開かれて搬送室に連通させられると、基板搬送装置の搬送アームにより、基板がロードロック装置から搬出され、各基板処理装置に搬送される。また、各基板処理装置で処理された基板は、基板搬送装置の搬送アームにより取り出され、ロードロック装置の搬入出部側の搬入出口を通じて、ロードロック装置内に収納される。そして、ロードロック装置内が昇圧され大気圧に戻ると、ロードロック装置の搬入出部側の搬入出口が開かれて、基板が搬入出部に戻されるようになっている。   The load lock device is provided for the purpose of keeping the inside of the processing unit in a vacuum and not opening it to the loading / unloading unit side that is at atmospheric pressure. The board | substrate conveyed by the carrying in / out part is first accommodated in a load lock apparatus through the carrying in / out opening provided in the carrying in / out part side of the load lock apparatus. After the load lock device is depressurized and evacuated, the loading / unloading port provided on the processing unit side of the load lock device is opened and communicated with the transfer chamber. Then, the substrate is loaded by the transfer arm of the substrate transfer device. It is unloaded from the lock device and transferred to each substrate processing apparatus. Further, the substrate processed by each substrate processing apparatus is taken out by the transfer arm of the substrate transfer apparatus, and stored in the load lock apparatus through the load / unload port on the load / unload section side of the load lock apparatus. When the inside of the load lock device is pressurized and returned to atmospheric pressure, the loading / unloading port on the loading / unloading portion side of the load locking device is opened, and the substrate is returned to the loading / unloading portion.

かかるロードロック装置としては、ロードロック装置内で基板を予備加熱するためのヒータを備えたものが知られている(例えば、特許文献2参照。)。また、加熱用プレートと冷却用プレートとを備え、基板を搬入出部から処理部に搬入するときは、加熱用プレートによって基板を加熱し、基板を処理部から搬入出部に搬出するときは、冷却用プレートによって基板を冷却できるようにしたものが提案されている(例えば、特許文献3参照)。   As such a load lock device, one having a heater for preheating a substrate in the load lock device is known (for example, refer to Patent Document 2). In addition, when the substrate is provided with a heating plate and a cooling plate and the substrate is carried into the processing unit from the loading / unloading unit, the substrate is heated by the heating plate, and when the substrate is unloaded from the processing unit to the loading / unloading unit, There has been proposed a cooling plate that can cool a substrate (see, for example, Patent Document 3).

一方、ロードロック装置に関するものではないが、半導体製造装置に関しては、処理室内を昇圧させて大気圧に戻す際に、昇圧後、大気圧を利用して処理室内の圧力を安定させてから、大気開放する方法が開示されている(例えば、特許文献4参照)。   On the other hand, although it is not related to the load lock device, with respect to the semiconductor manufacturing device, when the processing chamber is pressurized and returned to atmospheric pressure, the atmospheric pressure is used to stabilize the pressure in the processing chamber after boosting, and then the atmosphere A method of opening is disclosed (for example, see Patent Document 4).

特表2006−303013号公報JP 2006-303013 A 特開2001−239144号公報JP 2001-239144 A 特表2004−523880号公報JP-T-2004-523880 特開平10−294283号公報JP-A-10-294283

しかしながら、従来のロードロック装置にあっては、ロードロック装置内を大気圧にして、搬入出部側の搬入出口が開かれた際に、外部からロードロック装置内にパーティクルが進入する場合があった。ロードロック装置内に進入したパーティクルが処理部に持ち込まれた場合、基板処理に悪影響を与えることになりかねない。   However, in the conventional load lock device, when the inside of the load lock device is at atmospheric pressure and the loading / unloading port on the loading / unloading portion side is opened, particles may enter the load locking device from the outside. It was. If particles that have entered the load lock device are brought into the processing section, the substrate processing may be adversely affected.

一方、上記特許文献4のように、処理室内の圧力を安定させてから、ロードロック装置を大気開放することも可能であるが、そうすると、処理室内の圧力を安定するまで待たなければならない。そのため、処理時間が長くなり、スループットが良くないという問題がある。   On the other hand, as in Patent Document 4, it is possible to release the load lock device to the atmosphere after stabilizing the pressure in the processing chamber, but in this case, it is necessary to wait until the pressure in the processing chamber is stabilized. Therefore, there is a problem that the processing time becomes long and the throughput is not good.

本発明の目的は、ロードロック装置内へのパーティクルの進入を効果的に防止でき、しかも、大気圧に復帰させた後、すぐにチャンバ内を大気開放できるロードロック装置の昇圧方法を提供することにある。 An object of the present invention is to provide a method of boosting a load lock device that can effectively prevent particles from entering the load lock device and that can immediately release the chamber to the atmosphere after returning to atmospheric pressure. It is in.

発明によれば、真空状態に減圧可能なチャンバと、前記チャンバ内に基板を搬入出させる開閉自在な搬入出口と、前記チャンバ内に基板の温度を調節する温度調節部材を有し、前記チャンバ内にガスを供給するガス供給路と、前記チャンバ内を排気する排気路に接続され、前記チャンバ内を真空状態に減圧する真空ポンプと、前記チャンバに接続され、前記チャンバ内に供給された前記ガスを外部との圧力差で排出させるリーク路とを有するロードロック装置の昇圧方法であって、前記搬入出口が閉じられた状態で、真空状態に減圧されていた前記チャンバ内に大流量配管でガスを供給して大気圧に復帰させる復帰工程と、大気圧に復帰させた後、更に、前記チャンバ内に小流量配管でガスを供給し続けるとともに、前記チャンバ内の雰囲気を外部との圧力差で前記リーク路から排出させるリーク工程を有し、前記リーク工程において、前記搬入出口が開かれることを特徴とする、ロードロック装置の昇圧方法が提供される。 According to the present invention, the chamber includes a chamber that can be decompressed to a vacuum state, an openable / closable loading / unloading port for loading / unloading the substrate into / from the chamber, and a temperature adjusting member that adjusts the temperature of the substrate in the chamber. A gas supply path for supplying gas therein, an exhaust path for exhausting the interior of the chamber, a vacuum pump for depressurizing the interior of the chamber to a vacuum state, and a vacuum pump connected to the chamber and supplied to the chamber A pressure-increasing method for a load-lock device having a leak path for discharging gas by a pressure difference from the outside, wherein a large-flow pipe is provided in the chamber that has been depressurized in a vacuum state with the loading / unloading port closed. A step of supplying gas to return to atmospheric pressure; and after returning to atmospheric pressure, the gas is further supplied into the chamber with a small flow pipe and the atmosphere in the chamber The has a leak step of discharging from the leak passage at a pressure difference between the outside and in the leak process, wherein the transfer port is opened, boosting method of the load lock device is provided.

この昇圧方法において、前記復帰工程では、例えば600〜100リットル/minといった比較的大きい流量で前記チャンバ内にガスを供給し、前記リーク工程では、例えば100〜10リットル/minといった比較的小さい流量で前記チャンバ内にガスを供給しても良い。また、前記リーク工程では、前記チャンバ内が例えば1000Pa以下のゲージ圧にされる。   In this pressure increasing method, in the return step, gas is supplied into the chamber at a relatively large flow rate, for example, 600 to 100 liters / min, and in the leaking step, the gas is supplied at a relatively small flow rate, for example, 100 to 10 liters / min. A gas may be supplied into the chamber. In the leak process, the inside of the chamber is set to a gauge pressure of 1000 Pa or less, for example.

本発明によれば、ロードロック装置内を大気開放する際に、チャンバ内をゲージ圧で1000Pa以下の範囲とすることにより、ロードロック装置内へのパーティクルの進入を効果的に防止できるようになる。本発明によれば、大気圧に復帰させた後、更に、チャンバ内にガスを供給し続けつつ、チャンバ内の雰囲気を外部との圧力差で排出させることにより、チャンバ内を実質的に大気圧と等しく保つことができる。そのため、大気圧に復帰させた後、すぐにロードロック装置内を大気開放しても、外部からパーティクルが進入せず、ロードロック装置内を清浄な状態に保つことができる。そのため、従来のように圧力が安定するまで待つ必要がなく、処理時間が短くなり、スループットが向上する。   According to the present invention, when the inside of the load lock device is opened to the atmosphere, the entry of particles into the load lock device can be effectively prevented by setting the inside of the chamber to a gauge pressure of 1000 Pa or less. . According to the present invention, after returning to atmospheric pressure, the atmosphere in the chamber is exhausted with a pressure difference from the outside while continuing to supply gas into the chamber, thereby substantially reducing the atmospheric pressure in the chamber. Can be kept equal. Therefore, even if the inside of the load lock device is released to the atmosphere immediately after returning to the atmospheric pressure, particles do not enter from the outside, and the inside of the load lock device can be kept clean. Therefore, it is not necessary to wait until the pressure is stabilized as in the conventional case, the processing time is shortened, and the throughput is improved.

以下、本発明の実施の形態を、基板の一例としてのLCD(Liquid Crystal Display;液晶表示装置)用のガラス基板Gに対して、プラズマCVD(Chemical Vapor Deposition)処理により薄膜を成膜する工程を実施する処理システムに基づいて説明する。図1は、本発明の実施の形態にかかるロードロック装置5、6を備えた処理システム1の概略的な構成を示した平面図である。図2は、処理システム1の概略的な構成を示した側面図である。図1に示す処理システム1は、いわゆるマルチチャンバー型の処理システムであり、処理システム1の外部に対して基板Gを搬入出させる搬入出部2と、基板GのCVD処理を行う処理部3とを備えている。搬入出部2と処理部3との間には、2つのロードロック装置5、6が上下に設置されている。なお説明のため、図1、2において、水平面方向であって搬入出部2の巾方向(図1において上下方向、図2において図面に垂直な方向)をX方向、水平面方向であって搬入出部2、ロードロック装置5、6および処理部3の並び方向(水平面方向であってX方向と直交する方向)をY方向、鉛直方向をZ方向と定義する。また、本明細書及び図面において、実質的に同一の機能構成を有する構成要素については、同一の符号を付することにより重複説明を省略する。   Hereinafter, an embodiment of the present invention includes a step of forming a thin film by plasma CVD (Chemical Vapor Deposition) processing on a glass substrate G for LCD (Liquid Crystal Display) as an example of a substrate. This will be described based on the processing system to be implemented. FIG. 1 is a plan view showing a schematic configuration of a processing system 1 including load lock devices 5 and 6 according to an embodiment of the present invention. FIG. 2 is a side view showing a schematic configuration of the processing system 1. A processing system 1 shown in FIG. 1 is a so-called multi-chamber processing system, and a loading / unloading unit 2 that loads / unloads a substrate G to / from the outside of the processing system 1, and a processing unit 3 that performs a CVD process on the substrate G, It has. Two load lock devices 5 and 6 are installed between the carry-in / out unit 2 and the processing unit 3. 1 and 2, the horizontal direction and the width direction of the carry-in / out section 2 (vertical direction in FIG. 1, the direction perpendicular to the drawing in FIG. 2) are the X direction and the horizontal plane direction. The arrangement direction of the unit 2, the load lock devices 5 and 6 and the processing unit 3 (the horizontal plane direction and the direction orthogonal to the X direction) is defined as the Y direction, and the vertical direction is defined as the Z direction. Further, in the present specification and the drawings, the same reference numerals are given to constituent elements having substantially the same functional configuration, and redundant description is omitted.

搬入出部2には、複数枚の基板Gを収納したカセットCを載置する載置台11と、基板Gを搬送する第一の搬送装置12とが設けられている。載置台11上には、X方向に沿って、複数のカセットCが並べられる。載置台11上のカセットC内には、略長方形の薄板状の基板Gが、略水平な姿勢で複数枚上下に並べて収納されている。   The loading / unloading unit 2 is provided with a mounting table 11 on which a cassette C storing a plurality of substrates G is mounted, and a first transfer device 12 that transfers the substrates G. A plurality of cassettes C are arranged on the mounting table 11 along the X direction. In the cassette C on the mounting table 11, a plurality of substantially rectangular thin plate-like substrates G are stored side by side in a substantially horizontal posture.

搬送装置12は、載置台11とロードロック装置5、6の間に備えられている。搬送装置12は、X方向に沿って延設されたレール13と、レール13に沿って水平方向に移動可能な搬送機構14とを備えている。搬送機構14は、基板Gを載せて略水平に保持する一対の搬送アーム15を備えており、搬送アーム15を、Z方向に昇降させ、Y方向に移動させ、Z方向を中心に略水平面内で旋回可能である。即ち、載置台11上の各カセットCの正面に設けられた開口16を通じて、搬送アーム15を各カセットC内に進入させて、基板Gを一枚ずつカセットC内から取り出したり、カセットC内に収納したりすることができる構成になっている。また、搬送装置12を挟んで載置台11と対向する側(Y軸方向において搬送装置12の後方)に設けられたロードロック装置5、6に対して、搬送アーム15を進入させて、基板Gを一枚ずつロードロック装置5、6に搬入させ、ロードロック装置5、6から搬出させることができる。   The transport device 12 is provided between the mounting table 11 and the load lock devices 5 and 6. The transport device 12 includes a rail 13 that extends along the X direction and a transport mechanism 14 that can move in the horizontal direction along the rail 13. The transfer mechanism 14 includes a pair of transfer arms 15 that place the substrate G and hold the substrate G substantially horizontally. The transfer arm 15 is moved up and down in the Z direction, moved in the Y direction, and in a substantially horizontal plane around the Z direction. Can be swiveled. That is, the transfer arm 15 is made to enter each cassette C through the opening 16 provided in front of each cassette C on the mounting table 11, and the substrates G are taken out from the cassette C one by one, or into the cassette C. It can be stored. Further, the transfer arm 15 enters the load lock devices 5 and 6 provided on the side facing the mounting table 11 with the transfer device 12 in between (the rear side of the transfer device 12 in the Y-axis direction), and the substrate G Can be carried into the load lock devices 5 and 6 one by one and unloaded from the load lock devices 5 and 6.

図2に示すように、ロードロック装置5、6は、上下に積み重ねて配置されている。下のロードロック装置5の搬入出部2側には、後述するロードロック装置5の搬入口52を開閉するゲートバルブ20が設けられており、ロードロック装置5の処理部3側には、後述するロードロック装置5の搬出口53を開閉するゲートバルブ21が設けられている。同様に、上のロードロック装置6の搬入出部2側には、後述するロードロック装置6の搬出口77を開閉するゲートバルブ22が設けられており、ロードロック装置6の処理部3側には、後述するロードロック装置6の搬入口76を開閉するゲートバルブ23が設けられている。かかる構成において、各ゲートバルブ20、22を閉じることにより、搬入出部2の雰囲気とロードロック装置5、6内の雰囲気とをそれぞれ遮断できるようになっている。また、各ゲートバルブ21、23を閉じることにより、処理部3の雰囲気とロードロック装置5、6内の雰囲気とをそれぞれ遮断できるようになっている。各ロードロック装置5、6の構造については、後に詳細に説明する。   As shown in FIG. 2, the load lock devices 5 and 6 are stacked one above the other. A gate valve 20 that opens and closes a loading / unloading port 52 of the load lock device 5 described later is provided on the loading / unloading unit 2 side of the lower load lock device 5. A gate valve 21 that opens and closes the carry-out port 53 of the load lock device 5 is provided. Similarly, a gate valve 22 for opening and closing a loading / unloading port 77 of the load lock device 6 to be described later is provided on the loading / unloading unit 2 side of the upper load lock device 6, and on the processing unit 3 side of the load lock device 6. Is provided with a gate valve 23 for opening and closing a carry-in port 76 of a load lock device 6 to be described later. In such a configuration, by closing the gate valves 20 and 22, the atmosphere in the loading / unloading unit 2 and the atmosphere in the load lock devices 5 and 6 can be blocked. Further, by closing the gate valves 21 and 23, the atmosphere of the processing unit 3 and the atmosphere in the load lock devices 5 and 6 can be blocked. The structure of each load lock device 5, 6 will be described in detail later.

図1に示すように、処理部3には、基板Gを収納してプラズマCVD処理を施す複数の基板処理装置、例えば5つの基板処理装置30A〜30E、および、ロードロック装置5、6と各基板処理装置30A〜30Eとの間で基板Gを搬送する第二の搬送装置31が備えられている。第二の搬送装置31は、密閉構造の搬送チャンバ32内に設けられた搬送室33に格納されている。搬送チャンバ32は、ロードロック装置5に隣接して設けられている。また、ロードロック装置5、6および各基板処理装置30A〜30Eは、搬送チャンバ32の周囲を囲むように配置されている。   As shown in FIG. 1, the processing unit 3 contains a plurality of substrate processing apparatuses that house a substrate G and perform plasma CVD processing, for example, five substrate processing apparatuses 30 </ b> A to 30 </ b> E, and load lock apparatuses 5 and 6. A second transfer device 31 that transfers the substrate G to and from the substrate processing apparatuses 30A to 30E is provided. The second transfer device 31 is stored in a transfer chamber 33 provided in a transfer chamber 32 having a sealed structure. The transfer chamber 32 is provided adjacent to the load lock device 5. In addition, the load lock devices 5 and 6 and the substrate processing devices 30 </ b> A to 30 </ b> E are arranged so as to surround the periphery of the transfer chamber 32.

搬送室33とロードロック装置5、6の間には、前述したゲートバルブ21、23がそれぞれ設けられており、各ゲートバルブ21、23によって搬送室33内の雰囲気とロードロック装置5、6内の雰囲気とをそれぞれ遮断できるようになっている。搬送室33と各基板処理装置30A〜30Eとの間には、それぞれゲートバルブ35が設けられており、各ゲートバルブ35によって基板処理装置30A〜30Eの開口を気密に閉塞し、搬送室33内の雰囲気と各基板処理装置30A〜30E内の雰囲気とをそれぞれ遮断できるようになっている。また、図2に示すように、搬送室33内を強制排気して減圧させるための排気路36が設けられている。処理システム1における処理時には、処理部3の搬送室33、基板処理装置30A〜30E内は、搬入出部2よりも減圧雰囲気にされ、例えば真空状態にされる。   The above-described gate valves 21 and 23 are provided between the transfer chamber 33 and the load lock devices 5 and 6, respectively, and the atmosphere in the transfer chamber 33 and the load lock devices 5 and 6 are respectively set by the gate valves 21 and 23. It is possible to block the atmosphere of each. Gate valves 35 are respectively provided between the transfer chamber 33 and the substrate processing apparatuses 30A to 30E. The gate valves 35 hermetically close the openings of the substrate processing apparatuses 30A to 30E. And the atmosphere in each of the substrate processing apparatuses 30A to 30E can be blocked. In addition, as shown in FIG. 2, an exhaust passage 36 is provided for forcibly exhausting the inside of the transfer chamber 33 to reduce the pressure. During processing in the processing system 1, the inside of the transfer chamber 33 and the substrate processing apparatuses 30 </ b> A to 30 </ b> E of the processing unit 3 is set to a reduced pressure atmosphere, for example, in a vacuum state, compared to the loading / unloading unit 2.

第二の搬送装置31は、例えば多関節構造を有する搬送機構40を備え、搬送機構40は、基板Gを載せて略水平に保持する一対の搬送アーム41を備えている。搬送機構40は、搬送アーム41を、Z方向に昇降させ、Z方向を中心に略水平面内で旋回させ、水平面内において任意の方向に向けて搬送アーム41を進退させることが可能である。これにより、搬送機構40は、各ロードロック装置5、6、基板処理装置30A〜30Eに、各ゲートバルブ21、23、35を介して搬送アーム41を進入させて、基板Gを一枚ずつ搬入および搬出させることができるように構成されている。   The second transport device 31 includes, for example, a transport mechanism 40 having a multi-joint structure, and the transport mechanism 40 includes a pair of transport arms 41 that place the substrate G and hold the substrate G approximately horizontally. The transport mechanism 40 is capable of moving the transport arm 41 up and down in the Z direction, turning the transport arm 41 in a substantially horizontal plane around the Z direction, and moving the transport arm 41 back and forth in any direction within the horizontal plane. As a result, the transport mechanism 40 causes the transport arm 41 to enter the load lock devices 5 and 6 and the substrate processing apparatuses 30A to 30E via the gate valves 21, 23, and 35, and loads the substrates G one by one. And it is configured to be able to be carried out.

次に、前述したロードロック装置5、6の構成について説明する。図3は、X方向に対して垂直な断面で切断したロードロック装置5、6の内部構造を説明するための縦断面図である。   Next, the configuration of the load lock devices 5 and 6 described above will be described. FIG. 3 is a longitudinal sectional view for explaining the internal structure of the load lock devices 5 and 6 cut along a cross section perpendicular to the X direction.

先ず、下のロードロック装置5は、密閉構造のチャンバ51を備えている。チャンバ51の搬入出部2側、即ち、図3において左側面には、チャンバ51内に基板Gを搬入するための搬入口52が設けられている。搬入口52には、前述したゲートバルブ20が設けられており、ゲートバルブ20によって気密に閉塞可能になっている。チャンバ51の処理部3側、即ち、図3において右側面には、チャンバ51内から基板Gを搬出するための搬出口53が設けられている。搬出口53には、前述したゲートバルブ21が設けられており、ゲートバルブ21によって気密に閉塞可能になっている。   First, the lower load lock device 5 includes a chamber 51 having a sealed structure. A loading / unloading port 52 for loading the substrate G into the chamber 51 is provided on the loading / unloading unit 2 side of the chamber 51, that is, on the left side in FIG. 3. The carry-in port 52 is provided with the gate valve 20 described above, and can be airtightly closed by the gate valve 20. On the processing unit 3 side of the chamber 51, that is, on the right side surface in FIG. 3, a carry-out port 53 for carrying the substrate G out of the chamber 51 is provided. The carry-out port 53 is provided with the gate valve 21 described above, and can be airtightly closed by the gate valve 21.

搬出口53内には、基板Gを支持する複数の保持部材55が備えられている。各保持部材55は略棒状をなし、チャンバ51の底部から上方に突出するように設けられている。各保持部材55の上端部に基板Gの下面を載せることにより、基板Gを略水平に支持するようになっている。   A plurality of holding members 55 that support the substrate G are provided in the carry-out port 53. Each holding member 55 has a substantially rod shape and is provided so as to protrude upward from the bottom of the chamber 51. By placing the lower surface of the substrate G on the upper end of each holding member 55, the substrate G is supported substantially horizontally.

さらに、チャンバ51内には、保持部材55に支持された基板Gを下から加熱する加熱プレート50と上から加熱する補助ヒータ56が備えられている。これら加熱プレート50および補助ヒータ56は、それぞれ交流電源57に接続されており、交流電源57から供給される電力によってそれぞれ昇温されるようになっている。   Further, a heating plate 50 for heating the substrate G supported by the holding member 55 from below and an auxiliary heater 56 for heating from above are provided in the chamber 51. The heating plate 50 and the auxiliary heater 56 are connected to an AC power source 57, respectively, and are heated by electric power supplied from the AC power source 57, respectively.

補助ヒータ56は略長方形板状をなし、チャンバ51の天井に沿って略水平に備えられている。補助ヒータ56は、保持部材70に支持された基板Gの上面に対して略平行な姿勢で対向するようになっている。なお、補助ヒータ56の下面の面積は基板Gの上面の面積より大きく、基板Gの上面全体を覆うようにして加熱することができる。   The auxiliary heater 56 has a substantially rectangular plate shape and is provided substantially horizontally along the ceiling of the chamber 51. The auxiliary heater 56 is opposed to the upper surface of the substrate G supported by the holding member 70 in a substantially parallel posture. The area of the lower surface of the auxiliary heater 56 is larger than the area of the upper surface of the substrate G and can be heated so as to cover the entire upper surface of the substrate G.

加熱プレート50は略長方形板状をなし、チャンバ51の底面に沿って略水平に備えられている。前述した保持部材55は、加熱プレート50に形成された複数の孔60内にそれぞれ配置されている。加熱プレート50は、保持部材55によって保持された基板Gの下面に対して略平行な姿勢で対向する。   The heating plate 50 has a substantially rectangular plate shape, and is provided substantially horizontally along the bottom surface of the chamber 51. The holding members 55 described above are respectively disposed in a plurality of holes 60 formed in the heating plate 50. The heating plate 50 faces the lower surface of the substrate G held by the holding member 55 in a substantially parallel posture.

また、加熱プレート50は上下に昇降可能に構成されており、補助ヒータ56に対して近接及び離隔することができる。例えば図3に示すように、チャンバ51の下方に昇降機構としてのシリンダ61が設けられており、シリンダ61に接続されたロッド62が、チャンバ51の底部を上下に貫通するように設けられている。加熱プレート50は、ロッド62の上端部に取り付けられている。そして、シリンダ61の駆動によって、ロッド62がZ軸方向に昇降することにより、加熱プレート50がロッド62と一体的に、各孔60をそれぞれ保持部材55に沿って移動させながら昇降するようになっている。   The heating plate 50 is configured to be movable up and down, and can be moved close to and away from the auxiliary heater 56. For example, as shown in FIG. 3, a cylinder 61 as an elevating mechanism is provided below the chamber 51, and a rod 62 connected to the cylinder 61 is provided so as to penetrate the bottom of the chamber 51 up and down. . The heating plate 50 is attached to the upper end portion of the rod 62. Then, by driving the cylinder 61, the rod 62 moves up and down in the Z-axis direction, so that the heating plate 50 moves up and down integrally with the rod 62 while moving each hole 60 along the holding member 55. ing.

さらに、加熱プレート50の上面には、加熱時に基板Gを支持するための複数の支持部材65が設けられている。加熱プレート50を待機位置P1に下降させたとき、支持部材65は、保持部材55の上端部より下方に位置する。そのため、保持部材55に基板Gが保持されていても、支持部材65は基板Gに接触しないようになっている。一方、加熱プレート50を待機位置P1から上昇させることにより、支持部材65を保持部材55の上端部より上方に移動させることができる。即ち、保持部材55に保持された基板Gを、支持部材65によって持ち上げ、支持部材65によって基板Gを支持する状態にすることができる。支持部材65は、各支持部材65の上端部に基板Gの下面を載せることにより、基板Gを略水平に支持するようになっている。支持部材65に支持された基板Gの下面と加熱プレート50の上面との間には、略均一な幅の隙間が形成され、基板Gと加熱プレート50が互いに近接配置されるように構成されている。基板Gの加熱時、加熱プレート50は、加熱処理位置P2に上昇させられ、この状態では、複数の支持部材65によって基板Gが支持されるようになっており、また、支持部材65に支持された基板Gと前述した補助ヒータ56が近接し、支持部材65に支持された基板Gの上面と前述した補助ヒータ56の下面との間に、略均一な幅の隙間が形成されるようになっている。   Furthermore, a plurality of support members 65 for supporting the substrate G during heating are provided on the upper surface of the heating plate 50. When the heating plate 50 is lowered to the standby position P <b> 1, the support member 65 is positioned below the upper end portion of the holding member 55. Therefore, even when the substrate G is held by the holding member 55, the support member 65 is not in contact with the substrate G. On the other hand, the support member 65 can be moved upward from the upper end of the holding member 55 by raising the heating plate 50 from the standby position P1. That is, the substrate G held by the holding member 55 can be lifted by the support member 65 and the substrate G can be supported by the support member 65. The support member 65 supports the substrate G substantially horizontally by placing the lower surface of the substrate G on the upper end portion of each support member 65. A gap having a substantially uniform width is formed between the lower surface of the substrate G supported by the support member 65 and the upper surface of the heating plate 50, and the substrate G and the heating plate 50 are arranged close to each other. Yes. When heating the substrate G, the heating plate 50 is raised to the heat treatment position P2, and in this state, the substrate G is supported by the plurality of support members 65, and is supported by the support member 65. The substrate G and the auxiliary heater 56 are close to each other, and a substantially uniform gap is formed between the upper surface of the substrate G supported by the support member 65 and the lower surface of the auxiliary heater 56 described above. ing.

また、チャンバ51内に例えばN(窒素)ガスやHe(ヘリウム)ガス等の不活性ガスを供給するガス供給路70、及び、チャンバ51内を強制排気する排気路71が接続されている。即ち、ガス供給路70からのガス供給と排気路71による強制排気により、チャンバ51内の圧力を調節することができる。 In addition, a gas supply path 70 for supplying an inert gas such as N 2 (nitrogen) gas or He (helium) gas and an exhaust path 71 for forcibly exhausting the chamber 51 are connected to the chamber 51. That is, the pressure in the chamber 51 can be adjusted by the gas supply from the gas supply path 70 and the forced exhaust by the exhaust path 71.

次に、上のロードロック装置6の構成について詳細に説明する。ロードロック装置6は、密閉構造のチャンバ75を備えている。図示の例では、チャンバ75は下段のロードロック装置5のチャンバ51の上面に載せられている。   Next, the configuration of the upper load lock device 6 will be described in detail. The load lock device 6 includes a chamber 75 having a sealed structure. In the illustrated example, the chamber 75 is placed on the upper surface of the chamber 51 of the lower load lock device 5.

チャンバ75の処理部3側、即ち、図3において右側面には、チャンバ75内に基板Gを搬入するための搬入口76が設けられている。搬入口76には、前述したゲートバルブ23が設けられており、ゲートバルブ23によって気密に閉塞可能になっている。チャンバ75の搬入出部2側、即ち、図3において左側面には、チャンバ75内から基板Gを搬出するための搬出口77が設けられている。搬出口77には、前述したゲートバルブ22が設けられており、ゲートバルブ22によって気密に閉塞可能になっている。   A loading port 76 for loading the substrate G into the chamber 75 is provided on the processing unit 3 side of the chamber 75, that is, on the right side in FIG. 3. The carry-in entrance 76 is provided with the gate valve 23 described above, and can be airtightly closed by the gate valve 23. A loading / unloading port 77 for unloading the substrate G from the chamber 75 is provided on the loading / unloading portion 2 side of the chamber 75, that is, on the left side in FIG. 3. The carry-out port 77 is provided with the gate valve 22 described above, and can be airtightly closed by the gate valve 22.

チャンバ75内には、基板Gを保持するための複数の支持部材80が備えられている。各支持部材80は略棒状をなし、チャンバ75の底部から上方に突出するように設けられており、各支持部材80の上端部に基板Gの下面を載せることにより、基板Gを略水平に保持するようになっている。   A plurality of support members 80 for holding the substrate G are provided in the chamber 75. Each support member 80 is substantially rod-shaped and is provided so as to protrude upward from the bottom of the chamber 75. By placing the lower surface of the substrate G on the upper end of each support member 80, the substrate G is held substantially horizontally. It is supposed to be.

さらに、チャンバ75内には、基板Gを冷却する冷却プレート81と補助クーラ82が備えられている。これら冷却プレート81および補助クーラ82には、冷却水を送水する冷却水送水路83、84がそれぞれ内蔵されており、冷却水送水路83、84を流れる冷却水の冷熱によって冷却プレート81および補助クーラ82が冷却されるようになっている。   Further, a cooling plate 81 and an auxiliary cooler 82 for cooling the substrate G are provided in the chamber 75. The cooling plate 81 and the auxiliary cooler 82 include cooling water supply paths 83 and 84 for supplying cooling water, respectively, and the cooling plate 81 and the auxiliary cooler are cooled by the cooling heat of the cooling water flowing through the cooling water supply paths 83 and 84. 82 is cooled.

補助クーラ82は略長方形板状をなし、チャンバ75の天井に沿って略水平に備えられており、支持部材80に支持された基板Gの上面側に配置される。また、支持部材80に支持された基板Gの上面に対して略平行な姿勢で対向するようになっている。   The auxiliary cooler 82 has a substantially rectangular plate shape, is provided substantially horizontally along the ceiling of the chamber 75, and is disposed on the upper surface side of the substrate G supported by the support member 80. Further, it is opposed to the upper surface of the substrate G supported by the support member 80 in a substantially parallel posture.

また、補助クーラ82は、上下に昇降可能に構成されており、支持部材80に支持された基板Gに対して近接及び離隔することができる。例えば図3に示すように、チャンバ75の上方に昇降機構としてのシリンダ85が設けられており、シリンダ85のロッド86が、チャンバ75の天井を上下に貫通するように設けられている。補助クーラ82は、ロッド86の下端部に取り付けられている。そして、シリンダ85の駆動によって、ロッド86がZ軸方向に昇降することにより、補助クーラ82がロッド86と一体的に昇降するようになっている。補助クーラ82は、例えば支持部材80に支持された基板Gから離隔する上方の待機位置P3と、基板Gに近接する下方の冷却処理位置P4とに移動する。なお、補助クーラ82の下面の面積は基板Gの上面の面積より大きく、支持部材80に支持された基板Gの上面全体を覆うようにして冷却することができる。   In addition, the auxiliary cooler 82 is configured to be movable up and down, and can approach and separate from the substrate G supported by the support member 80. For example, as shown in FIG. 3, a cylinder 85 as an elevating mechanism is provided above the chamber 75, and a rod 86 of the cylinder 85 is provided so as to penetrate the ceiling of the chamber 75 vertically. The auxiliary cooler 82 is attached to the lower end portion of the rod 86. Then, by driving the cylinder 85, the rod 86 moves up and down in the Z-axis direction, so that the auxiliary cooler 82 moves up and down integrally with the rod 86. The auxiliary cooler 82 moves to, for example, an upper standby position P3 that is separated from the substrate G supported by the support member 80 and a lower cooling processing position P4 that is close to the substrate G. The area of the lower surface of the auxiliary cooler 82 is larger than the area of the upper surface of the substrate G, and cooling can be performed so as to cover the entire upper surface of the substrate G supported by the support member 80.

冷却プレート81は略長方形板状をなし、チャンバ51の底面に沿って略水平に備えられており、支持部材80に支持された基板Gの下面側に配置される。前述した支持部材80は、冷却プレート81に形成された複数の孔90内にそれぞれ配置されている。冷却プレート81は、支持部材80によって支持された基板Gの下面に対して略平行な姿勢で対向する。また、基板Gと冷却プレート81との間に、略均一な幅の隙間が形成された状態で、基板Gと冷却プレート81が互いに近接配置されるようになっている。冷却プレート81の上面の面積は基板Gの下面の面積より大きく、支持部材80に支持された基板Gの下面全体を覆うようにして冷却することができる。   The cooling plate 81 has a substantially rectangular plate shape, is provided substantially horizontally along the bottom surface of the chamber 51, and is disposed on the lower surface side of the substrate G supported by the support member 80. The above-described support members 80 are respectively disposed in the plurality of holes 90 formed in the cooling plate 81. The cooling plate 81 faces the lower surface of the substrate G supported by the support member 80 in a substantially parallel posture. Further, the substrate G and the cooling plate 81 are arranged close to each other in a state where a gap having a substantially uniform width is formed between the substrate G and the cooling plate 81. The area of the upper surface of the cooling plate 81 is larger than the area of the lower surface of the substrate G, and cooling can be performed so as to cover the entire lower surface of the substrate G supported by the support member 80.

また、チャンバ75内に例えばN(窒素)ガスやHe(ヘリウム)ガス等の不活性ガスを供給するガス供給路91、及び、チャンバ75内を強制排気する排気路92が接続されている。即ち、ガス供給路91からのガス供給と排気路92による強制排気により、チャンバ75内の圧力を調節することができる。 Further, a gas supply path 91 for supplying an inert gas such as N 2 (nitrogen) gas or He (helium) gas and an exhaust path 92 for forcibly exhausting the chamber 75 are connected to the chamber 75. That is, the pressure in the chamber 75 can be adjusted by the gas supply from the gas supply path 91 and the forced exhaust by the exhaust path 92.

図4は、ロードロック装置5、6に対する給排気系統の説明図である。なお、ロードロック装置5、6に対する給排気系統は同様であるので、代表してロードロック装置5に対する給排気系統を説明する。ガス供給路70の上流側に、例えば0.7MPaに加圧された不活性ガスの供給源100が接続されている。この供給源100から出た不活性ガスが、圧力スイッチ101とレギュレータにより0.5MPaに調整されてロードロック装置5のチャンバ51内に供給される。ガス供給路70の途中には、比較的流量の大きい大流量配管105と、比較的流量の小さい小流量配管106とが設けられている。大流量配管105のCv値は例えば0.6〜0.1であり、小流量配管106のCv値は例えば0.1以下である。なお、ガスの場合、Cv値は次の式によって求めることができる。
Cv=0.024・Qg・(S.G.・T)−1/2/ΔP
但し、Qg:1分間あたりに流れる気体の体積(sccm)、△P:バルブ一次側の絶対圧力、単位(Pa)、S.G.=20℃1気圧(100kPa)の空気を1としたときのガスの比重、T:温度(K)である。これら大流量配管105と小流量配管106には開閉弁107、108が設けられており、この開閉弁107、108の開閉操作によって、大流量配管105に不活性ガスを通すことにより、例えば600〜100リットル/minといった比較的大きい流量でロードロック装置5のチャンバ51内に不活性ガスを供給する状態と、例えば100〜10リットル/minといった比小流量配管106に不活性ガスを通すことにより、比較的小さい流量でロードロック装置5のチャンバ51内に不活性ガスを供給する状態とに切り替えることができる。なお、小流量配管106には、ニードル弁110が設けられており、小流量配管106に通して比較的小さい流量でチャンバ51内に不活性ガスを供給する状態では、このニードル弁110によって流量を調整することができる。
FIG. 4 is an explanatory diagram of a supply / exhaust system for the load lock devices 5 and 6. Since the supply / exhaust system for the load lock devices 5 and 6 is the same, the supply / exhaust system for the load lock device 5 will be described as a representative. An inert gas supply source 100 pressurized to, for example, 0.7 MPa is connected to the upstream side of the gas supply path 70. The inert gas emitted from the supply source 100 is adjusted to 0.5 MPa by the pressure switch 101 and a regulator and is supplied into the chamber 51 of the load lock device 5. In the middle of the gas supply path 70, a large flow pipe 105 having a relatively large flow rate and a small flow pipe 106 having a relatively small flow rate are provided. The Cv value of the large flow pipe 105 is, for example, 0.6 to 0.1, and the Cv value of the small flow pipe 106 is, for example, 0.1 or less. In the case of gas, the Cv value can be obtained by the following equation.
Cv = 0.024 · Qg · (SG · T) −1/2 / ΔP
However, Qg: volume of gas flowing per minute (sccm), ΔP: absolute pressure on the primary side of the valve, unit (Pa), SG = 20 ° C 1 atm (100 kPa) air is 1 Specific gravity, T: temperature (K). The large flow pipe 105 and the small flow pipe 106 are provided with on / off valves 107 and 108. By opening / closing the on / off valves 107 and 108, an inert gas is passed through the large flow pipe 105, for example, 600 to By supplying an inert gas into the chamber 51 of the load lock device 5 at a relatively large flow rate such as 100 liters / min, and passing the inert gas through a specific small flow rate pipe 106 such as 100 to 10 liters / min, It is possible to switch to a state where an inert gas is supplied into the chamber 51 of the load lock device 5 at a relatively small flow rate. The small flow pipe 106 is provided with a needle valve 110. In the state where an inert gas is supplied into the chamber 51 at a relatively small flow rate through the small flow pipe 106, the needle valve 110 controls the flow rate. Can be adjusted.

排気路71は、真空ポンプ等の排気装置115に接続されている。排気路71には、可変式バタフライバルブである自動圧力制御弁(automatic pressure control valve)116が設けられており、この自動圧力制御弁116によってチャンバ51内の圧力が制御されている。   The exhaust path 71 is connected to an exhaust device 115 such as a vacuum pump. The exhaust passage 71 is provided with an automatic pressure control valve 116 that is a variable butterfly valve, and the pressure in the chamber 51 is controlled by the automatic pressure control valve 116.

チャンバ51には、チャンバ51内の雰囲気を、外部との圧力差で排出させるリーク路120が接続されている。リーク路120には、チェックバルブ121が取り付けてある。このチェックバルブ121があるため、リーク路120を介してチャンバ51内に外部から空気が入らない。   The chamber 51 is connected to a leak path 120 that discharges the atmosphere in the chamber 51 with a pressure difference from the outside. A check valve 121 is attached to the leak path 120. Since this check valve 121 is provided, air does not enter the chamber 51 through the leak path 120 from the outside.

また、チャンバ51には、チャンバ51内の圧力を検出する圧力計125と、チャンバ51内の瞬間的な圧力変動を吸収するアキュームレータ126が取り付けられている。なお、ロードロック装置5に対する給排気系統を説明したが、ロードロック装置6に対する給排気系統も同様の構成を有している。   In addition, a pressure gauge 125 that detects the pressure in the chamber 51 and an accumulator 126 that absorbs an instantaneous pressure fluctuation in the chamber 51 are attached to the chamber 51. In addition, although the air supply / exhaust system with respect to the load lock apparatus 5 was demonstrated, the air supply / exhaust system with respect to the load lock apparatus 6 also has the same structure.

次に、以上のように構成された処理システム1における基板Gの処理工程について説明する。先ず、複数枚の基板Gが収納されたキャリアCが、開口16を搬送装置12側に向けた状態で載置台11上に載置される。そして、搬送装置12の搬送アーム15が開口16に進入させられ、一枚の基板Gが取り出される。基板Gを保持した搬送アーム15は、下段に配置されたロードロック装置5のゲートバルブ20の前方に対向する位置に移動させられる。   Next, the processing steps for the substrate G in the processing system 1 configured as described above will be described. First, the carrier C in which a plurality of substrates G are stored is placed on the mounting table 11 with the opening 16 facing the transfer device 12 side. Then, the transfer arm 15 of the transfer device 12 is caused to enter the opening 16 and a single substrate G is taken out. The transfer arm 15 holding the substrate G is moved to a position facing the front of the gate valve 20 of the load lock device 5 arranged in the lower stage.

一方、ロードロック装置5は、閉塞状態のゲートバルブ20、21によって、搬入口52、搬出口53がそれぞれ気密に封じられており、チャンバ51内が密閉されている。これにより、搬入出部2の雰囲気と処理部3の搬送室33内の雰囲気とは、ロードロック装置5を介して互いに遮断された状態となっている。搬入出部2の雰囲気は例えば大気圧となっているのに対して、搬送室33内は排気路36からの排気により真空引きされている。   On the other hand, in the load lock device 5, the carry-in port 52 and the carry-out port 53 are hermetically sealed by the closed gate valves 20 and 21, respectively, and the inside of the chamber 51 is sealed. As a result, the atmosphere in the loading / unloading unit 2 and the atmosphere in the transfer chamber 33 of the processing unit 3 are in a state of being blocked from each other via the load lock device 5. While the atmosphere of the carry-in / out section 2 is, for example, atmospheric pressure, the inside of the transfer chamber 33 is evacuated by the exhaust from the exhaust path 36.

ロードロック装置5においては、先ず、チャンバ51内を所定の圧力、即ち搬入出部2と略同一の略大気圧に昇圧させる。この場合、先ず、ガス供給路70において開閉弁107を開き、供給源100の不活性ガスを、大流量配管105に通して、比較的大きい流量でチャンバ51内に不活性ガスを供給することによる復帰工程を行う。これにより、減圧されていたチャンバ51内に不活性ガスを大流量で供給し、チャンバ51内の圧力を瞬時に大気圧に復帰させることができる。   In the load lock device 5, first, the pressure in the chamber 51 is increased to a predetermined pressure, that is, substantially the same atmospheric pressure as the loading / unloading unit 2. In this case, first, the on-off valve 107 is opened in the gas supply path 70, and the inert gas of the supply source 100 is passed through the large flow pipe 105 to supply the inert gas into the chamber 51 at a relatively large flow rate. Perform a return process. As a result, the inert gas can be supplied at a large flow rate into the chamber 51 that has been decompressed, and the pressure in the chamber 51 can be instantaneously restored to atmospheric pressure.

次に、こうしてチャンバ51内を大気圧に復帰させた後、開閉弁107を閉じて開閉弁108を開き、不活性ガスを小流量配管106に通して、比較的小さい流量でチャンバ51内に供給する。なお、開閉弁107を閉じる前に開閉弁108を開き、予め開閉弁108を開いている状態で開閉弁107を閉じることによって、比較的小さい流量でチャンバ51内に供給することもできる。また一方で、チャンバ51内の雰囲気を、外部との圧力差によってリーク路120から排出させる。こうして、比較的小さい流量でチャンバ51内に不活性ガスを供給し続けると共に、チャンバ51内の雰囲気をリーク路120から排出させることによるリーク工程を行う。   Next, after the inside of the chamber 51 is returned to the atmospheric pressure in this way, the on-off valve 107 is closed and the on-off valve 108 is opened, and an inert gas is passed through the small flow pipe 106 and supplied into the chamber 51 at a relatively small flow rate. To do. In addition, by opening the on-off valve 108 before closing the on-off valve 107 and closing the on-off valve 107 in a state in which the on-off valve 108 is opened in advance, it is possible to supply the chamber 51 at a relatively small flow rate. On the other hand, the atmosphere in the chamber 51 is discharged from the leak path 120 due to a pressure difference with the outside. In this way, the inert gas is continuously supplied into the chamber 51 at a relatively small flow rate, and the leak process is performed by exhausting the atmosphere in the chamber 51 from the leak path 120.

このリーク工程では、チャンバ51内に供給される不活性ガスの流量が比較的小さく、また、チャンバ51内の雰囲気はリーク路120から外部に排出されるので、チャンバ51内は実質的に大気圧に保たれる。この場合、小流量配管106に設けられたニードル弁110を調整することにより、チャンバ51内の圧力が1000Pa以下のゲージ圧となるように調整すると良い。   In this leak process, the flow rate of the inert gas supplied into the chamber 51 is relatively small, and the atmosphere in the chamber 51 is discharged from the leak path 120 to the outside, so that the inside of the chamber 51 is substantially at atmospheric pressure. To be kept. In this case, it is preferable to adjust the needle valve 110 provided in the small flow pipe 106 so that the pressure in the chamber 51 becomes a gauge pressure of 1000 Pa or less.

こうしてチャンバ51内を大気圧に維持した状態で、搬出口53をゲートバルブ21によって閉じたまま、ゲートバルブ20を開放状態にして、搬入口52を開口させる。これにより、チャンバ51内は搬入口52を介して搬入出部2の雰囲気と連通した状態になる。搬入口52を開口させている間も、搬出口53をゲートバルブ21によって閉塞することにより、搬送室33内の真空状態を維持することができる。   With the chamber 51 maintained at atmospheric pressure in this manner, the gate valve 20 is opened while the carry-out port 53 is closed by the gate valve 21, and the carry-in port 52 is opened. As a result, the inside of the chamber 51 is in communication with the atmosphere of the carry-in / out section 2 via the carry-in port 52. Even while the carry-in port 52 is opened, the vacuum state in the transfer chamber 33 can be maintained by closing the carry-out port 53 with the gate valve 21.

そして、基板Gを保持した搬送アーム15をY軸方向に移動させ、ゲートバルブ20、搬入口52を介して、チャンバ51内に進入させ、搬送アーム15から保持部材55上に基板Gを受け渡す。その後、搬送アーム15がチャンバ51内から退出したら、ゲートバルブ20を閉じ、チャンバ51内を密閉状態にして、チャンバ51内を排気路71によって強制排気することにより、チャンバ51内を所定の圧力、即ち、搬送室33内と略同圧の真空状態に減圧する。なお、チャンバ51内にガス供給路70から不活性ガスを供給しながら、即ちチャンバ51内を不活性ガスによってパージしながら減圧するようにしても良く、この場合、基板Gの加熱の促進を図ることができる。   Then, the transfer arm 15 holding the substrate G is moved in the Y-axis direction to enter the chamber 51 via the gate valve 20 and the carry-in port 52, and the substrate G is transferred from the transfer arm 15 onto the holding member 55. . After that, when the transfer arm 15 is withdrawn from the chamber 51, the gate valve 20 is closed, the chamber 51 is hermetically sealed, and the chamber 51 is forcibly exhausted by the exhaust passage 71. That is, the pressure is reduced to a vacuum state substantially equal to that in the transfer chamber 33. The chamber 51 may be depressurized while being supplied with an inert gas from the gas supply path 70, that is, the inside of the chamber 51 is purged with the inert gas. In this case, the heating of the substrate G is promoted. be able to.

一方、チャンバ51内に収納された基板Gは、加熱プレート50と補助ヒータ56とによって加熱される。先ず、シリンダ61の駆動により加熱プレート50が待機位置P1から上昇させられる。すると、加熱プレート50が上昇する途中で、基板Gは支持部材65によって保持部材55から持ち上げられ、支持部材65によって支持された状態になる。支持部材65に支持された基板Gは、加熱プレート50と一体的に上昇して、補助ヒータ56に近接させられる。こうして、加熱プレート50が加熱処理位置P2に配置され、基板Gが加熱プレート50と補助ヒータ56とによって加熱される。このように、基板Gを両面から加熱することにより、基板Gを均一に加熱することができ、また、短時間で効率的に加熱することができる。   On the other hand, the substrate G accommodated in the chamber 51 is heated by the heating plate 50 and the auxiliary heater 56. First, the heating plate 50 is raised from the standby position P1 by driving the cylinder 61. Then, the substrate G is lifted from the holding member 55 by the support member 65 and is supported by the support member 65 while the heating plate 50 is raised. The substrate G supported by the support member 65 rises integrally with the heating plate 50 and is brought close to the auxiliary heater 56. Thus, the heating plate 50 is disposed at the heat treatment position P <b> 2, and the substrate G is heated by the heating plate 50 and the auxiliary heater 56. Thus, by heating the substrate G from both sides, the substrate G can be heated uniformly and can be efficiently heated in a short time.

なお、チャンバ51内における基板Gの加熱は、チャンバ51内の減圧と並行して行うと良い。そうすれば、チャンバ51内での処理時間を短縮することができ、効率的である。   Note that the heating of the substrate G in the chamber 51 is preferably performed in parallel with the pressure reduction in the chamber 51. By doing so, the processing time in the chamber 51 can be shortened, which is efficient.

チャンバ51内が略真空状態になり、かつ、基板Gの加熱が終了したら、搬入口52をゲートバルブ20によって閉じたまま、ゲートバルブ21を開放状態にして、搬出口53を開口させる。これにより、チャンバ51内は搬出口53を介して搬送室33の雰囲気と連通した状態になる。搬出口53を開口させている間も、搬入口52をゲートバルブ20によって閉塞することにより、チャンバ51内及び搬送室33内の真空状態を維持することができる。   When the inside of the chamber 51 is in a substantially vacuum state and the heating of the substrate G is completed, the gate valve 21 is opened while the carry-in port 52 is closed by the gate valve 20, and the carry-out port 53 is opened. As a result, the inside of the chamber 51 is in communication with the atmosphere of the transfer chamber 33 via the carry-out port 53. Even while the carry-out port 53 is opened, the vacuum state in the chamber 51 and the transfer chamber 33 can be maintained by closing the carry-in port 52 with the gate valve 20.

また、加熱プレート50は加熱処理位置P2から下降させ、待機位置P1に戻す。すると、加熱プレート50が下降する途中で、基板Gの下面に保持部材55が当接し、基板Gが支持部材65から保持部材55に受け渡される。これにより、基板Gは、保持部材55によって支持された状態になる。   The heating plate 50 is lowered from the heat treatment position P2 and returned to the standby position P1. Then, while the heating plate 50 is lowered, the holding member 55 comes into contact with the lower surface of the substrate G, and the substrate G is transferred from the support member 65 to the holding member 55. As a result, the substrate G is supported by the holding member 55.

こうして、搬出口53を開口させるとともに、加熱プレート50を待機位置P1に配置した状態にしたら、第二の搬送装置31の搬送アーム41をY軸方向に移動させ、ゲートバルブ21、搬出口53を介して、チャンバ51内に進入させる。そして、搬送アーム41によって保持部材55から基板Gを受け取り、基板Gを保持した搬送アーム41をチャンバ51内から退出させる。こうして、基板Gが、チャンバ51内から搬出口53、ゲートバルブ21を通じて搬出され、処理部3の搬送室33に搬入される。   Thus, when the carry-out port 53 is opened and the heating plate 50 is placed at the standby position P1, the transfer arm 41 of the second transfer device 31 is moved in the Y-axis direction, and the gate valve 21 and the carry-out port 53 are moved. To enter the chamber 51. Then, the transfer arm 41 receives the substrate G from the holding member 55, and the transfer arm 41 holding the substrate G is withdrawn from the chamber 51. In this way, the substrate G is unloaded from the chamber 51 through the unloading port 53 and the gate valve 21 and loaded into the transfer chamber 33 of the processing unit 3.

搬送室33に搬入された基板Gは、搬送アーム41によって搬送室33から基板処理装置30A〜30Eのいずれかに搬入され、所定のプラズマCVD処理による成膜が行われる。基板処理装置30A〜30Eにおいては、減圧雰囲気下で基板Gが加熱されるとともに、処理室内に反応ガスが供給され、マイクロ波のエネルギによって反応ガスがプラズマ化される。これにより、基板Gの表面上に所定の薄膜が形成される。ここで、搬入された基板Gはチャンバ51内において予備加熱されているので、基板処理装置30A〜30Eにおける基板Gの加熱時間を短くすることができ、効率的に処理することができる。   The substrate G carried into the transfer chamber 33 is transferred from the transfer chamber 33 to one of the substrate processing apparatuses 30A to 30E by the transfer arm 41, and film formation is performed by a predetermined plasma CVD process. In the substrate processing apparatuses 30A to 30E, the substrate G is heated in a reduced-pressure atmosphere, and a reaction gas is supplied into the processing chamber, and the reaction gas is turned into plasma by microwave energy. As a result, a predetermined thin film is formed on the surface of the substrate G. Here, since the loaded substrate G is preheated in the chamber 51, the heating time of the substrate G in the substrate processing apparatuses 30A to 30E can be shortened, and the substrate G can be processed efficiently.

基板処理装置30A〜30Eにおいて基板Gの処理が終了したら、搬送アーム41によって基板処理装置30A〜30Eから基板Gを取り出し、搬送室33に搬出させる。このとき、基板Gは高温状態となっている。   When the processing of the substrate G is completed in the substrate processing apparatuses 30 </ b> A to 30 </ b> E, the substrate G is taken out from the substrate processing apparatuses 30 </ b> A to 30 </ b> E by the transfer arm 41 and carried out to the transfer chamber 33. At this time, the substrate G is in a high temperature state.

一方、上段に配置されたロードロック装置6は、閉塞状態のゲートバルブ23、22によって、搬入口76、搬出口77をそれぞれ気密に封じ、チャンバ75内を密閉した状態にしておく。また、排気路92の強制排気によって、チャンバ75内を所定の圧力、即ち搬送室33と略同一の真空状態に減圧しておく。この状態で、搬出口77をゲートバルブ22によって閉じたまま、ゲートバルブ23を開放状態にして、搬入口76を開口させる。これにより、チャンバ75内は搬入口76を介して搬送室33の雰囲気と連通した状態になる。搬入口76を開口させている間も、搬出口77をゲートバルブ22によって閉塞することにより、チャンバ75内及び搬送室33内の真空状態を維持することができる。また、補助クーラ82はシリンダ85の駆動により上昇させておき、待機位置P3に待機させる。   On the other hand, in the load lock device 6 arranged in the upper stage, the carry-in port 76 and the carry-out port 77 are hermetically sealed by the closed gate valves 23 and 22, respectively, so that the chamber 75 is sealed. Further, the inside of the chamber 75 is depressurized to a predetermined pressure, that is, substantially the same vacuum state as the transfer chamber 33 by forced exhaust of the exhaust path 92. In this state, the gate valve 23 is opened while the carry-out port 77 is closed by the gate valve 22, and the carry-in port 76 is opened. As a result, the inside of the chamber 75 communicates with the atmosphere of the transfer chamber 33 through the carry-in port 76. Even when the carry-in port 76 is opened, the vacuum state in the chamber 75 and the transfer chamber 33 can be maintained by closing the carry-out port 77 with the gate valve 22. Further, the auxiliary cooler 82 is raised by driving the cylinder 85 and waits at the standby position P3.

搬入口76を開口させるとともに、補助クーラ82を待機位置P3に配置した状態にしたら、基板Gを保持した搬送アーム41をY軸方向に移動させ、ゲートバルブ23、搬入口76を介して、チャンバ75内に進入させ、基板Gを搬送アーム41から支持部材80上に受け渡す。   When the carry-in port 76 is opened and the auxiliary cooler 82 is placed in the standby position P3, the transfer arm 41 holding the substrate G is moved in the Y-axis direction, and the chamber is passed through the gate valve 23 and the carry-in port 76. The substrate G is transferred from the transfer arm 41 onto the support member 80.

このようにして、基板処理装置30A〜30Eから搬出された高温状態の基板Gが、ゲートバルブ23、搬入口76通じて搬入され、チャンバ75内に収納され、搬送アーム41がチャンバ75内から退出したら、ゲートバルブ23閉じ、チャンバ75内を密閉状態にする。そして、チャンバ75内にガス供給路91から不活性ガスを供給して、チャンバ75内が所定の圧力、即ち搬入出部2と略同一の略大気圧になるまで昇圧させる。   In this way, the high-temperature substrate G unloaded from the substrate processing apparatuses 30 </ b> A to 30 </ b> E is loaded through the gate valve 23 and the loading port 76, stored in the chamber 75, and the transfer arm 41 leaves the chamber 75. Then, the gate valve 23 is closed and the inside of the chamber 75 is sealed. Then, an inert gas is supplied into the chamber 75 from the gas supply path 91 and the pressure in the chamber 75 is increased to a predetermined pressure, that is, approximately the same atmospheric pressure as that of the loading / unloading unit 2.

この場合も、先ず、ガス供給路91において開閉弁107を開き、供給源100の不活性ガスを、大流量配管105に通して、比較的大きい流量でチャンバ75内に不活性ガスを供給することによる復帰工程を行う。これにより、減圧されていたチャンバ75内に不活性ガスを大流量で供給し、チャンバ75内の圧力を瞬時に大気圧に復帰させることができる。   Also in this case, first, the on-off valve 107 is opened in the gas supply path 91, and the inert gas from the supply source 100 is passed through the large flow pipe 105 to supply the inert gas into the chamber 75 at a relatively large flow rate. The return process by is performed. As a result, the inert gas can be supplied at a large flow rate into the chamber 75 that has been decompressed, and the pressure in the chamber 75 can be instantaneously restored to atmospheric pressure.

次に、こうしてチャンバ75内を大気圧に復帰させた後、開閉弁107を閉じて開閉弁108を開き、不活性ガスを小流量配管106に通して、比較的小さい流量でチャンバ75内に供給する。また一方で、チャンバ75内の雰囲気を、外部との圧力差によってリーク路120から排出させる。こうして、比較的小さい流量でチャンバ75内に不活性ガスを供給し続けると共に、チャンバ75内の雰囲気をリーク路120から排出させることによるリーク工程を行う。   Next, after the inside of the chamber 75 is returned to the atmospheric pressure in this way, the on-off valve 107 is closed and the on-off valve 108 is opened, and the inert gas is passed through the small flow pipe 106 and supplied into the chamber 75 at a relatively small flow rate. To do. On the other hand, the atmosphere in the chamber 75 is discharged from the leak path 120 due to a pressure difference with the outside. In this manner, the inert gas is continuously supplied into the chamber 75 at a relatively small flow rate, and the leak process is performed by exhausting the atmosphere in the chamber 75 from the leak path 120.

このリーク工程では、チャンバ75内に供給される不活性ガスの流量が比較的小さく、また、チャンバ75内の雰囲気はリーク路120から外部に排出されるので、チャンバ75内は実質的に大気圧に保たれる。この場合、小流量配管106に設けられたニードル弁110を調整することにより、チャンバ75内の圧力が1000Pa以下のゲージ圧となるように調整すると良い。   In this leak process, the flow rate of the inert gas supplied into the chamber 75 is relatively small, and the atmosphere in the chamber 75 is discharged from the leak path 120 to the outside, so that the inside of the chamber 75 is substantially at atmospheric pressure. To be kept. In this case, it is preferable to adjust the needle valve 110 provided in the small flow pipe 106 so that the pressure in the chamber 75 becomes a gauge pressure of 1000 Pa or less.

一方、基板Gは補助クーラ82と冷却プレート81とによって冷却される。冷却時は、シリンダ85の駆動により補助クーラ82を下降させて冷却処理位置P4に配置し、基板Gの上面に近接させる。即ち、基板Gの上面全体に補助クーラ82を近接させ、下面全体に冷却プレート81を近接させて、基板Gを両面から冷却することにより、基板Gを均一に冷却することができ、また、短時間で効率的に冷却することができる。   On the other hand, the substrate G is cooled by the auxiliary cooler 82 and the cooling plate 81. At the time of cooling, the auxiliary cooler 82 is lowered by driving the cylinder 85 and disposed at the cooling processing position P4 so as to be close to the upper surface of the substrate G. That is, the substrate G can be cooled uniformly by bringing the auxiliary cooler 82 close to the entire upper surface of the substrate G and the cooling plate 81 close to the entire lower surface to cool the substrate G from both sides. It can be cooled efficiently in time.

なお、チャンバ75内における基板Gの冷却は、チャンバ75内の加圧と並行して行うと良い。そうすれば、チャンバ75内での処理時間を短縮することができ、効率的である。また、ガス供給路91から供給される不活性ガスの冷風によって、基板Gの冷却の促進を図るようにしても良い。   Note that the cooling of the substrate G in the chamber 75 may be performed in parallel with the pressurization in the chamber 75. If it does so, the processing time in the chamber 75 can be shortened and it is efficient. Further, the cooling of the substrate G may be promoted by the cold air of the inert gas supplied from the gas supply path 91.

そして、基板Gの冷却が終了したら、チャンバ75内を大気圧に維持した状態で、搬入口76をゲートバルブ23によって閉じたまま、ゲートバルブ22を開放状態にして、搬出口77を開口させる。これにより、チャンバ75内は搬出口77を介して搬入出部2の雰囲気と連通した状態になる。搬出口77を開口させている間も、搬入口76をゲートバルブ23によって閉塞することにより、搬送室33内の真空状態を維持することができる。   When the cooling of the substrate G is completed, the gate valve 22 is opened and the carry-out port 77 is opened while the carry-in port 76 is closed by the gate valve 23 while the chamber 75 is maintained at atmospheric pressure. As a result, the inside of the chamber 75 communicates with the atmosphere of the carry-in / out section 2 via the carry-out port 77. Even while the carry-out port 77 is opened, the vacuum state in the transfer chamber 33 can be maintained by closing the carry-in port 76 with the gate valve 23.

そして、搬送装置12の搬送アーム15をY軸方向に移動させ、ゲートバルブ22、搬出口77を介して、チャンバ75内に進入させる。そして、搬送アーム15によって支持部材80から基板Gを受け取り、基板Gを保持した搬送アーム15をチャンバ75内から退出させる。   Then, the transfer arm 15 of the transfer device 12 is moved in the Y-axis direction and enters the chamber 75 through the gate valve 22 and the carry-out port 77. Then, the transfer arm 15 receives the substrate G from the support member 80, and the transfer arm 15 holding the substrate G is withdrawn from the chamber 75.

こうして、基板Gはチャンバ75内から搬出口77、ゲートバルブ22を通じて搬出され、搬入出部2に搬出される。そして、搬送アーム15によって載置台11上のキャリアCに戻される。以上のようにして、処理システム1における一連の処理工程が終了する。   Thus, the substrate G is unloaded from the chamber 75 through the unloading port 77 and the gate valve 22, and unloaded to the loading / unloading unit 2. Then, the carrier arm 15 returns the carrier C on the mounting table 11. As described above, a series of processing steps in the processing system 1 is completed.

かかる処理システム1にあっては、ロードロック装置5、6のチャンバ51、75内を大気開放する際に、減圧されていたチャンバ51、75内に不活性ガスを大流量で供給することにより、チャンバ51、75内の圧力を瞬時に大気圧に復帰させることができる。また、大気圧に復帰させた後においては、比較的小さい流量でチャンバ51、75内に不活性ガスを供給し続けつつ、チャンバ51、75内の雰囲気をリーク路120から排出させることにより、チャンバ51、75内を実質的に大気圧と等しく保つことができる。この場合、例えばチャンバ51、75内を、ゲージ圧で1000Pa以下の範囲に保つことができる。そのため、大気圧に復帰させた後、すぐにチャンバ51、75内を大気開放しても、外部からパーティクルが進入せず、チャンバ51、75内を清浄な状態に保つことができる。これにより、従来のように圧力が安定するまで待つ必要がなく、処理時間が短くなってスループットが向上する。   In such a processing system 1, when the chambers 51 and 75 of the load lock devices 5 and 6 are opened to the atmosphere, an inert gas is supplied into the chambers 51 and 75 that have been decompressed at a large flow rate, The pressure in the chambers 51 and 75 can be instantaneously restored to atmospheric pressure. Further, after returning to the atmospheric pressure, the atmosphere in the chambers 51 and 75 is discharged from the leak path 120 while continuing to supply the inert gas into the chambers 51 and 75 at a relatively small flow rate, thereby 51 and 75 can be kept substantially equal to the atmospheric pressure. In this case, for example, the inside of the chambers 51 and 75 can be maintained in a range of 1000 Pa or less in terms of gauge pressure. For this reason, even if the chambers 51 and 75 are immediately opened to the atmosphere after returning to the atmospheric pressure, particles do not enter from the outside, and the chambers 51 and 75 can be kept clean. As a result, there is no need to wait until the pressure is stabilized as in the conventional case, the processing time is shortened, and the throughput is improved.

以上、本発明の好適な実施形態について説明したが、本発明はかかる例に限定されない。当業者であれば、特許請求の範囲に記載された技術的思想の範疇内において、各種の変更例または修正例に想到しうることは明らかであり、それらについても当然に本発明の技術的範囲に属するものと了解される。   As mentioned above, although preferred embodiment of this invention was described, this invention is not limited to this example. It is obvious for those skilled in the art that various changes or modifications can be conceived within the scope of the technical idea described in the claims. It is understood that it belongs to.

例えば、処理システムは、複数の基板処理装置を備えたマルチチャンバー型のものでなくても良い。処理部に備える基板処理装置の台数は1台でも良い。また、以上の実施形態では、処理部3においてプラズマCVD処理を行う処理システム1について説明したが、処理部で行われる処理は他の処理であっても良い。本発明は、その他の減圧雰囲気下で行う処理、例えば熱CVD処理、エッチング処理、アッシング処理等を処理部において行う処理システムに備えられるロードロック装置に適用できる。また、以上の実施形態では、LCD用基板Gを処理する場合について説明したが、基板は他のもの、例えば半導体ウェハ等であっても良い。   For example, the processing system may not be a multi-chamber type including a plurality of substrate processing apparatuses. The number of substrate processing apparatuses provided in the processing unit may be one. Moreover, although the processing system 1 which performs a plasma CVD process in the process part 3 was demonstrated in the above embodiment, the process performed in a process part may be another process. The present invention can be applied to a load lock apparatus provided in a processing system that performs other processing in a reduced pressure atmosphere, for example, thermal CVD processing, etching processing, ashing processing, and the like in a processing section. In the above embodiment, the case where the LCD substrate G is processed has been described. However, the substrate may be another substrate such as a semiconductor wafer.

図3で説明したロードロック装置において、チャンバ内の圧力変動を調べた。先ず図5に示すように、減圧されていたチャンバ内に不活性ガスを450SLMの大流量で供給し、120秒付近でチャンバ内の圧力を大気圧よりも約8000Pa程度陽圧にまで昇圧させた。その後、チャンバ内を密閉した。なお、チャンバ内の容積(加熱プレート等の温度調節部材などが無い状態での容積)は230リットルである。すると、密閉後、チャンバ内の圧力は徐々に低下した。これは、チャンバ内に供給された不活性ガスが、供給後に冷却されて収縮したためと考えられる。昇圧を開始してから67秒を経過した時点でチャンバ内の圧力が安定と見なすことができた。   In the load lock device described with reference to FIG. 3, the pressure fluctuation in the chamber was examined. First, as shown in FIG. 5, an inert gas was supplied at a large flow rate of 450 SLM into the reduced pressure chamber, and the pressure in the chamber was increased to a positive pressure of about 8000 Pa from atmospheric pressure in about 120 seconds. . Thereafter, the inside of the chamber was sealed. The volume in the chamber (the volume without a temperature adjusting member such as a heating plate) is 230 liters. Then, after sealing, the pressure in the chamber gradually decreased. This is presumably because the inert gas supplied into the chamber was cooled and contracted after the supply. It was considered that the pressure in the chamber was stable when 67 seconds passed from the start of pressure increase.

次に、図6に示すように、減圧されていたチャンバ内に不活性ガスを600SLMの大流量で供給し、340秒付近でチャンバ内の圧力がほぼ大気圧となった時点で大流量での供給を停止し、更に、チャンバ内にガスを5SLMの小流量で供給し続けつつ、チャンバ内の雰囲気を外部との圧力差で排出させてリークさせた。なお、チャンバ内の容積(加熱プレート等の温度調節部材などが無い状態での容積)は230リットルである。昇圧を開始してから30秒を経過した時点Aでチャンバ内の圧力は既に、900Paのゲージ圧となり、チャンバ内を開放できる状態となった。   Next, as shown in FIG. 6, an inert gas is supplied into the reduced pressure chamber at a high flow rate of 600 SLM, and when the pressure in the chamber becomes almost atmospheric at around 340 seconds, The supply was stopped, and further, the atmosphere in the chamber was discharged with a pressure difference from the outside and leaked while the gas was continuously supplied into the chamber at a low flow rate of 5 SLM. The volume in the chamber (the volume without a temperature adjusting member such as a heating plate) is 230 liters. At time A when 30 seconds passed from the start of pressure increase, the pressure in the chamber was already 900 Pa gauge pressure, and the chamber could be opened.

次に、チャンバ内を大気開放した際に、チャンバ内と外部との差圧によってチャンバ内に進入するパーティクルの個数を調べた。その結果を図7に示す。チャンバ内がゲージ圧で−500〜1000Pa以下の範囲の場合、チャンバ内に進入するパーティクルが著しく少なかった。   Next, when the inside of the chamber was opened to the atmosphere, the number of particles that entered the chamber was examined by the pressure difference between the inside of the chamber and the outside. The result is shown in FIG. When the inside of the chamber was in the range of −500 to 1000 Pa in gauge pressure, the number of particles entering the chamber was extremely small.

本発明は、例えば基板の処理を行う処理システムのロードロック装置に適用できる。   The present invention can be applied to, for example, a load lock device of a processing system that processes a substrate.

処理システムの構成を説明する概略平面図である。It is a schematic plan view explaining the structure of a processing system. 処理システムの構成を説明する概略側面図である。It is a schematic side view explaining the structure of a processing system. ロードロック装置の概略縦断面図である。It is a schematic longitudinal cross-sectional view of a load lock apparatus. 給排気系統の説明図である。It is explanatory drawing of an air supply / exhaust system. 大気圧よりも約8000Pa程度陽圧にまで昇圧させた後、チャンバ内を密閉した場合のチャンバ内の圧力変動のグラフである。It is a graph of the pressure fluctuation in a chamber at the time of raising the pressure to about 8000 Pa from atmospheric pressure to a positive pressure, and then sealing the inside of the chamber. 本発明に従うチャンバ内の圧力変動のグラフである。4 is a graph of pressure fluctuations in a chamber according to the present invention. チャンバ内と外部との差圧とパーティクルの個数の関係を示すグラフである。It is a graph which shows the relationship between the differential pressure | voltage of the inside of a chamber, and the exterior, and the number of particles.

符号の説明Explanation of symbols

G 基板
1 処理システム
2 搬入出部
3 処理部
5、6 ロードロック装置
51、75 チャンバ
50 加熱プレート
56 補助ヒータ
70、91 ガス供給路
71、92 排気路
81 冷却プレート
82 補助クーラ
105 大流量配管
106 小流量配管
110 ニードル弁
115 排気装置
116 自動圧力制御弁
120 リーク路
125 圧力計
126 アキュームレータ
G substrate 1 processing system 2 loading / unloading section 3 processing sections 5 and 6 load lock device 51 and 75 chamber 50 heating plate 56 auxiliary heater 70 and 91 gas supply path 71 and 92 exhaust path 81 cooling plate 82 auxiliary cooler 105 large flow pipe 106 Small flow pipe 110 Needle valve 115 Exhaust device 116 Automatic pressure control valve 120 Leakage path 125 Pressure gauge 126 Accumulator

Claims (2)

真空状態に減圧可能なチャンバと、前記チャンバ内に基板を搬入出させる開閉自在な搬入出口と、前記チャンバ内に基板の温度を調節する温度調節部材を有し、前記チャンバ内にガスを供給するガス供給路と、前記チャンバ内を排気する排気路に接続され、前記チャンバ内を真空状態に減圧する真空ポンプと、前記チャンバに接続され、前記チャンバ内に供給された前記ガスを外部との圧力差で排出させるリーク路とを有するロードロック装置の昇圧方法であって、A chamber that can be depressurized in a vacuum state, an openable / closable loading / unloading port for loading / unloading the substrate into / from the chamber, and a temperature adjusting member for adjusting the temperature of the substrate in the chamber, and supplying gas into the chamber A gas supply path, a vacuum pump connected to an exhaust path for exhausting the interior of the chamber and depressurizing the interior of the chamber to a vacuum state, and a pressure connected to the chamber and the gas supplied into the chamber to the outside A pressure-boosting method for a load lock device having a leak path for discharging due to a difference,
前記搬入出口が閉じられた状態で、真空状態に減圧されていた前記チャンバ内に大流量配管でガスを供給して大気圧に復帰させる復帰工程と、  In a state where the loading / unloading port is closed, a return step of returning the gas to the atmospheric pressure by supplying a gas through a large flow pipe into the chamber that has been decompressed to a vacuum state;
大気圧に復帰させた後、更に、前記チャンバ内に小流量配管でガスを供給し続けるとともに、前記チャンバ内の雰囲気を外部との圧力差で前記リーク路から排出させるリーク工程を有し、  After returning to atmospheric pressure, it further has a leak process in which gas is continuously supplied into the chamber with a small flow pipe, and the atmosphere in the chamber is discharged from the leak path with a pressure difference from the outside,
前記リーク工程において、前記搬入出口が開かれることを特徴とする、ロードロック装置の昇圧方法。  In the leak step, the loading / unloading opening is opened, and the method for boosting the load lock device is characterized.
前記リーク工程では、前記チャンバ内が1000Pa以下のゲージ圧にされることを特徴とする、請求項1に記載のロードロック装置の昇圧方法。The method of boosting a load lock device according to claim 1, wherein in the leak step, the inside of the chamber is set to a gauge pressure of 1000 Pa or less.
JP2007094165A 2007-03-30 2007-03-30 Method of boosting load lock device Active JP4927623B2 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2007094165A JP4927623B2 (en) 2007-03-30 2007-03-30 Method of boosting load lock device

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2007094165A JP4927623B2 (en) 2007-03-30 2007-03-30 Method of boosting load lock device

Publications (2)

Publication Number Publication Date
JP2008251991A JP2008251991A (en) 2008-10-16
JP4927623B2 true JP4927623B2 (en) 2012-05-09

Family

ID=39976557

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2007094165A Active JP4927623B2 (en) 2007-03-30 2007-03-30 Method of boosting load lock device

Country Status (1)

Country Link
JP (1) JP4927623B2 (en)

Families Citing this family (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8246284B2 (en) * 2009-03-05 2012-08-21 Applied Materials, Inc. Stacked load-lock apparatus and method for high throughput solar cell manufacturing
US11171008B2 (en) 2011-03-01 2021-11-09 Applied Materials, Inc. Abatement and strip process chamber in a dual load lock configuration
JP6104823B2 (en) * 2011-03-01 2017-03-29 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated Thin heating substrate support
WO2012118897A2 (en) * 2011-03-01 2012-09-07 Applied Materials, Inc. Abatement and strip process chamber in a dual loadlock configuration
EP2783023B1 (en) * 2011-11-22 2020-11-04 Picosun Oy Method of atomic layer deposition for processing a batch of substrates
CN104137248B (en) 2012-02-29 2017-03-22 应用材料公司 Abatement and strip process chamber in a load lock configuration
US9378992B2 (en) * 2014-06-27 2016-06-28 Axcelis Technologies, Inc. High throughput heated ion implantation system and method
US10262884B2 (en) * 2016-11-10 2019-04-16 Applied Materials, Inc. Systems, apparatus, and methods for an improved load port

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3200460B2 (en) * 1992-02-07 2001-08-20 東京エレクトロン株式会社 Film processing equipment
JPH06104178A (en) * 1992-09-18 1994-04-15 Hitachi Ltd Vacuum treatment method and vacuum treatment device
JP3361955B2 (en) * 1996-03-08 2003-01-07 株式会社日立国際電気 Substrate processing apparatus and substrate processing method
JP2001239144A (en) * 2000-02-29 2001-09-04 Shimadzu Corp Load lock type vacuum apparatus
JP2003152046A (en) * 2001-08-31 2003-05-23 Tdk Corp Vacuum treating apparatus and method for manufacturing material to be treated
JP2005243775A (en) * 2004-02-25 2005-09-08 Dainippon Screen Mfg Co Ltd Substrate processing device and atmosphere substituting method
US7151589B2 (en) * 2004-06-24 2006-12-19 Asml Netherlands B.V. Lithographic apparatus and patterning device transport

Also Published As

Publication number Publication date
JP2008251991A (en) 2008-10-16

Similar Documents

Publication Publication Date Title
JP4860167B2 (en) Load lock device, processing system, and processing method
JP4927623B2 (en) Method of boosting load lock device
US9607855B2 (en) Etching method and storage medium
JP4619854B2 (en) Load lock device and processing method
JP5806827B2 (en) Gate valve apparatus, substrate processing apparatus and substrate processing method thereof
JP6339057B2 (en) Substrate processing apparatus, semiconductor device manufacturing method, and program
US9228685B2 (en) Load lock device
CN106997859B (en) Substrate processing apparatus and method for manufacturing semiconductor device
JP4916140B2 (en) Vacuum processing system
US20120248064A1 (en) Substrate processing apparatus, substrate processing method and storage medium
KR101170356B1 (en) Substrate processing system and substrate transfer method
JP6944990B2 (en) Substrate processing equipment, semiconductor equipment manufacturing methods and programs
CN106920760B (en) Substrate processing apparatus and method for manufacturing semiconductor device
US20190096702A1 (en) Substrate processing apparatus, substrate processing method, and computer storage medium
US20080223400A1 (en) Substrate processing apparatus, substrate processing method and storage medium
JP6285305B2 (en) Semiconductor manufacturing apparatus and semiconductor manufacturing method
WO2013136916A1 (en) Load lock device
KR20170090967A (en) Substrate processing apparatus, method of manufacturing semiconductor device and non-transitory computer-readable recording medium
US10115611B2 (en) Substrate cooling method, substrate transfer method, and load-lock mechanism
US20230352320A1 (en) Gas supply system, substrate processing apparatus and method of manufacturing semiconductor device
JP2011222656A (en) Substrate treatment apparatus
WO2004057656A1 (en) Substrate processing device and semiconductor device producing method
JP2023046423A (en) Deposition method and deposition device
JPH11102904A (en) Substrate-cooling equipment
JP2000114186A (en) Semiconductor manufacturing apparatus and wafer- processing method

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20100212

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20110322

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20110329

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20110530

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20111004

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20111226

A911 Transfer to examiner for re-examination before appeal (zenchi)

Free format text: JAPANESE INTERMEDIATE CODE: A911

Effective date: 20120110

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20120207

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20120209

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20150217

Year of fee payment: 3

R150 Certificate of patent or registration of utility model

Ref document number: 4927623

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250