JP4852360B2 - 多層リソグラフィプロセスにおいて用いられる複素環芳香族構造物を含む基層組成物、リソグラフィ構造物、材料層または材料要素を基板上に形成させる方法 - Google Patents

多層リソグラフィプロセスにおいて用いられる複素環芳香族構造物を含む基層組成物、リソグラフィ構造物、材料層または材料要素を基板上に形成させる方法 Download PDF

Info

Publication number
JP4852360B2
JP4852360B2 JP2006185110A JP2006185110A JP4852360B2 JP 4852360 B2 JP4852360 B2 JP 4852360B2 JP 2006185110 A JP2006185110 A JP 2006185110A JP 2006185110 A JP2006185110 A JP 2006185110A JP 4852360 B2 JP4852360 B2 JP 4852360B2
Authority
JP
Japan
Prior art keywords
base layer
layer
composition
polymer
substrate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2006185110A
Other languages
English (en)
Other versions
JP2007017976A (ja
Inventor
ウーソン・エス・フワン
カレン・テンプル
プシュカラ・アール・バラナジ
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
International Business Machines Corp
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Publication of JP2007017976A publication Critical patent/JP2007017976A/ja
Application granted granted Critical
Publication of JP4852360B2 publication Critical patent/JP4852360B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/094Multilayer resist systems, e.g. planarising layers
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • G06F30/392Floor-planning or layout, e.g. partitioning or placement

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Theoretical Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Architecture (AREA)
  • Structural Engineering (AREA)
  • Evolutionary Computation (AREA)
  • Geometry (AREA)
  • General Engineering & Computer Science (AREA)
  • Materials For Photolithography (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Addition Polymer Or Copolymer, Post-Treatments, Or Chemical Modifications (AREA)
  • Photosensitive Polymer And Photoresist Processing (AREA)

Description

本発明は、多層リソグラフィプロセスにおいて有用な平坦化基層前駆体組成物に関する。これらの基層前駆体組成物は、優れた光学的性質、機械的性質およびエッチング選択的性質を有する基層を提供する。本発明は、本発明の組成物から調製される基層を含むリソグラフィ構造物、そのようなリソグラフィ構造物を製作する方法、およびそのようなリソグラフィ構造物を使用して基板上に基層材料層をパターン化する方法も含む。
マイクロエレクトロニクス産業には、微視的構造物(例えばマイクロマシン、磁気抵抗ヘッド等)の構築を伴う他の産業と同じく、構造要素のサイズを縮小する絶え間ない要望がある。マイクロエレクトロニクス産業では、この要望は、マイクロエレクトロニクスデバイスのサイズを縮小すること、または所定のチップサイズにより多くの回路を設けること、あるいはその両方である。
要素サイズの縮小を実現するためには、効率的なリソグラフィ技法が不可欠である。リソグラフィは、所望の基板上にパターンを直接画像形成することだけでなく、そのような画像形成に一般に用いられるマスクを製作する上でも、微視的構造物の製造に影響を及ぼす。一般的なリソグラフィプロセスには、フォトレジストをパターンに従って画像形成照射光に感光させることによるパターン化されたレジスト層の形成が含まれる。続いて、感光したレジスト層を材料(一般的には水性アルカリ現像液)と接触させ、レジスト層の部分を選択的に除去して所望のパターンを顕在化させることによって、この画像を現像する。続いて、パターン化されたレジスト層の開口部で材料をエッチングすることによって、パターンを下地の材料に転写する。転写が完了したら、次に、残っているレジスト層を除去する。
総じて、リソグラフィプロセスの解像能力は、画像形成照射光の波長、露光ツール中の光学部品の品質および画像形成層の厚さの関数である。画像形成レジスト層の厚さが増加すると、解像能力は低下する。解像度を改善するために通常の単層レジストを薄くすると、総じて、所望の画像を下地の材料層に転写するのに必要なレジストのエッチング抵抗力が犠牲になる。
さらに、半導体デバイスの要素サイズが小さくなるにつれて、限界寸法(CD)制御が重要な仕事になる。リソグラフィプロセスの間、「ブランコ効果」(ウエハ表面形状およびレジスト厚さ変動に起因する線幅変動)を最小にする必要がある。
より薄い画像形成層の解像度向上の恩恵を享受し、かつレジスト中のブランコ効果を小さくするために、多層リソグラフィプロセス(例えば、いわゆる二層および三層プロセス)が開発された。二層リソグラフィプロセスでは、フォトレジスト層とパターン化される下地材料層との間にいわゆる平坦化基層が中間的に用いられる。この基層は、パターン化されたフォトレジスト層(一般的にシリコン含有レジスト)のパターンを受け取り、次に、パターン化された基層は、パターンを下地材料に転写するのに必要なエッチングプロセスのためのマスクとして使用される。三層リソグラフィプロセスでは、フォトレジスト層と基層との間にいわゆる中間層(一般的にシリコン含有組成物)が中間的に用いられる。中間層は、パターン化されたフォトレジスト層からパターンを受け取り、次に、パターン化された中間層は、基層をエッチングするためのマスクとして使用される。次に、パターン化された基層は、エッチング、電気めっき、金属堆積、イオン注入またはその他の半導体プロセス加工技法によって、下地材料にパターンを転写するマスクとして使用される。
平坦化基層組成物は、下地材料層をパターン化するのに必要なエッチングプロセスに対しては抵抗性である一方で、上地のフォトレジストに対しては十分に選択エッチング可能である(エッチングされた基層中に良好な輪郭を生じさせるために)必要がある。さらに、平坦化基層組成物は、余計な反射防止コーティング(ARC)層が必要になることを避けるように、屈折率の実部である実屈折率(n)、屈折率の虚部である吸光係数(k)、反射率、光学密度等など、所望の光学的特性を有する必要がある。平坦化基層組成物は、レジスト残りまたは地汚れあるいはその両方を引き起こす可能性がある望ましくない相互作用を避けるために、フォトレジスト層との物理的/化学的適合性を有する必要もある。一般的な平坦化基層の厚さは、反射曲線の最初の極小点または二番目の極小点に合わせようとすると、非常に薄くなる。最近では、多くの用途でエッチング用に厚い平坦化基層が必要となっている。このような状況では、必要なARCのk値を、二層レジストシステム中で用いられる通常の基層に類似の範囲に低下させなければならない。二層、単層および三層用途のためのこれらの種類の基層を設計するという難問は、約0.12から約0.42の範囲のk値を有する一方で、酸素または窒素/水素プラズマに所望のエッチング抵抗力を有することである。
一般的に、I‐線および248nmDUV多層リソグラフィ感光用の既知の基層は、ノボラックまたはポリヒドロキシスチレン重合体を利用する。しかし、これらの材料は、193nmリソグラフィ露光を強く吸収する。従って、改良された組成物、特に波長が200nmより短い画像形成照射光(例えば193nm)を用いるリソグラフィプロセスで有用な組成物への絶え間ない要望がある。
米国特許第6,818,381号(以下、「381号特許」)には、フェニル基およびフェノール基などの芳香族部分を吸収基として含む重合体を含んでなる平坦化基層前駆体組成物が開示されている。381号特許に開示されている芳香族部分を含む重合体は、193nm波長への吸光度を提供する。しかし、フェニルおよびフェノールなどの芳香族部分は高い吸収を有するので、重合体構造物中には少量しか取り込むことができず、従って重合体はエッチング耐性が低くなり、一貫性を生じ難くなる。さらに、ポリスチレンおよびポリビニルフェノールなど、この特許に開示されている重合体中に普通に用いられている芳香族部分は、基層用途の要件を満たすには高すぎるk値を有する。基層に好ましいk値は、通常約0.12から約0.42の範囲にある。
従って、一般的なフォトレジストと適合し、基層をARCとしても用いることができるように、所望の光学的性質を有する基層組成物が依然として求められている。
従って、本発明は、多層リソグラフィプロセスにおいて平坦化基層としての使用に適する組成物を目的とし、この組成物は、複素環芳香族部分を含む重合体を含んでなる。別の様相では、本発明の組成物は、酸発生剤をさらに含む。さらに別の様相では、本発明の組成物は、架橋剤をさらに含む。
酸発生剤は、好ましくは熱的に活性化される酸発生剤である。基層が157nmリソグラフィプロセスで用いられるとき、重合体は、好ましくはフッ素部分をさらに含む。
別の様相では、本発明は、基板の上のリソグラフィ構造物を目的とする。このリソグラフィ構造物は、平坦化基層を備え、この平坦化基層は、本発明の基層前駆体組成物と、平坦化基層の上にあるフォトレジスト層とを反応させることによって得られる。
別の様相では、本発明は、パターン化された材料層を基板上に形成させる方法を目的とする。この方法は、材料層を表面上に有する基板を準備する工程、平坦化基層を材料層上に形成させる工程であって、前記平坦化層は、基層前駆体組成物を反応させることによって形成され、前記基層前駆体組成物は、複素環芳香族部分を含む重合体を含んでなるものとする工程、フォトレジスト組成物を基板上に堆積させてフォトレジスト層を平坦化基層上に形成させる工程、フォトレジスト層の一部をパターンに従って画像形成照射光に感光させる工程、フォトレジスト層の感光部分を選択的に除去して下地の平坦化基層の一部を露出させる工程、平坦化基層の露出部分を選択的に除去して材料層の一部を露出させ、それによって、パターン化された平坦化基層を材料層上に形成させる工程、および平坦化基層中のパターンを材料層に転写する工程を含む。
別の様相では、本発明は、パターン化された材料層を基板上に形成させる方法を目的とする。この方法は、材料層を表面上に有する基板を準備する工程、平坦化基層を材料層上に形成させる工程であって、前記平坦化基層は、基層前駆体組成物を反応させることによって形成され、前記基層前駆体組成物は、複素環芳香族部分を含む重合体を含んでなるものとする工程、中間層を平坦化基層上に形成させる工程であって、前記中間層はシリコン含有材料を含むものとする工程、フォトレジスト組成物を基板上に堆積させてフォトレジスト層を中間層上に形成させる工程、フォトレジスト層の部分をパターンに従って画像形成照射光に感光させる工程、フォトレジスト層の感光成分を選択的に除去して中間層の一部を露出させる工程、中間層の露出部分を選択的に除去して平坦化基層の一部を露出させる工程、平坦化基層の露出部分を選択的に除去して材料層の一部を露出させる工程、および材料層の露出部分をエッチングし、それによって、パターン化された材料の要素を形成させる工程を含む。
一つの様相では、本発明は、平坦化基層の形成に適する基層前駆体組成物を目的とする。基層前駆体組成物は、複素環芳香族部分および酸発生剤を含む重合体を含んでなる。別の様相では、本発明の基層前駆体組成物は、架橋剤をさらに含む。
複素環芳香族部分は、好ましくは重合体の主鎖の少なくとも一部を構成する単量体から枝分かれする基の中に存在する。本明細書中で用いられる句「複素環芳香族部分」は、環の少なくとも一つの原子が窒素、酸素または硫黄から選ばれる芳香族環を含む有機基または分子を指す。複素環芳香族部分は、非縮合複素環芳香族環(例えばフラン、ピロール、チオフェンまたはチアゾール)であってもよく、あるいは縮合複素環芳香族環(例えばベンゾフラン、チアントレン、インダゾールまたはキナゾリン)であってもよい。総じて、複素環芳香族部分は、非縮合複素環芳香族環であることが好ましい。より好ましい非縮合複素環芳香族環は、5、6または7員環である。複素環芳香族部分は、好ましくはエチレン系単量体からの枝分かれである。
一つの好ましい実施態様では、本発明の重合体は、以下の構造を有するポリビニルチオフェン単量体を含み、式中、nは整数である。
本発明の組成物は、好ましくは、約0.08から約0.7、より好ましくは約0.12から約0.42の吸光係数(k)、および約1.3から約2.0、より好ましくは約1.4から約1.8の実屈折率(n)を有する基層を生成させる。環状オレフィンまたはアクリレート系画像形成層の場合、約0.12から約0.42のk値の基層を用いると、コンタクト露光またはトレンチ露光の場合の定在波が小さくなる。
基層が157nm画像形成照射光を用いる多層リソグラフィプロセスで用いられるなら、重合体は、好ましくはフッ素成分を含む。フッ素成分は、ペルフルオロスチレンなどのペンタフルオロアリール基、トリフルオロメチルアクリレートなどのトリフルオロメチル基として、あるいは平坦化層組成物の他の成分と、および本発明の重合体を形成させるために用いられる合成技法と適合する別の形で存在してよい。
好ましくは、本発明の基層組成物は、特にフォトレジスト層がシリコン含有レジストである場合、実質的にシリコンをまったく含まない。
好ましくは、本発明の重合体は、架橋反応前の状態で約1000から約500,000の重量平均分子量、より好ましくは約1500から約30,000の重量平均分子量を有する。
実屈折率(n)および吸光係数(k)などの本発明の重合体の光学的性質は、他の共重合用単量体単位を組み込むことによって、調整することができる。複素環芳香族部分を含む本発明の重合体と、種々の他の芳香族および非芳香族単量体との共重合によって、種々のnおよびk値を生じさせ、従って、基層の光学的性質を調整する上で柔軟性を提供して上地のフォトレジストの光学的性質に合わせることができる。本発明の重合体の共重合に適する共重合用単量体単位は、当分野で既知であり、基層組成物の他の成分と相溶性の環状エーテル部分、飽和多環式部分、芳香族部分およびその他の単量体単位を含むが、それらに限定されない。参照によって開示内容が本明細書に組み込まれる米国特許第6,818,381号には、適当な環状エーテル単量体、飽和多環式単量体および芳香族単量体の例が記載されている。
本発明の二つの実施態様では、共重合体は、以下の構造をそれぞれ有し、式中、x、y、z、m、nおよびoは同じであるかまたは異なり、整数である。
本発明で使用される酸発生剤は、好ましくは、熱処理されると酸を放出する酸発生剤化合物である。2,4,4,6‐テトラブロモシクロヘキサジエノン、ベンゾイントシレート、2‐ニトロベンジルトシレートおよびその他の有機スルホン酸類のアルキルエステル類など、さまざまな既知の熱酸発生剤が好適に使用される。活性化されるとスルホン酸を発生する化合物は、概して適当である。参照によって開示内容が本明細書に組み込まれる米国特許第5,886,102号および第5,939,236号には、他の適当な熱活性化酸発生剤が記載されている。
望むなら、熱活性化酸発生剤に代わるものとして、または熱活性化酸発生剤と組み合わせて、照射光感光性酸発生剤を使用してよい。米国特許第5,886,102号および5,939,236号には、適当な照射光感光性酸発生剤の例が記載されている。当分野で既知のその他の照射光感光性酸発生剤も、平坦化基層組成物の他の成分と相溶性である限り用いてもよい。照射光感光性酸発生剤を用いると、適切な照射光を露光して酸発生を誘起することによって、組成物の硬化(架橋)温度を低下させることができる。発生した酸が、今度は、架橋反応を触媒する。照射光感光性酸発生剤を用いても、架橋プロセスを加速する(例えば、製造ラインのウエハの場合)ために、組成物を熱処理することが好ましい。
望むなら、本発明の組成物は、発生した酸または加熱によって、あるいはその両方によって触媒されるように基層重合体と反応させることができる別個の架橋成分を含んでもよい。総じて、本発明の基層組成物中に用いられる架橋成分は、組成物の他の選ばれた成分と他の性質で適合する当分野で既知の任意の適当な架橋剤であってよい。架橋剤は、好ましくは発生した酸の存在下で重合体成分を架橋させるように作用する。好ましい架橋剤は、アメリカンサイアナミッド社(American Cyanamid Company)からパウダーリンク(登録商標)(Powderlink(R))という商標で入手できるグリコルリル化合物である。他の可能な架橋剤は、2,6‐ビス(ヒドロキシメチル)‐p‐クレゾールおよび特開平1−293339号に開示されている化合物、ならびにカナダ特許第1,204,547号に開示されているエーテル化アミノ樹脂を含む。ビスエポキシ類またはビスフェノール類など、他の架橋剤を用いてもよい。上記で言及した架橋剤を組み合わせて用いてもよい。
本発明の組成物は、好ましくは、固形物基準で約50〜98重量%の重合体、より好ましくは約70〜80重量%の重合体、約0〜50重量%のオプションの架橋成分、および約0〜20重量%、より好ましくは約1〜15重量%の酸発生剤を含む。
本発明の組成物は、リソグラフィ構造を形成させる際に、任意の所望のレジスト材料と組み合わせて用いてよい。好ましくは、レジストは、紫外照射光(例えば<400nm波長)によって、または電子ビーム照射によって画像形成可能である。参照によって本明細書に開示が組み込まれる米国特許第5,861,231号、第5,962,184号および第6,037,097号には、適当なレジスト材料の例が記載されている。参照によって本明細書に開示が組み込まれる米国特許第6,444,408号には、193nm照射光を用いる二層露光用の好ましいレジストが開示されている。
一般に、本発明の組成物には、所望の基板への塗布に先立って溶媒を含ませる。溶媒は、他の性質で基層組成物の性能に対して過度の悪影響をなんら及ぼさない、通常レジストとともに用いられる任意の溶媒であってよい。好ましい溶媒は、プロピレングリコールモノメチルエーテルアセテートまたはシクロヘキサノンである。基板への塗布用の組成物中の溶媒の量は、好ましくは約5から約20重量%の固形分含量を実現するのに十分とする。総じて、固形分含量が高い調合物ほど、厚いコーティング層を生じることとなる。本発明の組成物は、当分野で知られているように、少量の補助成分(例えば界面活性剤、色素等)をさらに含んでもよい。
別の様相では、本発明は、基板の上のリソグラフィ構造物を目的とする。リソグラフィ構造物は、本発明の基層前駆体組成物と、平坦化基層の上にあるフォトレジスト層とを反応させることによって得られる平坦化基層を含む。本発明の組成物は、通常の方法を用いて、重合体、酸発生剤および任意の他の所望の原料を組み合わせることによって調製することができる。本発明の組成物は、スピンコーティングした後ベーキングして架橋および溶媒除去を実現することによって、基板上の平坦化基層として形成させると有利である。ベーキングは、好ましくは約250℃以下で、より好ましくは約110℃から約230℃で行なわれる。ベーキング時間は、層の厚さおよびベーク温度に依存して変化させてよい。215℃での一般的な時間は、約2分である。
本発明の平坦化基層組成物の厚さは、下地の形状およびパターン化される材料層をエッチングするために意図されるエッチングプロトコルに依存して変化させてよい。厚さは、好ましくは約0.1から約5μmである。
本発明の一つの実施態様では、ポリビニルチオフェンをPGMEA中の8重量%パウダーリンク(登録商標)および4重量%I‐PFBUSと調合して、10%(重量/体積)重合体水溶液を得た。次に、得られた溶液を2500rpmでシリコンウエハ上にスピンコートして、コーティング済み基板を形成させた。次に、得られたコーティング済み基板を215℃で120秒間ベークして、平坦化基層を形成させた。図1は、1.65のn値および0.02のk値を有する二層レジストの下にこの平坦化基層を用いる基板の反射率曲線であり、1%未満の反射率を実証している。193nmで測定した210nmの厚さを有する本発明の基層のn値およびk値は、それぞれ1.4744および0.1696である。本発明の重合体のn値およびk値は、ポリビニルチオフェン単量体とポリビニルフェノールまたはポリスチレン単量体単位との共重合によって、さらに調整することができる。
対照的に、図2は、381号特許によって開示され、本明細書中で下に複製される従来技術重合体(SGI‐304525)を含む平坦化基層を用いる基板の反射率曲線である。図2は、1.65のn値および0.02のk値を有する二層レジストの下のこの基層で、2%前後の反射率を示す。193nmで測定した従来技術基層のn値およびk値は、それぞれ約1.73および約0.43である。
式中、x,yおよびzは整数であり、X:Y:Z=3:5:2の比になっている。
図1および図2を見ると、本発明の基層は、低下したより望ましい反射率を有する。
本発明の組成物は、半導体基板上の集積回路の製造に用いられるリソグラフィプロセス用に特に有用である。組成物は、193nmまたはそれより短い波長の紫外線画像形成照射光を用いるリソグラフィプロセス用に特に有用である。
本発明の組成物は、基板上にパターン化された材料層を形成させる方法において用いてよい。そのような方法では、材料層は、例えば、高性能集積回路デバイスおよび関連するチップキャリアパッケージ類の製造で用いられるものなどのセラミック、誘電体、導電体または半導体層であってよい。
二層プロセスでは、好ましくは、パターン化される材料層の上に直接、好ましくはスピンコーティングによって本発明の組成物を塗布する。次に、組成物をベークして溶媒を除去し硬化(架橋)させる。本発明の基層組成物は、通常の方法を用いて、重合体、酸発生剤および任意の他の所望の原料を組み合わせることによって調製することができる。本発明の組成物は、スピンコーティングした後ベーキングして架橋および溶媒除去を実現することによって、基板上の平坦化基層を形成させると有利である。ベーキングは、好ましくは約250℃以下で、より好ましくは約110℃から約230℃で行なわれる。ベーキング時間は、層の厚さおよびベーク温度に依存して変化させてよい。215℃での一般的な時間は、約2分である。一般的な平坦化基層厚さは、約100から約5000nmである。
次に、既知の手段によってフォトレジスト組成物を基板の上に堆積させて材料上にフォトレジスト層を形成させる。次に、フォトレジスト層を有する基板をベーク(塗布後ベーク、以下「PAB」)してフォトレジスト組成物から溶媒をすべて除去し、フォトレジスト層の膜性を改善させる。一般的なPABベーク温度は、約80°から約150℃である。好ましくは、PAB工程は、約10秒から約15分、より好ましくは約15秒から約1分間行なわれる。PAB温度は、フォトレジストのガラス転移点に依存して変化させてよい。一般的なフォトレジスト厚さは、約50から約800nmである。参照によって本明細書に開示が組み込まれる米国特許第6,534,239号および第6,635,401号ならびに2003年9月16日出願の米国特許出願第10/663,553号に開示されているレジスト組成物など、任意の適当なレジスト組成物を用いてよい。
溶剤除去後、次に、フォトレジスト層は、パターン化されたマスクを通して適切な照射光源に露光される。一つの例となる実施態様では、画像形成照射光は193nm照射光である。別の実施態様では、画像形成照射光は157nm照射光である。別の実施態様では、画像形成照射光は248nm照射光である。次に、露光された基板をベーク(露光後ベーク)して酸触媒反応を完了させ、露光されたパターンのコントラストを強めてもよい。露光後ベーク温度は、フォトレジストの性質によって定められる。好ましくは、露光後ベークは約60℃から約175℃、より好ましくは約90℃から約160℃で行なわれる。好ましくは、露光後ベークは、約30秒から約5分間行なわれる。当業者は、不必要な実験をせずに必要な条件を確定することができる。
次に、露光された基板を塩基水溶液(現像液)と接触させ、それによってフォトレジスト層の露光部分を選択的に除去し、材料層上にパターン化されたフォトレジスト層を形成させ、平坦化基層の一部を露出させる。塩基水溶液は、好ましくは、アルカリ性水性現像液、より好ましくはテトラメチルアンモニウムヒドロキシドの水溶液である。一般に、得られたリソグラフィ構造物を次に乾燥して残存する現像液溶媒をすべて除去する。
次に、反応性イオンエッチングまたは当分野の既知の他の適当なエッチング技法によって、フォトレジスト層のパターンを本発明の平坦化基層の露出された部分に転写してよい。
本発明の平坦化基層のパターン形成の後、次に、材料層組成物に適切なエッチング剤を用いて、パターン化される下地の材料層をエッチングする。一般に、反応性イオンエッチングまたは当分野で既知の他の適当なエッチング技法によって、平坦化基層のパターンを本発明の材料層の露出部分に転写してよい。あるいは、材料の露出部分に電気メッキ、金属堆積、イオン注入または他の半導体プロセス加工技法を施してもよい。本発明の方法を用いて、集積回路デバイスの設計で用いられる可能性がある金属配線ライン、接点またはバイア用のホール類、絶縁区分(例えば、ダマシン法トレンチまたは浅型トレンチ分離)、キャパシタ構造物用のトレンチ等など、パターン化された材料層構造物を作り出してもよい。
総じて、これらの(セラミック、誘電体、導電体または半導体)要素を製作するプロセスは、パターン化される材料の層または基板の区画を準備する工程、フォトレジストの層を材料層または区画上に塗布する工程、保護コート層をフォトレジスト層上に塗布する工程、保護コート層およびフォトレジスト層をパターンに従って照射光に感光させる工程、感光したレジストを露光後ベークする工程、感光した保護コートおよびフォトレジストを現像液と接触させることによってパターンを現像する工程、パターン中の離間フォトレジスト層の下地層(単数または複数)をエッチングし、それによって、パターン化された材料層または基板を形成させる工程、および残存するフォトレジストを基板からすべて除去する工程を含む。場合によっては、ハードマスクをフォトレジスト層の下に用いて、さらに別の下地材料層または区画へのパターンの転写を容易にしてもよい。本発明は、いかなる具体的なリソグラフィ技法にもデバイス構造物にも限定されないと理解するべきである。
三層プロセスでは、好ましくは、スピンオンコーティングなどの適当な堆積プロセスを用いて、本発明の組成物を基板の表面に直接塗布する。次に、組成物をベークして溶媒を除去し硬化(架橋)させる。本発明の基層組成物は、通常の方法を用いて重合体、酸発生剤および任意の他の所望の原料を組み合わせることによって調製することができる。本発明の組成物は、スピンコーティングした後ベーキングして架橋および溶媒除去を実現することによって、基板上の平坦化基層を形成させると有利である。好ましくは、ベーキングは約250℃以下で、より好ましくは約110℃から約230℃で行なわれる。ベーキング時間は、層の厚さおよびベーク温度に依存して変化させてよい。215℃での一般的な時間は、約2分である。好ましくは、平坦化基層は、約80から約8000nmの厚さを有する。
次に、スピンオンコーティング、蒸発、化学的気相堆積、プラズマ支援化学的気相堆積および物理的気相堆積など、通常の堆積プロセスを利用して、一般にシリコン含有材料を含む中間層を基層の上部表面に塗布する。中間層の厚さは、好ましくは約10から約500nm、より好ましくは約20から約200nmである。中間層は、ポリシロキサン、ポリシラン、シリル化ノボラック、Siドープ化PMMA、シリコン‐ポリイミドまたはポリビニルシリルスルホンあるいはそれらの組み合わせなどのシリコン含有材料を含んでよい。適当な中間層材料は、例えば、米国特許第6,420,088号、第6,503,692号および第6,730,454号に記載されているシリコン含有スピンオンARC/ハードマスク材料である。
次に、既知の手段によってフォトレジスト組成物を基板上に堆積させてフォトレジスト層を材料上に形成させる。次に、フォトレジスト層を有する基板をベーク(塗布後ベーク、以下「PAB」)してフォトレジスト組成物から溶媒をすべて除去し、フォトレジスト層の膜性を改善する。
溶媒除去後、フォトレジスト層を、パターン化されたマスクを通して適切な照射光源に感光させる。次に、感光した基板を塩基水溶液(現像液)と接触させ、それによって、フォトレジスト層の感光部分を選択的に除去し、パターン化されたフォトレジスト層を材料層上に形成させ、中間層の部分を露出させる。
次に、反応性イオンエッチングまたは当分野で既知の他の適当なエッチング技法によって、フォトレジスト層中のパターンを本発明の中間層の露出部分に転写してよい。次に、反応性イオンエッチングまたは当分野で既知の他の適当なエッチング技法によって、中間層のパターンを本発明の平坦化基層の露出部分に転写する。
本発明の平坦化基層層のパターン形成後、次に、材料層組成物に適切なエッチング液を用いて、パターン化される下地材料層をエッチングする。
参照によって本明細書に開示が組み込まれる米国特許第4,855,017号、第5,362,663号、第5,429,710号、第5,562,801号、第5,618,751号、第5,744,376号、第5,801,094号、第5,821,469号および第5,948,570号には、本発明の組成物が有用であるリソグラフィプロセスの例が開示されている。本発明は、いかなる具体的リソグラフィ技法にも、デバイス構造物にも限定されないと理解するべきである。
以上のように我々の発明を説明した。新規なものとして我々が請求し、特許証によって確保しようと望むものは、請求項に記載される通りである。
反射率(比)対厚さ(μm)をプロットするグラフであり、さまざまな厚さの本発明の基層の反射率の例を示す。 反射率(比)対厚さ(μm)をプロットするグラフであり、さまざまな厚さの従来技術の基層の反射率の例を示す。

Claims (16)

  1. 多層リソグラフィプロセスにおいて平坦化基層として用いられる組成物であって、複素環芳香族部分を含む重合体を含み、
    前記重合体は、次の構造
    を有する単量体単位を含み、nは整数である、組成物。
  2. エチレン系単量体は前記重合体の少なくとも一部を形成し、前記複素環芳香族部分は前記エチレン系単量体単位から枝分かれする、請求項1に記載の組成物。
  3. 酸発生剤をさらに含む、請求項1に記載の組成物。
  4. 前記酸発生剤は、熱によって活性化される酸発生剤である、請求項に記載の組成物。
  5. 架橋剤をさらに含む、請求項1に記載の組成物。
  6. 基板上のリソグラフィ構造物であって、
    基層前駆体組成物を反応させることによって得られる平坦化基層であって、前記基層前駆体組成物は複素環芳香族部分を含む重合体を含んでなる平坦化基層、および
    前記平坦化基層の上にあるフォトレジスト層
    を含み、
    前記重合体は、次の構造
    を有する単量体単位を含み、nは整数である、リソグラフィ構造物。
  7. エチレン系単量体は前記重合体の少なくとも一部を形成し、前記複素環芳香族部分は前記エチレン系単量体単位から枝分かれする、請求項に記載のリソグラフィ構造物。
  8. 前記基層前駆体組成物は、酸発生剤をさらに含む、請求項に記載のリソグラフィ構造物。
  9. 前記酸発生剤は、熱によって活性化される酸発生剤である、請求項に記載のリソグラフィ構造物。
  10. 前記基層前駆体組成物は、架橋剤をさらに含む、請求項に記載のリソグラフィ構造物。
  11. パターン化された材料層を基板上に形成させる方法であって、
    材料層を表面上に有する基板を準備する工程、
    前記材料層上に平坦化基層を形成させる工程であって、前記平坦化基層は、基層前駆体組成物を反応させることによって形成され、前記基層前駆体組成物は複素環芳香族部分を含む重合体を含んでなるものとする工程、
    前記基板上にフォトレジスト組成物を堆積させて前記平坦化基層上にフォトレジスト層を形成させる工程、
    前記フォトレジスト層の一部を、パターンに従って画像形成照射光に感光させる工程、
    前記フォトレジスト層の前記感光部分を選択的に除去して下地の平坦化基層の一部を露出させる工程、
    前記平坦化基層の前記露出部分を選択的に除去して前記材料層の一部を露出させ、それによって、パターン化された平坦化基層を前記材料層上に形成させる工程、および
    前記平坦化基層中の前記パターンを、前記材料層に転写する工程
    を含み、
    前記重合体は、次の構造
    を有する単量体単位を含み、nは整数である、方法。
  12. エチレン系単量体は前記重合体の少なくとも一部を形成し、前記複素環芳香族部分は前記エチレン系単量体単位から枝分かれする、請求項11に記載の方法。
  13. パターン化された材料要素を基板上に形成させる方法であって、
    材料層を表面上に有する基板を準備する工程、
    前記材料層上に平坦化基層を形成させる工程であって、前記平坦化基層は基層前駆体組成物を反応させることによって形成され、前記基層前駆体組成物は複素環芳香族部分を含む重合体を含んでなるものとする工程、
    前記平坦化基層上に中間層を形成させる工程であって、前記中間層はシリコン含有材料を含むものとする工程、
    前記基板上にフォトレジスト組成物を堆積させて前記中間層上にフォトレジスト層を形成させる工程、
    前記フォトレジスト層の一部を、パターンに従って画像形成照射光に感光させる工程、
    前記フォトレジスト層の前記感光成分を選択的に除去して前記中間層の一部を露出させる工程、
    前記中間層の前記露出部分を選択的に除去して前記平坦化基層の一部を露出させる工程、
    前記平坦化基層の前記露出部分を選択的に除去して前記材料層の一部を露出させる工程、および
    前記材料層の前記露出部分をエッチングし、それによって前記パターン化された材料要素を形成させる工程
    を含み、
    前記重合体は、次の構造
    を有する単量体単位を含み、nは整数である、方法。
  14. 前記中間層は、ポリシロキサン、ポリシラン、シリル化ノボラックおよびシリコンドープPMMAからなる群から選ばれる材料を含む、請求項13に記載の方法。
  15. 前記基層前駆体組成物は、酸発生剤をさらに含む、請求項13に記載の方法。
  16. エチレン系単量体は前記重合体の少なくとも一部を形成し、前記複素環芳香族部分は前記エチレン系単量体単位から枝分かれする、請求項13に記載の方法。
JP2006185110A 2005-07-06 2006-07-05 多層リソグラフィプロセスにおいて用いられる複素環芳香族構造物を含む基層組成物、リソグラフィ構造物、材料層または材料要素を基板上に形成させる方法 Active JP4852360B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US11/175755 2005-07-06
US11/175,755 US7375172B2 (en) 2005-07-06 2005-07-06 Underlayer compositions containing heterocyclic aromatic structures

Publications (2)

Publication Number Publication Date
JP2007017976A JP2007017976A (ja) 2007-01-25
JP4852360B2 true JP4852360B2 (ja) 2012-01-11

Family

ID=37618677

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2006185110A Active JP4852360B2 (ja) 2005-07-06 2006-07-05 多層リソグラフィプロセスにおいて用いられる複素環芳香族構造物を含む基層組成物、リソグラフィ構造物、材料層または材料要素を基板上に形成させる方法

Country Status (2)

Country Link
US (3) US7375172B2 (ja)
JP (1) JP4852360B2 (ja)

Families Citing this family (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7544750B2 (en) * 2005-10-13 2009-06-09 International Business Machines Corporation Top antireflective coating composition with low refractive index at 193nm radiation wavelength
JP5251433B2 (ja) * 2008-10-31 2013-07-31 Jsr株式会社 レジスト下層膜形成用組成物及びパターン形成方法
US20100157145A1 (en) * 2008-12-23 2010-06-24 Horizon Semiconductors Ltd. Adaptive panoramic interpolator
US8084185B2 (en) * 2009-01-08 2011-12-27 International Business Machines Corporation Substrate planarization with imprint materials and processes
US8182978B2 (en) * 2009-02-02 2012-05-22 International Business Machines Corporation Developable bottom antireflective coating compositions especially suitable for ion implant applications
US8822347B2 (en) * 2009-04-27 2014-09-02 Taiwan Semiconductor Manufacturing Company, Ltd. Wet soluble lithography
US8304179B2 (en) * 2009-05-11 2012-11-06 Taiwan Semiconductor Manufacturing Company, Ltd. Method for manufacturing a semiconductor device using a modified photosensitive layer
US8658050B2 (en) 2011-07-27 2014-02-25 International Business Machines Corporation Method to transfer lithographic patterns into inorganic substrates
WO2014024836A1 (ja) 2012-08-10 2014-02-13 日産化学工業株式会社 レジスト下層膜形成組成物
CN105143979B (zh) 2013-04-17 2019-07-05 日产化学工业株式会社 抗蚀剂下层膜形成用组合物
JP6477687B2 (ja) * 2014-03-24 2019-03-06 Jsr株式会社 パターン形成方法
US9556353B2 (en) 2014-10-29 2017-01-31 International Business Machines Corporation Orientation control materials for block copolymers used in directed self-assembly applications
US9574107B2 (en) 2015-02-16 2017-02-21 International Business Machines Corporation Fluoro-alcohol additives for orientation control of block copolymers
WO2016168079A1 (en) * 2015-04-13 2016-10-20 Tokyo Electron Limited System and method for planarizing a substrate

Family Cites Families (41)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE3265701D1 (en) 1981-02-13 1985-10-03 Ciba Geigy Ag Curable compositions containing an acid-curable resin, and process for curing them
US4855017A (en) * 1985-05-03 1989-08-08 Texas Instruments Incorporated Trench etch process for a single-wafer RIE dry etch reactor
JPH01293339A (ja) 1988-05-23 1989-11-27 Tosoh Corp フォトレジスト組成物
US5561030A (en) * 1991-05-30 1996-10-01 Simon Fraser University Fabrication of electronically conducting polymeric patterns
JP3019506B2 (ja) * 1991-08-13 2000-03-13 東レ株式会社 二層構造感放射線性レジストおよびその製造方法
US5250829A (en) * 1992-01-09 1993-10-05 International Business Machines Corporation Double well substrate plate trench DRAM cell array
JP3271359B2 (ja) * 1993-02-25 2002-04-02 ソニー株式会社 ドライエッチング方法
US5562801A (en) * 1994-04-28 1996-10-08 Cypress Semiconductor Corporation Method of etching an oxide layer
US5948570A (en) * 1995-05-26 1999-09-07 Lucent Technologies Inc. Process for dry lithographic etching
US5744376A (en) * 1996-04-08 1998-04-28 Chartered Semiconductor Manufacturing Pte, Ltd Method of manufacturing copper interconnect with top barrier layer
US5618751A (en) * 1996-05-23 1997-04-08 International Business Machines Corporation Method of making single-step trenches using resist fill and recess
US5861231A (en) * 1996-06-11 1999-01-19 Shipley Company, L.L.C. Copolymers and photoresist compositions comprising copolymer resin binder component
US5886102A (en) * 1996-06-11 1999-03-23 Shipley Company, L.L.C. Antireflective coating compositions
JPH1090908A (ja) * 1996-09-17 1998-04-10 Fuji Photo Film Co Ltd 反射防止膜材料用組成物
JP3707632B2 (ja) * 1996-10-18 2005-10-19 富士写真フイルム株式会社 反射防止膜用組成物
JPH10120940A (ja) * 1996-10-18 1998-05-12 Fuji Photo Film Co Ltd 反射防止膜用組成物
US5962184A (en) * 1996-12-13 1999-10-05 International Business Machines Corporation Photoresist composition comprising a copolymer of a hydroxystyrene and a (meth)acrylate substituted with an alicyclic ester substituent
US5821469A (en) * 1996-12-18 1998-10-13 Lucent Technologies Inc. Device for securing cables in a telecommunications system
EP0851300B1 (en) 1996-12-24 2001-10-24 Fuji Photo Film Co., Ltd. Bottom anti-reflective coating material composition and method of forming resist pattern using the same
US5939236A (en) * 1997-02-07 1999-08-17 Shipley Company, L.L.C. Antireflective coating compositions comprising photoacid generators
US5801094A (en) * 1997-02-28 1998-09-01 United Microelectronics Corporation Dual damascene process
JP2001505003A (ja) * 1997-08-22 2001-04-10 コーニンクレッカ フィリップス エレクトロニクス エヌ ヴィ 薄膜マイクロ電子デバイス間に縦方向相互接続部を形成する方法
US6037097A (en) * 1998-01-27 2000-03-14 International Business Machines Corporation E-beam application to mask making using new improved KRS resist system
GB9806066D0 (en) * 1998-03-20 1998-05-20 Cambridge Display Tech Ltd Multilayer photovoltaic or photoconductive devices
US6187506B1 (en) 1999-08-05 2001-02-13 Clariant Finance (Bvi) Limited Antireflective coating for photoresist compositions
US6444408B1 (en) * 2000-02-28 2002-09-03 International Business Machines Corporation High silicon content monomers and polymers suitable for 193 nm bilayer resists
US6686124B1 (en) * 2000-03-14 2004-02-03 International Business Machines Corporation Multifunctional polymeric materials and use thereof
US6420088B1 (en) * 2000-06-23 2002-07-16 International Business Machines Corporation Antireflective silicon-containing compositions as hardmask layer
JP3971088B2 (ja) * 2000-06-30 2007-09-05 株式会社東芝 パターン形成方法
US6534239B2 (en) * 2001-04-27 2003-03-18 International Business Machines Corporation Resist compositions with polymers having pendant groups containing plural acid labile moieties
US6635401B2 (en) * 2001-06-21 2003-10-21 International Business Machines Corporation Resist compositions with polymers having 2-cyano acrylic monomer
US6869899B2 (en) * 2001-07-12 2005-03-22 International Business Machines Corporation Lateral-only photoresist trimming for sub-80 nm gate stack
US6846612B2 (en) * 2002-02-01 2005-01-25 Brewer Science Inc. Organic anti-reflective coating compositions for advanced microlithography
KR100949343B1 (ko) 2002-02-19 2010-03-26 닛산 가가쿠 고교 가부시키 가이샤 반사방지막 형성 조성물
US6800566B2 (en) * 2002-02-21 2004-10-05 Taiwan Semiconductor Manufacturing Company Adjustment of N and K values in a DARC film
JP3813890B2 (ja) * 2002-03-22 2006-08-23 富士写真フイルム株式会社 3層レジストプロセス用中間層材料組成物及びそれを用いたパターン形成方法
US6730454B2 (en) * 2002-04-16 2004-05-04 International Business Machines Corporation Antireflective SiO-containing compositions for hardmask layer
US6852474B2 (en) * 2002-04-30 2005-02-08 Brewer Science Inc. Polymeric antireflective coatings deposited by plasma enhanced chemical vapor deposition
US6949325B2 (en) * 2003-09-16 2005-09-27 International Business Machines Corporation Negative resist composition with fluorosulfonamide-containing polymer
JP4247643B2 (ja) * 2004-03-16 2009-04-02 日産化学工業株式会社 硫黄原子を含有する反射防止膜
US20050214674A1 (en) 2004-03-25 2005-09-29 Yu Sui Positive-working photoimageable bottom antireflective coating

Also Published As

Publication number Publication date
US20080213697A1 (en) 2008-09-04
US20070009830A1 (en) 2007-01-11
JP2007017976A (ja) 2007-01-25
US7375172B2 (en) 2008-05-20
US7816068B2 (en) 2010-10-19
US7807332B2 (en) 2010-10-05
US20080044776A1 (en) 2008-02-21

Similar Documents

Publication Publication Date Title
JP4852360B2 (ja) 多層リソグラフィプロセスにおいて用いられる複素環芳香族構造物を含む基層組成物、リソグラフィ構造物、材料層または材料要素を基板上に形成させる方法
JP5220418B2 (ja) シリコン含有フォトレジストの基層としての低屈折率ポリマー
JP6284925B2 (ja) 誘導自己組織化用のケイ素系ハードマスク層
JP4086830B2 (ja) スピンオンarc/ハードマスク用のシリコン含有組成物
KR100628824B1 (ko) 리토그래피 반사방지 하드마스크 조성물 및 그것의 용도
JP5290204B2 (ja) 微細パターンマスクおよびその製造方法、ならびにそれを用いた微細パターンの形成方法
TWI440972B (zh) 使用可顯影劑修整之硬罩幕產生光微影結構之方法
US7109119B2 (en) Scum solution for chemically amplified resist patterning in cu/low k dual damascene
US8084185B2 (en) Substrate planarization with imprint materials and processes
KR102017360B1 (ko) 아다만틸 기를 포함하는 비폴리머성 반사 방지 조성물
KR20100110363A (ko) 다중 다크 필드 노출에 의한, 하드마스크 패턴화를 위한 온-트랙 공정
US9058997B2 (en) Process of multiple exposures with spin castable films
JP2009016788A (ja) 半導体素子の微細パターン形成方法
JP4481902B2 (ja) 多層レジスト法によるパターン形成方法
KR20140001989A (ko) 개선된 패터닝 요구를 위해 작은 특징 부분(feature)을 패터닝하는 방법
JP2008227465A (ja) 半導体装置の製造方法
US8334090B2 (en) Mixed lithography with dual resist and a single pattern transfer
JP4870622B2 (ja) 193nm波長光の上面反射防止ドライコーティング塗布のための無水マレイン酸ポリマーのフッ素化半エステル
US5512334A (en) Method for the production of a bottom resist
JP2013522654A (ja) 反射防止ハードマスク組成物及びそれを用いたパターン化材料を調製する方法。
EP1825325A1 (en) Low refractive index polymers as underlayers for silicon-containing photoresists

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20090325

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20110414

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20110426

RD12 Notification of acceptance of power of sub attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7432

Effective date: 20110516

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A821

Effective date: 20110516

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20110720

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20111011

RD14 Notification of resignation of power of sub attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7434

Effective date: 20111011

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20111024

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

Ref document number: 4852360

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20141028

Year of fee payment: 3