JP4828499B2 - 検査方法および装置、リソグラフィ装置、リソグラフィ処理セルおよびデバイス製造方法 - Google Patents

検査方法および装置、リソグラフィ装置、リソグラフィ処理セルおよびデバイス製造方法 Download PDF

Info

Publication number
JP4828499B2
JP4828499B2 JP2007261444A JP2007261444A JP4828499B2 JP 4828499 B2 JP4828499 B2 JP 4828499B2 JP 2007261444 A JP2007261444 A JP 2007261444A JP 2007261444 A JP2007261444 A JP 2007261444A JP 4828499 B2 JP4828499 B2 JP 4828499B2
Authority
JP
Japan
Prior art keywords
substrate
pieces
model
target pattern
radiation
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2007261444A
Other languages
English (en)
Other versions
JP2008096434A (ja
Inventor
ガストン マリー キールス,アントイネ
キンデレン,テオドルス ラムベルトゥス マリヌス デル
ヴェアンカテサン,ヴィジャ
チャールズ マリア メリス,パトリック
Original Assignee
エーエスエムエル ネザーランズ ビー.ブイ.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by エーエスエムエル ネザーランズ ビー.ブイ. filed Critical エーエスエムエル ネザーランズ ビー.ブイ.
Publication of JP2008096434A publication Critical patent/JP2008096434A/ja
Application granted granted Critical
Publication of JP4828499B2 publication Critical patent/JP4828499B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03BAPPARATUS OR ARRANGEMENTS FOR TAKING PHOTOGRAPHS OR FOR PROJECTING OR VIEWING THEM; APPARATUS OR ARRANGEMENTS EMPLOYING ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ACCESSORIES THEREFOR
    • G03B27/00Photographic printing apparatus
    • G03B27/32Projection printing apparatus, e.g. enlarger, copying camera
    • G03B27/42Projection printing apparatus, e.g. enlarger, copying camera for automatic sequential copying of the same original
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B11/00Measuring arrangements characterised by the use of optical techniques
    • G01B11/30Measuring arrangements characterised by the use of optical techniques for measuring roughness or irregularity of surfaces
    • G01B11/303Measuring arrangements characterised by the use of optical techniques for measuring roughness or irregularity of surfaces using photoelectric detection means
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • G03F7/705Modelling or simulating from physical phenomena up to complete wafer processes or whole workflow in wafer productions
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/708Construction of apparatus, e.g. environment aspects, hygiene aspects or materials
    • G03F7/70991Connection with other apparatus, e.g. multiple exposure stations, particular arrangement of exposure apparatus and pre-exposure and/or post-exposure apparatus; Shared apparatus, e.g. having shared radiation source, shared mask or workpiece stage, shared base-plate; Utilities, e.g. cable, pipe or wireless arrangements for data, power, fluids or vacuum

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Computer Networks & Wireless Communication (AREA)
  • Health & Medical Sciences (AREA)
  • Environmental & Geological Engineering (AREA)
  • Epidemiology (AREA)
  • Public Health (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Length Measuring Devices By Optical Means (AREA)
  • Investigating Materials By The Use Of Optical Means Adapted For Particular Applications (AREA)
  • Testing Or Measuring Of Semiconductors Or The Like (AREA)
  • Image Processing (AREA)
  • Image Analysis (AREA)

Description

[0001] 本発明は、例えばリソグラフィ技術でのデバイスの製造に使用可能な検査の方法、およびリソグラフィ技術を使用するデバイス製造方法に関する。
[0002] リソグラフィ装置は、所望のパターンを基板に、通常は基板のターゲット部分に適用する機械である。リソグラフィ装置は例えば、集積回路(IC)の製造に使用可能である。このような場合、代替的にマスクまたはレチクルとも呼ばれるパターニングデバイスを使用して、ICの個々の層上に形成すべき回路パターンを生成することができる。このパターンを、基板(例えばシリコンウェーハ)上のターゲット部分(例えば1つまたは幾つかのダイの一部を備える)に転写することができる。パターンの転写は通常、基板に設けた放射感応性材料(レジスト)の層への結像により行われる。一般的に、1枚の基板は、順次パターンが与えられる近接したターゲット部分のネットワークを含んでいる。従来のリソグラフィ装置は、パターン全体をターゲット部分に1回で露光することによって各ターゲット部分が照射される、いわゆるステッパと、基板を所定の方向(「スキャン」方向)と平行あるいは逆平行にスキャンしながら、パターンを所定の方向(「スキャン」方向)に放射ビームでスキャンすることにより、各ターゲット部分が照射される、いわゆるスキャナとを含む。パターンを基板にインプリントすることによっても、パターニングデバイスから基板へとパターンを転写することが可能である。
[0003] リソグラフィのプロセスを監視するために、通常はパターン付与された基板の1つまたは複数のパラメータ、例えば基板内または基板上に形成される連続層間のオーバレイエラーを測定する。走査電子顕微鏡および様々な専門ツールを使用するなど、リソグラフィプロセスで形成される顕微鏡的構造を測定するには、様々な技術がある。専門的な検査ツールの1つの形態はスキャトロメータであり、ここでは放射ビームを基板の表面上のターゲットへと誘導し、散乱または反射したビームの1つまたは複数の特性を測定する。基板による反射または散乱前および後のビームの1つまたは複数の特性を比較することによって、基板の1つまたは複数の特性を求めることができる。これは、例えば反射ビームを、既知の基板特性に関連する既知の測定値のライブラリに記憶されているデータと比較することによって実行することができる。主な2つのタイプのスキャトロメータが知られている。立体スキャトロメータは、広帯域ビームを基板に誘導し、特定の狭い角度範囲に散乱した放射のスペクトル(波長の関数としての強度)を測定する。角度分解スキャトロメータは、単色放射ビームを使用し、角度の関数として散乱放射の強度を測定する。偏光分析装置も偏光状態を測定する。
[0004] リソグラフィプロセスの重要なパラメータは、ラインエッジラフネス(LER)である。これは従来、トップダウンクリティカルディメンションSEM(走査電子顕微鏡)またはAFM(原子力間顕微鏡)を使用して測定している。これらの技術はよく知られており、十分に開発されているが、実行に時間がかかる。したがって、これより高速のスキャトロメータ測定が、多くの測定でこれらの技術に取って代わっているが、現在まで、ラインエッジラフネスには使用できなかった。それは、スキャトロメータがターゲット区域からの平均応答を測定するからである。クリティカルディメンションまたは側壁角度などの平均に関連するパラメータは、高い正確さの程度まで取得することができるが、ラフネスでは不可能である。
[0005] 例えば、測定すべきターゲット構造のラフネスパラメータを求めることができるスキャトロメトリ測定方法を提供することが望ましい。
[0006] 本発明の一態様によれば、リソグラフィプロセスによって基板に印刷されているターゲットパターンのラフネスパラメータを測定する方法であって、
放射検査ビームをターゲットパターンに誘導し、そこから反射または散乱した放射を測定して、測定データを取得し、
測定データを処理して、ラフネスパラメータに関連する値を取得する
ことを含み、該処理は、屈折率に関連する可変パラメータを有する表面層を含むモデルに基づく、方法が提供される。
[0007] 本発明の一態様によれば、リソグラフィプロセスによってターゲットパターンおよびデバイスパターンを基板の1つまたは複数のフィールドに印刷し、
放射検査ビームをターゲットパターンに誘導し、そこから反射または散乱した放射を測定して、測定データを取得し、
測定データを処理して、ターゲットパターンのパラメータに関する値を取得する
ことを含み、該処理は、屈折率に関連する可変パラメータを有する表面層を含むモデルに基づく、デバイス製造方法が提供される。
[0008] 本発明の一態様によれば、基板上にデバイス層を製造するために使用されるリソグラフィプロセスによって基板上に印刷されたターゲットパターンのパラメータに関連する値を求める検査装置であって、
放射検査ビームをターゲットパターンに誘導する照明光学システム、
ターゲットパターンによって反射または散乱した放射をディテクタに投影して、スキャトロメトリスペクトルを取得する投影光学システム、
スキャトロメトリスペクトルを使用して、値を計算する計算器、および
屈折率に関連する可変パラメータを有する表面層を含むモデルを記憶するストレージデバイス
を備える検査装置が提供される。
[0009] 次に、本発明の実施形態を添付の略図を参照しながら、ほんの一例として説明する。図面では対応する参照記号は対応する部品を示している。
[0018] 図1は、リソグラフィ装置を概略的に示したものである。この装置は、
[0019]− 放射ビームB(例えばUV放射またはEUV放射)を調節するように構成された照明システム(イルミネータ)ILと、
[0020]− パターニングデバイス(例えばマスク)MAを支持するように構成され、特定のパラメータに従ってパターニングデバイスを正確に位置決めするように構成された第一ポジショナPMに接続された支持構造(例えばマスクテーブル)MTと、
[0021]− 基板(例えばレジストコートウェーハ)Wを保持するように構成され、特定のパラメータに従って基板を正確に位置決めするように構成された第二ポジショナPWに接続された基板テーブル(例えばウェーハテーブル)WTと、
[0022]− パターニングデバイスMAによって放射ビームBに与えられたパターンを基板Wのターゲット部分C(例えば1つまたは複数のダイを含む)に投影するように構成された投影システム(例えば屈折投影レンズシステム)PLとを含む。
[0023] 照明システムは、放射の誘導、整形、または制御を行うための、屈折、反射、磁気、電磁気、静電気型等の光学コンポーネント、またはその任意の組み合わせなどの種々のタイプの光学コンポーネントを含んでいてもよい。
[0024] 支持構造は、パターニングデバイスを支持している。該マスク支持構造は、パターニングデバイスの方向、リソグラフィ装置の設計、そしてその他の条件、例えばパターニングデバイスが真空環境で保持されているか否かに応じた方法で、パターニングデバイスを保持する。この支持構造は、パターニングデバイスを保持するために、機械的、真空、静電気等のクランプ技術を使用することができる。支持構造は、フレームまたはテーブルとすることができ、それらはたとえば必要に応じて固定式または可動式とすることができる。支持構造は、パターニングデバイスが例えば投影システムなどに対して確実に所望の位置にくるようにできる。本明細書において「レチクル」または「マスク」という用語を使用した場合、その用語は、より一般的な用語である「パターニングデバイス」と同義と見なすことができる。
[0025] 本明細書において使用する「パターニングデバイス」という用語は、基板のターゲット部分にパターンを生成するように、放射ビームの断面にパターンを与えるために使用し得る任意のデバイスを指すものとして広義に解釈されるべきである。ここで、放射ビームに与えられるパターンは、例えばパターンが位相シフトフィーチャまたはいわゆるアシストフィーチャを含む場合、基板のターゲット部分における所望のパターンに正確には対応しないことがある点に留意されたい。一般的に、放射ビームに与えられるパターンは、集積回路などのターゲット部分に生成されるデバイスの特定の機能層に相当する。
[0026] パターニングデバイスは透過性または反射性とすることができる。パターニングデバイスの例には、マスク、プログラマブルミラーアレイ、およびプログラマブルLCDパネルがある。マスクはリソグラフィにおいて周知のものであり、これには、バイナリマスク、レベンソン型(alternating)位相シフトマスク、ハーフトーン型(attenuated)位相シフトマスクのようなマスクタイプ、さらには様々なハイブリッドマスクタイプも含まれる。プログラマブルミラーアレイの一例として、小さなミラーのマトリクス配列を使用し、そのミラーは各々、入射する放射ビームを異なる方向に反射するよう個別に傾斜することができる。傾斜したミラーは、ミラーマトリクスによって反射する放射ビームにパターンを与える。
[0027] 本明細書において使用する「投影システム」という用語は、例えば使用する露光放射、または液浸液の使用や真空の使用などの他の要因に合わせて適宜、例えば屈折光学システム、反射光学システム、反射屈折光学システム、磁気光学システム、電磁気光学システムおよび静電気光学システム、またはその任意の組合せを含む任意のタイプの投影システムを網羅するものとして広義に解釈されるべきである。本明細書において「投影レンズ」という用語を使用した場合、これはさらに一般的な「投影システム」という用語と同義と見なされる。
[0028] ここに示している本装置は透過タイプである(例えば透過マスクを使用する)。あるいは、装置は反射タイプでもよい(例えば上述したようなタイプのプログラマブルミラーアレイを使用する、または反射マスクを使用する)。
[0029] リソグラフィ装置は2つ(デュアルステージ)またはそれ以上の基板テーブル(および/または2つ以上のマスクテーブル)を有するタイプとすることができる。このような「マルチステージ」マシンにおいては、追加のテーブルを並行して使用したり、1つまたは複数の他のテーブルを露光に使用している間に1つまたは複数のテーブルで予備工程を実行したりすることができる。
[0030] リソグラフィ装置は、投影システムと基板との間の空間を充填するように、基板の少なくとも一部を水などの比較的高い屈折率を有する液体で覆えるタイプとすることもできる。液浸液は、例えばマスクと投影システムの間など、リソグラフィ装置の他の空間に使用してもよい。液浸技術は、投影システムの開口数を増加させるために当技術分野で周知である。本明細書で使用する「液浸」という用語は、基板などの構造体を液体に沈めなければならないという意味ではなく、むしろ露光中に投影システムと基板の間に液体が存在するというほどの意味である。
[0031] 図1aを参照すると、イルミネータILは放射源SOから放射ビームを受ける。放射源とリソグラフィ装置とは、例えば放射源がエキシマレーザである場合に、それぞれ別々の構成要素であってもよい。このような場合、放射源はリソグラフィ装置の一部を形成すると見なされず、放射ビームは、例えば適切な誘導ミラーおよび/またはビームエクスパンダなどを備えるビームデリバリシステムBDの助けにより、放射源SOからイルミネータILへと渡される。他の事例では、例えば放射源が水銀ランプの場合は、放射源がリソグラフィ装置の一体部分であってもよい。放射源SOおよびイルミネータILは、必要に応じてビームデリバリシステムBDとともに放射システムと呼ぶことができる。
[0032] イルミネータILは、放射ビームの角度強度分布を調節するアジャスタADを備えていてもよい。通常、イルミネータの瞳面における強度分布の外側および/または内側半径範囲(一般にそれぞれ、σ-outerおよびσ-innerと呼ばれる)を調節することができる。また、イルミネータILは、インテグレータINおよびコンデンサCOなどの他の種々のコンポーネントを備えていてもよい。また、イルミネータを用いて放射ビームを調整し、その断面にわたって所望の均一性と強度分布とが得られるようにしてもよい。
[0033] 放射ビームBは、支持構造(例えばマスクテーブル)MT上に保持されたパターニングデバイス(例えばマスク)MAに入射し、パターニングデバイスによってパターンが与えられる。放射ビームBはパターニングデバイスMAを通り抜けて、基板Wのターゲット部分C上にビームを集束する投影システムPLを通過する。第二ポジショナPWおよび位置センサIF(例えば干渉計デバイス、リニアエンコーダまたは容量センサ)の助けにより、基板テーブルWTを、例えば放射ビームBの経路において様々なターゲット部分Cに位置決めするように正確に移動できる。同様に、第一ポジショナPMおよび別の位置センサ(図1aには明示されていない)を使用して、例えばマスクライブラリから機械的に検索した後に、またはスキャン中に、放射ビームBの経路に対してパターニングデバイスMAを正確に位置決めすることができる。一般的に、支持構造MTの移動は、第一ポジショナPMの部分を形成するロングストロークモジュール(粗動位置決め)およびショートストロークモジュール(微動位置決め)を用いて実現できる。同様に、基板テーブルWTの移動は、第二ポジショナPWの部分を形成するロングストロークモジュールおよびショートストロークモジュールの助けにより実現できる。ステッパの場合(スキャナとは対照的に)、支持構造MTをショートストロークアクチュエータのみに接続するか、固定してもよい。パターニングデバイスMAおよび基板Wは、マスクアラインメントマークM1、M2および基板アラインメントマークP1、P2を使用して位置合わせすることができる。図示のような基板アラインメントマークは、専用のターゲット位置を占有するが、ターゲット部分の間の空間に配置してもよい(スクライブレーンアラインメントマークと呼ばれる)。同様に、パターニングデバイスMA上に複数のダイを設ける状況では、マスクアラインメントマークをダイ間に配置してもよい。
[0034] 図示のリソグラフィ装置は以下のモードのうち少なくとも1つにて使用可能である。
[0035] 1.ステップモードにおいては、支持構造MTおよび基板テーブルWTは、基本的に静止状態に維持される一方、放射ビームに与えたパターン全体が1回でターゲット部分Cに投影される(すなわち単一静止露光)。次に、別のターゲット部分Cを露光できるように、基板テーブルWTがX方向および/またはY方向に移動される。ステップモードでは、露光フィールドの最大サイズによって、単一静止露光で像が形成されるターゲット部分Cのサイズが制限される。
[0036] 2.スキャンモードにおいては、支持構造MTおよび基板テーブルWTは同期的にスキャンされる一方、放射ビームに与えられたパターンをターゲット部分Cに投影する(つまり単一動的露光)。支持構造MTに対する基板テーブルWTの速度および方向は、投影システムPSの拡大(縮小)および像反転特性によって決定することができる。スキャンモードでは、露光フィールドの最大サイズによって、単一動的露光におけるターゲット部分の(非スキャン方向における)幅が制限され、スキャン動作の長さによってターゲット部分の(スキャン方向における)高さが決まる。
[0037] 3.別のモードでは、支持構造MTはプログラマブルパターニングデバイスを保持して基本的に静止状態に維持され、基板テーブルWTを移動またはスキャンさせながら、放射ビームに与えられたパターンをターゲット部分Cに投影する。このモードでは、一般にパルス状放射源を使用して、基板テーブルWTを移動させる毎に、またはスキャン中に連続する放射パルスの間で、プログラマブルパターニングデバイスを必要に応じて更新する。この動作モードは、以上で言及したようなタイプのプログラマブルミラーアレイなどのプログラマブルパターニングデバイスを使用するマスクレスリソグラフィに容易に利用できる。
[0038] 上述した使用モードの組合せおよび/または変形、または全く異なる使用モードも利用できる。
[0039] 図1bに示すように、リソグラフィ装置LAは、リソセルまたはリソクラスタと呼ばれることもあるリソグラフィセルLCの一部を形成し、これは基板で1つまたは複数の露光前および露光後プロセスを実行する装置も含む。従来、これらは、レジスト層を堆積させる1つまたは複数のスピンコータSC、露光したレジストを現像する1つまたは複数のデベロッパDE、1つまたは複数のチルプレートCHおよび1つまたは複数のベークプレートBKを含む。基板ハンドラ、つまりロボットROは、入出力ポートI/O1、I/O2から基板を取り上げ、これを異なるプロセス装置間で移動させ、これをリソグラフィ装置のローティングベイLBへと送出する。これらの装置は、往々にしてまとめてトラックと呼ばれ、トラック制御ユニットTCUの制御下にあり、これ自体が監視制御システムSCSに制御され、これはリソグラフィ制御ユニットLACUを介してリソグラフィ装置も制御する。したがって、様々な装置を操作して、スループットおよび処理効率を最大限にすることができる。
[0040] リソグラフィ装置によって露光する基板を正確かつ一貫して露光するために、露光した基板を検査して、引き続く層間のオーバレイエラー、ラインの太さ、クリティカルディメンション(CD)などの特性を測定することが望ましい。エラーが検出された場合は、特に同じバッチの他の基板をまだ露光するのに十分なほど即座に、かつ迅速に検査を実行できる場合、引き続く基板の露光を調節することができる。また、既に露光した基板を取り除いて再加工し、歩留まりを改善するか、廃棄し、それによって欠陥があることが分かっている基板での露光の実行を回避することができる。基板の一部のターゲット部分のみに欠陥がある場合は、良好であるターゲット部分のみで、さらなる露光を実行することができる。エラーを補償するために、引き続くプロセスステップの設定を適応させるという可能性もある。例えばトリムエッチングのステップの時間を調節して、リソグラフィプロセスステップによって生じる基板毎のCDの変動を補償することができる。
[0041] 検査装置を使用して、基板の1つまたは複数の特性を、特に、異なる基板または同じ基板の異なる層での1つまたは複数の特性が層毎に且つ基板全体でいかに異なるかを、求める。検査装置は、リソグラフィ装置LAまたはリソセルLCに組み込むかあるいはスタンドアロンとすることができる。最も迅速な測定を可能にするために、検査装置は、露光直後に露光レジスト層における1つまたは複数の特性を測定することが望ましい。しかし、レジストの潜像はコントラストが非常に低く、放射で露光したレジストの部分と露光していない部分とには、屈折率に非常に小さい差しかなく、全ての検査装置が、潜像を有効に測定するほど十分な感度を有するわけではない。したがって、習慣的に露光した基板で実行する最初のステップであり、レジストの露光部分と非露光部分とのコントラストを向上させる露光後ベークステップ(PEB)の後に、測定を実行することができる。この段階で、レジスト内の像を半潜在性と言うことができる。レジストの露光部分または非露光部分が除去されているポイントで、またはエッチングなどのパターン転写ステップの後に、現像したレジスト像を測定することも可能である。後者の可能性は、欠陥がある基板を再加工する可能性を制限するが、それでも例えばプロセス制御の目的などに有用な情報を提供することができる。
[0042] 図2は、本発明の実施形態で使用できるスキャトロメータを示す。これは基板Wに放射を投影する広帯域(白色光)放射プロジェクタ2を備える。反射した放射は分光ディテクタ4へと渡され、これは鏡面反射した放射のスペクトル10(つまり波長の関数としての強度の尺度)を測定する。このデータから、検出したスペクトルを生じさせる構造または輪郭を、例えば厳密結合波分析および非線形回帰によって、または図2の底部に示すようにシミュレーションしたスペクトルのライブラリとの比較によって再構成することができる。概して、再構築するためには、構造の全体的形態が知られ、幾つかのパラメータが構造作成プロセスの知識から想定されて、構造のほんのわずかなパラメータのみがスキャトロメトリデータから求められるべく残される。このようなスキャトロメータは、垂直入射スキャトロメータまたは斜め入射スキャトロメータとして構成することができる。
[0043] 本発明の実施形態で使用できる別のスキャトロメータが、図3に図示されている。このデバイスでは、放射源2によって放出された放射は、レンズシステム12を使用して干渉フィルタ13およびポラライザ17を通して集束され、部分反射表面16によって反射し、望ましくは少なくとも0.9または少なくとも0.95という高い開口数(NA)を有する顕微鏡の対物レンズ15を介して基板Wに集束される。液浸スキャトロメータは、開口数が1を超えるレンズを有してもよい。反射した放射は、次に部分反射表面16を通過して、散乱スペクトルを検出するためにディテクタ18に入る。ディテクタは、逆投影された瞳面11に配置することができ、これはレンズシステム15の焦点距離にあるが、瞳面は、補助光学系(図示せず)でディテクタ18へと再結像することができる。瞳面は、放射の半径方向位置が入射角度を規定し、角度位置が放射の方位角を規定する面である。ディテクタは、基板ターゲットの2次元角度散乱スペクトル(つまり散乱角度の関数としての強度の尺度)を測定できるように、2次元ディテクタであることが好ましい。ディテクタ18は、例えばCCDまたはCMOSセンサのアレイでよく、例えば1フレーム当たり40ミリ秒という積分時間を有してよい。
[0044] 基準ビームは、例えば入射放射の強度を測定するために使用されることが多い。それを実行するには、放射ビームが部分的に反射性の表面16に入射すると、その一部が基準ビームとして基準ミラー14に向かってその表面を透過する。次に、基準ビームを同じディテクタ18の異なる部分に投影する。
[0045] 例えば405〜790nmの範囲、または200〜300nmなどのさらに低い範囲で対象の波長を選択するために、1つまたは複数の干渉フィルタ13が使用可能である。干渉フィルタは、1セットの様々なフィルタを備えるのではなく、調整可能でもよい。1つまたは複数の干渉フィルタの代わりに、またはそれに加えて回折格子を使用することもできる。
[0046] ディテクタ18は、1つの波長(または狭い波長範囲)で散乱光の波長を測定するか、複数の波長で別個に強度を測定するか、ある波長の範囲にわたって積分することができる。さらに、ディテクタは、TM(transverse magnetic)偏光放射、およびTE(transverse electric)偏光放射の強度および/またはTM偏光放射とTE偏光放射の間の位相差を別個に測定することができる。
[0047] 広帯域放射源(つまり放射の周波数または波長が、したがって色が広範囲にわたる光源)の使用が可能であり、これは大きい面積効率を与え、複数波長の混合を可能にする。広帯域の複数の波長は、それぞれλδの帯域幅および少なくとも2λδの間隔(つまり波長の2倍)を有することが好ましい。幾つかの放射「源」は、例えばファイバ束を使用して分割されている拡張放射源の異なる部分でよい。この方法で、角度分解した散乱スペクトルを複数の波長にて並列で測定することができる。3次元スペクトル(波長および2つの異なる角度)を測定することができ、これは2次元スペクトルより多くの情報を含む。これによって、より多くの情報を測定することができ、これは測定プロセスのロバスト性を向上させる。これについては、参照により全体が本明細書に組み込まれる欧州特許出願公開EP1,628,164A号にさらに詳細に記載されている。
[0048] 基板W上のターゲットは、現像後にバーが中実レジストラインで形成されるように印刷された回折格子とすることができる。バーはあるいは、基板にエッチングすることもできる。ターゲットパターンは、リソグラフィ投影装置の焦点、ドーズ、オーバレイ、色収差などの対象となるパラメータに対して敏感であるように選択され、したがって関連するパラメータの変動は、印刷されたターゲットの変動として明らかになる。
[0049] スキャトロメータから取得されたデータ(スペクトルと呼ばれる)から、クリティカルディメンション(CD)などの、ターゲットの対象パラメータの値を求めるには、2つの基本的方法がある。反復モデリングとライブラリ探索である。反復モデリング技術では、ターゲット構造の理論的モデルを使用して、対象パラメータの関数としてターゲットから取得されるスペクトルを計算する。初期値またはシード値から始まり、パラメータ値の推定値を改善できるように、予測スペクトルを計算して、測定したスペクトルと比較する。予測スペクトルが、望ましい誤差限界内で測定したスペクトルと一致するまで、このプロセスを反復数だけ繰り返し、一致した時点で、パラメータの実際の値は、予測スペクトルを取得するために使用するパラメータの予測値に、望ましい精度内で等しくなると仮定される。
[0050] ライブラリ探索では、予測スペクトルのライブラリを構築し、この場合もスペクトルをパラメータ値に関連させるモデルを使用し、測定したスペクトルをライブラリエントリと比較して、最も近い一致を求める。エントリの補間法を使用して、正確さを上げることができる。ライブラリのエントリ数は、予測される可能なパラメータ値の範囲によって決定され、これは事前にパラメータ値をいかに正確に予想できるか、および望ましい測定値の正確さに依存する。
[0051] スキャトロメータで使用可能な別の技術は、主成分分析(Principle Component Analysis PCA)である。この技術では、対象のパラメータの値を変動させて、テストパターンまたはキャリブレーションパターンのマトリクスを印刷する。テストパターン毎にスペクトルを取得し、分析して、1セットの主成分(基底関数)を導出し、したがって係数のセットを主成分に掛けることにより、各スペクトルを表すことができる。これで、テストパターンの既知のパラメータ値から、係数をパラメータ値に関連づける関数を導出することができる。測定ターゲットからのスペクトルを分解して、主成分に掛ける係数にし、係数の値を使用してパラメータ値を求める。
[0052] スキャトロメトリデータの分析の基礎となるのは、検査中のパターンのモデルである。ターゲットの一般的形態は、単純な線の回折格子であり、これは3つのパラメータ、つまり高さh、幅wおよび側壁角度swaを有する台形としてモデル化することができる。さらに複雑なモデルは、積み重ねた台形およびさらに複雑な形状を含む。これで、スキャトロメトリデータの分析によって、検査中の回折格子のこれら3つのパラメータに関する値が与えられる。しかし、測定ターゲットの照明される区域が比較的大きく、数十本のラインにまたがり、各ラインの長さが長いので、取得される値は必然的に平均値である。従来のスキャトロメトリ分析技術は、形態の変動に関する情報を与えてくれない。本発明の実施形態は、従来のモデル成分以外でモデルに1つまたは複数の追加層を追加し、厚さおよび屈折率によってパラメータ化されるモデルフィーチャの外面の一部または全部をカバーすることによって、このような情報を提供することを目的とする。従来のスキャトロメトリ分析技術では、モデルの様々な成分の屈折率が知られ、定数と見なされる。
[0053] パラメータ化された屈折率を有する1つまたは複数の追加の最外層を提供することにより、実際のスペクトルと計算されたスペクトルとの間の改善されたフィットを得ることができる。上述したような既知の技術により実行できる、このようなモデルを使用した測定データの分析は、通常、モデル化されたフィーチャの屈折率とそれを囲む媒体(例えば空気または真空)の屈折率との間の屈折率値を提供する。これは、屈折率が全体的現象であると考えることによって説明することができる。したがって、粗いラインを、ランダムなピークが突出した滑らかなラインと見なすことができる。第一次近似として、本発明の実施形態の強化したモデルの基本部分は、滑らかなライン、およびピークが突出した最外層を表すと見なすことができる。最外層の導出される厚さおよび屈折率は、ピークの高さおよびボリュームに関連する。取得された屈折率は、ピークおよび周囲媒体の材料の屈折率のボリューム平均を近似すると考えられ、表面層は、フィーチャおよび周囲媒体(例えば空気、気体または真空)の材料の混合物の有効媒体を形成する。したがって、該当する分析技術のためにシード値が必要な場合、層の厚さを予測ピークトゥピーク(peak-to-peak)ラフネスの値に、屈折率をフィーチャ材料および周囲材料の屈折率の重み付けした平均値に設定することができる。取得された屈折率および層厚さを、実験的または分析的にラインエッジまたは表面ラフネスのトップダウン測定線幅の3σ値などの既知の尺度に関連させることができる。これらは、それ自体をラフネスの尺度として使用することもできる。
[0054] 図4は、本発明の単純な実施形態で使用されるモデルを示す。モデルは、回折格子のラインを表し、そのラインは全て同一と考えられ、それは断面にて高さht、幅wtおよび側壁角度swatによってパラメータ化される単純な台形5によって表されている。このようなモデルが知られ、レジスト内に形成される単純な回折格子を有用に表している。レジストは、事前に知られている一定の屈折率ntを有するものとする。そのために、本発明の実施形態は表面層10を追加し、これは台形tの側壁上の幅wL、台形の頂部の厚さhL、側壁角度swaLおよび屈折率nLによってパラメータ化される。さらに単純なモデルでは、wLとhLを同じに設定し、swaLをswatと同じに設定することができる。モデルの最も単純な形態は、固定した厚さの値を使用し、表面層10の屈折率のみをパラメータ化することができる。
[0055] 既知のシミュレーションソフトウェアは、記載されたパラメータの値の望ましい任意の組合せについて、このような回折格子のスキャトロメトリ測定の結果として予測されるようなスペクトルを容易に計算することができる。したがって、様々なパラメータ値セットのスペクトルのライブラリを作成し、探索して、測定されたスペクトルに最も近いスペクトルを識別し、したがって検査されたターゲットのパラメータ値を識別することができる。あるいは、反復プロセスでモデルを使用して、パラメータ値を見いだすことができる。
[0056] 本発明の実施形態によるさらに複雑なモデルが、図5に図示されている。このモデルでは、同じ基本的台形tを使用するが、表面、つまり最外層が、台形の側部、頂部および隅部の別個のピース11〜15によって表されている。側部ピース11、12は、厚さw11、w12、および側壁角度swa11、swa12によってパラメータ化することができる。頂部ピース13は厚さh13によって、隅部ピース14、15は厚さw14およびw15によってパラメータ化することができる。各ピースは独自の屈折率n11〜15(明快さを期して、図5では全部は図示せず)を有する。このモデルは、側部ピース11、12の側壁角度を台形のそれと同じに設定し、かつ/または隅部ピース14、15の厚さを個々の側部ピース11、12と同じに設定することによって単純化することもできる。このモデルは正確さを向上させ、特にラインラフネスの非対称性の検出および測定を可能にするが、それはパラメータ数の増加による計算時間の増大という犠牲を払っている。高度に複雑なモデルは、相互に重なり、パラメータ化された屈折率を有する複数の層を含むかもしれない。求められた屈折率は外側に向かって低下し、各層の充填率の低下を表すと予想される。本発明の実施形態の追加的な表面層を、任意のレベルの複雑さを有する根底となるモデルに追加できることが認識される。表面層のモデルの複雑さは、根底となるモデルによって求められることも、求められないこともある。
[0057] 本発明の実施形態による分析方法が、図6に図示されている。1つまたは複数のスキャトロメトリスペクトルを表す測定データ20が処理されS1、厚さおよび屈折率のパラメータなどの対象パラメータの値を導出し、これはスキャトロメトリスペクトル21のターゲットまたはライブラリのモデルを指す。次に、厚さおよび/または屈折率の値からラフネスの値を取得することができるS2。厚さの値が、剥ぎ取り(stripping)および再結像(re-imaging)などの矯正措置(remedial action)が必要であると示した場合、その措置を執ることができるS3。
[0058] この方法は、図7に示すようなスキャトロメトリデバイスで実行することができる。この装置は、上述したタイプのいずれでもよく、基板上の1つまたは複数のターゲットからスキャトロメトリデータを生成するように構成されたスキャトロメータSM、およびスキャトロメトリデータから対象パラメータの値を導出するように構成される分析ユニットANを備える。分析ユニットANは、必要な様々な計算を実行するように構成された計算ユニットCU、対象パラメータの関数として、または様々なパラメータ値の以前に計算したスペクトルとして、スキャトロメトリデータのモデルを記憶するターゲットモデルまたはライブラリストレージデバイスT−MDL/LIB、およびスキャトロメトリデータから取得される屈折率の値、および任意選択で厚さの値からラフネスの値を求めるために使用されるモデルを記憶するラフネスモデルストレージデバイスR−MDLを備える。分析ユニットは特別に構築された装置であるか、汎用コンピュータで動作するソフトウェアとして実現することができる。
[0059] 本文ではICの製造におけるリソグラフィ装置の使用に特に言及しているが、本明細書で説明するリソグラフィ装置には他の用途もあることは言うまでもない。例えば、これは、集積光学装置、磁気ドメインメモリ用誘導および検出パターン、フラットパネルディスプレイ、液晶ディスプレイ(LCD)、薄膜磁気ヘッドなどである。こうした代替的な用途に照らして、本明細書で「ウェーハ」または「ダイ」という用語を使用している場合、それぞれ、「基板」または「ターゲット部分」という、より一般的な用語と同義と見なしてよいことは、当業者に明らかである。本明細書に述べている基板は、露光前または露光後に、例えばトラック(通常はレジストの層を基板に塗布し、露光したレジストを現像するツール)、メトロロジツールおよび/またはインスペクションツールで処理することができる。適宜、本明細書の開示は、以上およびその他の基板処理ツールに適用することができる。さらに、基板は、例えば多層ICを生成するために、複数回処理することができ、したがって本明細書で使用する基板という用語は、既に複数の処理済み層を含む基板も指すことができる。
[0060] 以上では光リソグラフィとの関連で本発明の実施形態の使用に特に言及しているが、本発明は、インプリントリソグラフィなどの他の用途においても使用可能であり、状況が許せば、光リソグラフィに限定されないことが理解される。インプリントリソグラフィでは、パターニングデバイスのトポグラフィによって、基板上に生成されるパターンが画定される。パターニングデバイスのトポグラフィを基板に供給されたレジストの層に押しつけ、その後に電磁放射、熱、圧力またはその組合せにより、レジストを硬化する。パターニングデバイスをレジストから離し、レジストを硬化した後にパターンを残す。
[0061] 本明細書で使用する「放射」および「ビーム」という用語は、イオンビームあるいは電子ビームといったような粒子ビームのみならず、紫外線(UV)放射(例えば、365nm、355nm、248nm、193nm、157nmまたは126nmあるいはそれら近辺の波長を有する)および極端紫外線(EUV)放射(例えば、5nm〜20nmの範囲の波長を有する)を含むあらゆるタイプの電磁放射を網羅する。
[0062] 「レンズ」という用語は、状況が許せば、屈折、反射、磁気、電磁気および静電気光学コンポーネントを含む様々なタイプの光学コンポーネントのいずれか、またはその組合せを指す。
[0063] 以上、本発明の特定の実施形態を説明したが、説明とは異なる方法でも本発明を実践できることが理解される。例えば、本発明は、上記で開示したような方法を述べる機械読み取り式命令の1つまたは複数のシーケンスを含むコンピュータプログラム、またはこのようなコンピュータプログラムを内部に記憶したデータ記憶媒体(例えば半導体メモリ、磁気または光ディスク)の形態をとることができる。
[0064] 上記の説明は例示的であり、限定的ではない。したがって、請求の範囲から逸脱することなく、記載されたような本発明を変更できることが当業者には明白である。
[0010] リソグラフィ装置を示した図である。 [0011] リソグラフィセルまたはクラスタを示した図である。 [0012] 本発明の実施形態によるスキャトロメータを示した図である。 [0013] 本発明の実施形態によるさらなるスキャトロメータを示した図である。 [0014] 本発明の実施形態にあるラインのモデルを示した図である。 [0015] 本発明の実施形態にあるラインのモデルを示した図である。 [0016] 本発明の実施形態による方法のフローチャートである。 [0017] 本発明の実施形態による検査ツールを示した図である。

Claims (16)

  1. リソグラフィプロセスによって基板に印刷されているターゲットパターンの個々のラインエッジ又は表面のラフネスパラメータを測定する方法であって、
    放射検査ビームを前記ターゲットパターンに誘導し、そこから反射または散乱した前記放射を測定して、測定データを取得することと、
    記測定データを処理して、前記ラフネスパラメータに関連する値を取得することを含み、
    前記処理が、屈折率に関連する可変パラメータを有し、モデル化されたフィーチャをカバーする表面層を含むモデルに基づき、
    前記表面層が、前記モデルにて、それぞれのパラメータを有する複数のピースによって表され、
    前記複数のピースは、隅部ピースを含む
    方法。
  2. 前記モデルが、既知の屈折率を有し、前記複数のピースのうち異なるピースが、モデル化されたフィーチャの異なる表面に配置される、請求項に記載の方法。
  3. 前記複数のピースの幾つかが相互に積み重ねられる、請求項に記載の方法。
  4. 前記表面層が、厚さを表す1つまたは複数の可変パラメータ、および屈折率を表す1つまたは複数の可変パラメータによってモデル化される、請求項1に記載の方法。
  5. 前記処理がライブラリ探索を含む、請求項1に記載の方法。
  6. 前記処理が反復計算を含む、請求項1に記載の方法。
  7. リソグラフィプロセスによって基板に印刷されたターゲットパターンの個々から取得したスキャトロメトリデータを分析する方法であって、前記ターゲットパターンの個々のラインエッジ又は表面のラフネスパラメータに関連する値を取得するために、前記スキャトロメトリデータを処理することを含み
    記処理が、屈折率に関連する可変パラメータを有し、モデル化されたフィーチャをカバーする表面層を含むモデルに基づき、
    前記表面層が、前記モデルにて、それぞれのパラメータを有する複数のピースによって表され、
    前記複数のピースは、隅部ピースを含む
    方法。
  8. 基板上にデバイス層を製造するために使用されるリソグラフィプロセスによって基板上に印刷されたターゲットパターンの個々のラインエッジ又は表面のラフネスパラメータに関連する値を求める検査装置であって、
    放射検査ビームを前記ターゲットパターンに誘導する照明光学システム、
    前記ターゲットパターンによって反射または散乱した放射をディテクタに投影して、スキャトロメトリスペクトルを取得す投影光学システム、
    前記スキャトロメトリスペクトルを使用して、前記値を計算する計算器、および
    屈折率に関連する可変パラメータを有し、モデル化されたフィーチャをカバーする表面層を含むモデルを記憶するストレージデバイスを備え、
    前記表面層が、前記モデルにて、それぞれのパラメータを有する複数のピースによって表され、
    前記複数のピースは、隅部ピースを含む
    装置。
  9. 前記モデルが、既知の屈折率を有し、前記複数のピースの異なるピースが、モデル化されたフィーチャの異なる表面に配置される、請求項に記載の装置。
  10. 前記複数のピースの幾つかが相互に積み重ねられる、請求項に記載の装置。
  11. 前記表面層が、厚さを表す1つまたは複数の可変パラメータ、および屈折率を表す1つまたは複数の可変パラメータによってモデル化される、請求項に記載の装置。
  12. 前記計算器が、ライブラリ探索を使用して前記値を計算する、請求項に記載の装置。
  13. 前記計算器が、反復計算を使用して前記値を計算する、請求項に記載の装置。
  14. リソグラフィプロセスによってターゲットパターンおよびデバイスパターンを基板の1つまたは複数のフィールドに印刷し、
    放射検査ビームを前記ターゲットパターンに誘導し、そこから反射または散乱した前記放射を測定して、測定データを取得し、
    前記測定データを処理して、前記ターゲットパターンの個々のラインエッジ又は表面のラフネスパラメータに関する値を取得する
    ことを含み
    記処理が、屈折率に関連する可変パラメータを有し、モデル化されたフィーチャをカバーする表面層を含むモデルに基づく、デバイス製造方法であって
    前記表面層が、前記モデルにて、それぞれのパラメータを有する複数のピースによって表され、
    前記複数のピースは、隅部ピースを含む
    方法
  15. パターンを照明する照明光学システム、
    前記パターンの像を基板に投影する投影光学システム、
    基板上にデバイス層を製造するために使用されるリソグラフィプロセスによって、基板上に印刷されたターゲットパターンの個々のラインエッジ又は表面のラフネスパラメータに関連する値を求める検査装置であって、
    放射検査ビームを前記ターゲットパターンに誘導する照明光学システムと、
    前記ターゲットパターンによって反射または散乱した放射をディテクタに投影して、スキャトロメトリスペクトルを取得する投影光学システムと、
    前記スキャトロメトリスペクトルを使用して、前記値を計算する計算器と、
    屈折率に関連する可変パラメータを有し、モデル化されたフィーチャをカバーする表面層を含むモデルを記憶するストレージデバイスとを備える検査装置を有し、
    前記表面層が、前記モデルにて、それぞれのパラメータを有する複数のピースによって表され、
    前記複数のピースは、隅部ピースを含む
    リソグラフィ装置。
  16. 放射感応性層で基板をコーティングするコータ、
    前記コータによってコーティングした基板の前記放射感応性層に像を露光するリソグラフィ装置、
    前記リソグラフィ装置によって露光した前記像を現像するデベロッパ、および
    基板上にデバイス層を製造するために使用されるリソグラフィプロセスによって、基板上に印刷されたターゲットパターンの個々のラインエッジ又は表面のラフネスパラメータに関連する値を求める検査装置であって、
    放射検査ビームを前記ターゲットパターンに誘導する照明光学システムと、
    前記ターゲットパターンによって反射または散乱した放射をディテクタに投影して、スキャトロメトリスペクトルを取得する投影光学システムと、
    前記スキャトロメトリスペクトルを使用して、前記値を計算する計算器と、
    屈折率に関連する可変パラメータを有し、モデル化されたフィーチャをカバーする表面層を含むモデルを記憶するストレージデバイスとを備える検査装置を有し、
    前記表面層が、前記モデルにて、それぞれのパラメータを有する複数のピースによって表され、
    前記複数のピースは、隅部ピースを含む
    リソグラフィセル。
JP2007261444A 2006-10-13 2007-10-05 検査方法および装置、リソグラフィ装置、リソグラフィ処理セルおよびデバイス製造方法 Active JP4828499B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US11/580,246 2006-10-13
US11/580,246 US8233155B2 (en) 2006-10-13 2006-10-13 Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method

Publications (2)

Publication Number Publication Date
JP2008096434A JP2008096434A (ja) 2008-04-24
JP4828499B2 true JP4828499B2 (ja) 2011-11-30

Family

ID=39302803

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2007261444A Active JP4828499B2 (ja) 2006-10-13 2007-10-05 検査方法および装置、リソグラフィ装置、リソグラフィ処理セルおよびデバイス製造方法

Country Status (2)

Country Link
US (1) US8233155B2 (ja)
JP (1) JP4828499B2 (ja)

Families Citing this family (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2009288005A (ja) * 2008-05-28 2009-12-10 Asml Netherlands Bv 検査方法および装置、リソグラフィ装置、リソグラフィ処理セルおよびデバイス製造方法
KR101425627B1 (ko) * 2009-10-12 2014-08-13 에이에스엠엘 네델란즈 비.브이. 기판 상에서 대상물의 개략적인 구조를 결정하기 위한 방법, 검사 장치 및 기판
WO2012126718A1 (en) 2011-03-21 2012-09-27 Asml Netherlands B.V. Method and apparatus for determining structure parameters of microstructures
JP6316432B2 (ja) * 2013-12-18 2018-04-25 エーエスエムエル ネザーランズ ビー.ブイ. 検査方法及び装置並びにリソグラフィ装置
US10248029B2 (en) 2015-07-17 2019-04-02 Asml Netherlands B.V. Method and apparatus for inspection and metrology
WO2018050972A1 (fr) 2016-09-16 2018-03-22 Centre National De La Recherche Scientifique Dispositif optique de caractérisation d'un échantillon

Family Cites Families (40)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5703692A (en) 1995-08-03 1997-12-30 Bio-Rad Laboratories, Inc. Lens scatterometer system employing source light beam scanning means
US5880838A (en) 1996-06-05 1999-03-09 California Institute Of California System and method for optically measuring a structure
US5963329A (en) 1997-10-31 1999-10-05 International Business Machines Corporation Method and apparatus for measuring the profile of small repeating lines
US6016684A (en) 1998-03-10 2000-01-25 Vlsi Standards, Inc. Certification of an atomic-level step-height standard and instrument calibration with such standards
US6429943B1 (en) 2000-03-29 2002-08-06 Therma-Wave, Inc. Critical dimension analysis with simultaneous multiple angle of incidence measurements
US6689519B2 (en) 2000-05-04 2004-02-10 Kla-Tencor Technologies Corp. Methods and systems for lithography process control
US6753961B1 (en) 2000-09-18 2004-06-22 Therma-Wave, Inc. Spectroscopic ellipsometer without rotating components
IL138552A (en) 2000-09-19 2006-08-01 Nova Measuring Instr Ltd Measurement of transverse displacement by optical method
US7099005B1 (en) * 2000-09-27 2006-08-29 Kla-Tencor Technologies Corporation System for scatterometric measurements and applications
US6768983B1 (en) 2000-11-28 2004-07-27 Timbre Technologies, Inc. System and method for real-time library generation of grating profiles
US6515744B2 (en) 2001-02-08 2003-02-04 Therma-Wave, Inc. Small spot ellipsometer
WO2002065545A2 (en) 2001-02-12 2002-08-22 Sensys Instruments Corporation Overlay alignment metrology using diffraction gratings
US6699624B2 (en) 2001-02-27 2004-03-02 Timbre Technologies, Inc. Grating test patterns and methods for overlay metrology
US6856408B2 (en) 2001-03-02 2005-02-15 Accent Optical Technologies, Inc. Line profile asymmetry measurement using scatterometry
US6975962B2 (en) * 2001-06-11 2005-12-13 Smartsignal Corporation Residual signal alert generation for condition monitoring using approximated SPRT distribution
US6704661B1 (en) 2001-07-16 2004-03-09 Therma-Wave, Inc. Real time analysis of periodic structures on semiconductors
US6785638B2 (en) 2001-08-06 2004-08-31 Timbre Technologies, Inc. Method and system of dynamic learning through a regression-based library generation process
US7061615B1 (en) 2001-09-20 2006-06-13 Nanometrics Incorporated Spectroscopically measured overlay target
US6608690B2 (en) 2001-12-04 2003-08-19 Timbre Technologies, Inc. Optical profilometry of additional-material deviations in a periodic grating
WO2003054475A2 (en) 2001-12-19 2003-07-03 Kla-Tencor Technologies Corporation Parametric profiling using optical spectroscopic systems
US6986280B2 (en) * 2002-01-22 2006-01-17 Fei Company Integrated measuring instrument
US6772084B2 (en) 2002-01-31 2004-08-03 Timbre Technologies, Inc. Overlay measurements using periodic gratings
US6813034B2 (en) 2002-02-05 2004-11-02 Therma-Wave, Inc. Analysis of isolated and aperiodic structures with simultaneous multiple angle of incidence measurements
US7061627B2 (en) 2002-03-13 2006-06-13 Therma-Wave, Inc. Optical scatterometry of asymmetric lines and structures
US6721691B2 (en) 2002-03-26 2004-04-13 Timbre Technologies, Inc. Metrology hardware specification using a hardware simulator
US6928628B2 (en) 2002-06-05 2005-08-09 Kla-Tencor Technologies Corporation Use of overlay diagnostics for enhanced automatic process control
US7046376B2 (en) 2002-07-05 2006-05-16 Therma-Wave, Inc. Overlay targets with isolated, critical-dimension features and apparatus to measure overlay
US6919964B2 (en) 2002-07-09 2005-07-19 Therma-Wave, Inc. CD metrology analysis using a finite difference method
US7148959B2 (en) 2002-11-01 2006-12-12 Asml Netherlands B.V. Test pattern, inspection method, and device manufacturing method
FR2849181B1 (fr) 2002-12-23 2005-12-23 Commissariat Energie Atomique Procede d'etude des reliefs d'une structure par voie optique
US7068363B2 (en) 2003-06-06 2006-06-27 Kla-Tencor Technologies Corp. Systems for inspection of patterned or unpatterned wafers and other specimen
US7061623B2 (en) 2003-08-25 2006-06-13 Spectel Research Corporation Interferometric back focal plane scatterometry with Koehler illumination
US7791727B2 (en) 2004-08-16 2010-09-07 Asml Netherlands B.V. Method and apparatus for angular-resolved spectroscopic lithography characterization
JP2006064496A (ja) 2004-08-26 2006-03-09 Hitachi Ltd 磁気ディスク基板の表面粗さ測定方法および測定装置並びに磁気ディスクの製造方法
US20060109463A1 (en) 2004-11-22 2006-05-25 Asml Netherlands B.V. Latent overlay metrology
US7453577B2 (en) 2004-12-14 2008-11-18 Asml Netherlands B.V. Apparatus and method for inspecting a patterned part of a sample
ATE475862T1 (de) * 2005-02-25 2010-08-15 Nanometrics Inc Vorrichtung und verfahren zur verbesserten critical-dimension-scatterometrie
US7324193B2 (en) 2006-03-30 2008-01-29 Tokyo Electron Limited Measuring a damaged structure formed on a wafer using optical metrology
US7576851B2 (en) * 2006-03-30 2009-08-18 Tokyo Electron Limited Creating a library for measuring a damaged structure formed on a wafer using optical metrology
US7532331B2 (en) * 2006-09-14 2009-05-12 Asml Netherlands B.V. Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method

Also Published As

Publication number Publication date
US20080088854A1 (en) 2008-04-17
US8233155B2 (en) 2012-07-31
JP2008096434A (ja) 2008-04-24

Similar Documents

Publication Publication Date Title
JP5016579B2 (ja) モデルの自由及び固定パラメータの選択を支援する方法、特性を測定する方法、デバイス製造方法、分光計及びリソグラフィ装置
JP5100887B2 (ja) 基板のモデルを評価する方法
US7460237B1 (en) Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method
US8111398B2 (en) Method of measurement, an inspection apparatus and a lithographic apparatus
JP4802167B2 (ja) 検査方法および装置、リソグラフィ装置、リソグラフィ処理セルおよびデバイス製造方法
US9798250B2 (en) Lithographic apparatus for measuring overlay error and a device manufacturing method
US8390823B2 (en) Method, inspection apparatus and substrate for determining an approximate structure of an object on a substrate
US9529278B2 (en) Inspection apparatus to detect a target located within a pattern for lithography
JP4875685B2 (ja) ターゲットパターンのパラメータを割り出す方法、ライブラリを生成する方法、検査装置、リソグラフィ装置、リソグラフィセル、及びコンピュータプログラム
JP2008139303A (ja) 検査方法、検査装置、リソグラフィ装置、リソグラフィ処理セル、およびデバイス製造方法
JP4828499B2 (ja) 検査方法および装置、リソグラフィ装置、リソグラフィ処理セルおよびデバイス製造方法
US7557934B2 (en) Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method
KR20110110263A (ko) 특성을 결정하는 방법
US8502955B2 (en) Method of determining a characteristic
US20120033223A1 (en) Inspection Method and Apparatus, Lithographic Apparatus, Lithographic Processing Cell and Device Manufacturing Method for Determining a Property of a Substrate

Legal Events

Date Code Title Description
A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20100205

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20100430

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20100621

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20100916

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20101215

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20110314

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20110816

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20110914

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20140922

Year of fee payment: 3

R150 Certificate of patent or registration of utility model

Ref document number: 4828499

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250