JP4792716B2 - Semiconductor device and manufacturing method thereof - Google Patents

Semiconductor device and manufacturing method thereof Download PDF

Info

Publication number
JP4792716B2
JP4792716B2 JP2004198842A JP2004198842A JP4792716B2 JP 4792716 B2 JP4792716 B2 JP 4792716B2 JP 2004198842 A JP2004198842 A JP 2004198842A JP 2004198842 A JP2004198842 A JP 2004198842A JP 4792716 B2 JP4792716 B2 JP 4792716B2
Authority
JP
Japan
Prior art keywords
insulating film
field effect
effect transistor
layer
insulated gate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP2004198842A
Other languages
Japanese (ja)
Other versions
JP2006024594A (en
Inventor
謙三 間部
信行 五十嵐
拓也 吉原
平司 渡部
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
NEC Corp
Original Assignee
NEC Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by NEC Corp filed Critical NEC Corp
Priority to JP2004198842A priority Critical patent/JP4792716B2/en
Publication of JP2006024594A publication Critical patent/JP2006024594A/en
Application granted granted Critical
Publication of JP4792716B2 publication Critical patent/JP4792716B2/en
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/517Insulating materials associated therewith the insulating material comprising a metallic compound, e.g. metal oxide, metal silicate

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Ceramic Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)

Description

本発明は、pチャネル型絶縁ゲート電界効果トランジスタ(Metal Insu1ator Semiconductor Field Effect Transistor:MISFET)、または、nチャネル型絶縁ゲート電界効果トランジスタおよびpチャネル型絶縁ゲート電界効果トランジスタを備え、各電界効果トランジスタのゲート電極を金属材料により形成した半導体装置ならびにその製造方法に関し、特に、各電界効果トランジスタのしきい値電圧を低減させてその高速化を図った半導体装置ならびにその製造方法に関する。   The present invention includes a p-channel insulated gate field effect transistor (MISFET) or an n-channel insulated gate field effect transistor and a p-channel insulated gate field effect transistor. The present invention relates to a semiconductor device in which a gate electrode is formed of a metal material and a manufacturing method thereof, and more particularly to a semiconductor device in which a threshold voltage of each field effect transistor is reduced to increase the speed and a manufacturing method thereof.

半導体装置の集積密度を向上させ、性能を向上させるために、半導体装置の構成要素であるMISFETの微細化が進んでいる。しかし、MISFETを微細化すると、短チャネル効果の影響が大きくなるため、その抑制が重要な課題となる。短チャネル効果を抑制する方法として、所謂スケーリング則に従った方法がいくつか提案されているが、その一つにゲート絶縁膜の薄膜化がある。この方法は、ゲート絶縁膜を薄くすることにより、ゲート絶縁膜への電圧の印加によるSi基板中に形成される空乏層の制御を容易にし、短チャネル効果を抑制するものである。しかしながら、MISFETのゲート電極を不純物ドープされたポリシリコンにより形成する場合には、ゲート絶縁膜の薄膜化によって相対的にゲート電極にかかる電場が強くなると、ゲート電極中にも空乏層が形成される現象が発生する。   In order to improve the integration density of semiconductor devices and improve performance, miniaturization of MISFETs which are constituent elements of semiconductor devices is progressing. However, when the MISFET is miniaturized, the influence of the short channel effect becomes large, and its suppression becomes an important issue. Several methods according to the so-called scaling law have been proposed as a method for suppressing the short channel effect, and one of them is to make the gate insulating film thinner. This method makes it easy to control the depletion layer formed in the Si substrate by applying a voltage to the gate insulating film by reducing the thickness of the gate insulating film, and suppresses the short channel effect. However, when the gate electrode of the MISFET is formed of polysilicon doped with impurities, a depletion layer is also formed in the gate electrode when the electric field applied to the gate electrode becomes relatively strong due to the thinning of the gate insulating film. The phenomenon occurs.

この結果、ゲート絶縁膜が実質的に厚くなってしまう。そこで、このゲート電極空乏化の問題を解決するために、ゲート電極を金属材料により形成することが提案されている。金属材料により形成されたメタルゲート電極には、上記ゲート電極空乏化を抑制できることの他にも、ゲート電極抵抗の低減およびボロンの突き抜けの抑制を図れるという利点がある。このため、MIS半導体装置の開発初期には、Al、W、WTi又はこれらの窒化物等からなるメタルゲート電極が使用されていた。しかしながら、メタルゲート電極には次のような問題点がある。例えば、A1は融点が約660℃と低いため、ソースおよびドレインの活性化等を目的として、温度が400℃以上となる熱処理を行うと、ゲート電極の断線および周辺領域へのA1原子の拡散等の問題が発生する。また、Wは酸化によりその特性が変化してしまうという問題点がある。更に、WおよびWTiは、酸洗浄を行うと溶解してしまい耐洗浄性が低いという問題点がある。そこで、IV族遷移金属の窒化物や珪化物が、i.化学的に安定かつ高い融点を有し、ii.電気伝導性が良好であり、iii.HfSiOなどの有望なhigh-kゲート絶縁膜上で高い耐熱性を持つためメタルゲート材料として注目されている。しかしながら、これらの金属化合物の仕事関数はSiミッドギャップ付近であるためその仕事関数の制御が必要であり、窒素などの不純物注入による仕事関数制御が提案されている(例えば、非特許文献1参照)。非特許文献1によれば、TiN膜をn型MISFETおよびp型MISFETのゲート電極として成膜した後に、n型MISFETのゲート電極にのみに窒素をイオン注入してこのゲート電極の仕事関数を制御している。
H. Wakabayashi et al.,“A Nobel W/TiNx Metal Gate CMOS Technology using Nitrogen-Concentration-Controlled TiNx Film”, International Electron Devices Meeting 1999 Technical Digest pp.253-256(1999)
As a result, the gate insulating film becomes substantially thick. In order to solve the problem of depletion of the gate electrode, it has been proposed to form the gate electrode from a metal material. The metal gate electrode formed of a metal material has an advantage that the gate electrode depletion can be suppressed and the gate electrode resistance can be reduced and boron penetration can be suppressed. For this reason, at the early stage of development of the MIS semiconductor device, a metal gate electrode made of Al, W, WTi, or a nitride thereof has been used. However, the metal gate electrode has the following problems. For example, since A1 has a low melting point of about 660 ° C, if heat treatment is performed at a temperature of 400 ° C or higher for the purpose of activating the source and drain, etc., disconnection of the gate electrode and diffusion of A1 atoms into the peripheral region, etc. Problems occur. Further, W has a problem that its characteristics change due to oxidation. Furthermore, W and WTi have a problem that they are dissolved when acid cleaning is performed and the cleaning resistance is low. Therefore, group IV transition metal nitrides and silicides are i. Chemically stable and have a high melting point, ii. Good electrical conductivity, and iii. Promising high-k gate insulation such as HfSiO 2 It has attracted attention as a metal gate material because it has high heat resistance on the film. However, since the work function of these metal compounds is in the vicinity of the Si mid gap, it is necessary to control the work function, and work function control by implanting impurities such as nitrogen has been proposed (see, for example, Non-Patent Document 1). . According to Non-Patent Document 1, after forming a TiN film as a gate electrode of an n-type MISFET and a p-type MISFET, nitrogen is ion-implanted only into the gate electrode of the n-type MISFET to control the work function of the gate electrode. is doing.
H. Wakabayashi et al., “A Nobel W / TiNx Metal Gate CMOS Technology using Nitrogen-Concentration-Controlled TiNx Film”, International Electron Devices Meeting 1999 Technical Digest pp.253-256 (1999)

しかし、上述した窒素をイオン注入するしきい値制御方法は制御幅が±0. 1 eV程度であるため、低しきい値電圧を実現することができず、その用途が低速用CMOSに制限されている。また絶縁膜中への窒素混入による信頼性劣化も懸念される。本発明はかかる問題点に鑑みてなされたものであって、しきい値電圧が低く、製造が容易で製造コストが低くて歩留りが高く、ゲート絶縁膜の信頼性が高い半導体装置およびその製造方法を提供することを目的とする。   However, the above-mentioned threshold control method for ion implantation of nitrogen has a control width of about ± 0.1 eV, so a low threshold voltage cannot be realized, and its application is limited to low-speed CMOS. ing. There is also concern about reliability deterioration due to nitrogen mixing in the insulating film. The present invention has been made in view of such problems, and a semiconductor device having a low threshold voltage, easy manufacturing, low manufacturing cost, high yield, and high gate insulating film reliability, and a manufacturing method thereof The purpose is to provide.

上記の目的を達成するため、本発明によれば、nチャネル型絶縁ゲート電界効果トランジスタとpチャネル型絶縁ゲート電界効果トランジスタとを有し、それらのトランジスタのゲート電極が導電性材料層と、該導電性材料層とゲート絶縁膜との界面に形成された界面層とを有しており、それらのトランジスタの前記導電性材料層が主成分としてIV族遷移金属を含んでおり、nチャネル型絶縁ゲート電界効果トランジスタの前記界面層がIV族遷移金属と酸素とを含んでおり、かつ、pチャネル型絶縁ゲート電界効果トランジスタの前記界面層がIV族遷移金属と酸素と金属的シリコン(酸化数が0)とを含んでいることを特徴とする半導体装置、が提供される。
そして、好ましくは、前記ゲート絶縁膜は高比誘電率膜を有する。また、好ましくは、前記nチャネル型絶縁ゲート電界効果トランジスタのゲート絶縁膜の前記界面層と接触する層が酸化ジルコニウム若しくは酸化ハフニウムにより形成、前記pチャネル型絶縁ゲート電界効果トランジスタのゲート絶縁膜の前記界面層と接触する層がジルコニウムシリケート若しくはハフニウムシリケートにより形成されている。
In order to achieve the above object, according to the present invention, an n-channel insulated gate field effect transistor and a p-channel insulated gate field effect transistor are provided, and the gate electrode of these transistors is a conductive material layer, An interface layer formed at the interface between the conductive material layer and the gate insulating film, and the conductive material layer of those transistors contains a group IV transition metal as a main component, and an n-channel type insulation The interface layer of the gate field effect transistor includes a group IV transition metal and oxygen, and the interface layer of the p-channel insulated gate field effect transistor includes a group IV transition metal, oxygen, and metallic silicon (with an oxidation number of 0) is provided.
Preferably, the gate insulating film has a high dielectric constant film. Preferably, the layer in contact with the interface layer of the gate insulating film of the n-channel type insulated gate field effect transistor is formed of zirconium oxide or hafnium oxide, and the gate insulating film of the p-channel type insulated gate field effect transistor is The layer in contact with the interface layer is formed of zirconium silicate or hafnium silicate.

また、上記の目的を達成するため、本発明によれば、
(1)nチャネル型絶縁ゲート電界効果トランジスタ形成領域に最表面がシリコンを含まない高比誘電率絶縁膜からなるゲート絶縁膜を、pチャネル型絶縁ゲート電界効果トランジスタ形成領域に最表面がシリコンを含む高比誘電率絶縁膜からなるゲート絶縁膜をそれぞれ形成する工程と、
(2)少なくともゲート絶縁膜と接触する部分がIV族遷移金属を含んでいる導電性材料層を形成する工程と、
(3)前記導電性材料層をnチャネル型絶縁ゲート電界効果トランジスタおよびpチャネル型絶縁ゲート電界効果トランジスタのゲート電極形状にパターニングする工程と、
(4)nチャネル型絶縁ゲート電界効果トランジスタおよびpチャネル型絶縁ゲート電界効果トランジスタのソース・ドレイン領域を形成する工程と、
を有する半導体装置の製造方法であって、nチャネル型絶縁ゲート電界効果トランジスタ形成領域の導電性材料層とゲート絶縁膜との間にIV族遷移金属と酸素とが含まれる界面層を、pチャネル型絶縁ゲート電界効果トランジスタ形成領域の導電性材料層とゲート絶縁膜との間にIV族遷移金属と酸素と金属的シリコン(酸化数が0)が含まれる界面層をそれぞれ形成する熱処理工程が含まれることを特徴とする半導体装置の製造方法、が提供される。
In order to achieve the above object, according to the present invention,
(1) The n channel type insulated gate field effect transistor forming region has a gate insulating film made of a high relative dielectric constant insulating film not containing silicon, and the p channel type insulated gate field effect transistor forming region has silicon on the outermost surface. Forming a gate insulating film comprising a high relative dielectric constant insulating film,
(2) forming a conductive material layer in which at least a portion in contact with the gate insulating film contains a group IV transition metal;
(3) patterning the conductive material layer into gate electrode shapes of an n-channel insulated gate field effect transistor and a p-channel insulated gate field effect transistor;
(4) forming source / drain regions of the n-channel insulated gate field effect transistor and the p-channel insulated gate field effect transistor;
And a p-channel interface layer containing a Group IV transition metal and oxygen between the conductive material layer and the gate insulating film in the n-channel insulated gate field effect transistor formation region. Includes a heat treatment step of forming an interface layer containing a group IV transition metal, oxygen, and metallic silicon (with an oxidation number of 0) between the conductive material layer and the gate insulating film in the type insulating gate field effect transistor formation region A method for manufacturing a semiconductor device is provided.

また、上記の目的を達成するため、本発明によれば、
(1′)nチャネル型絶縁ゲート電界効果トランジスタ形成領域およびpチャネル型絶縁ゲート電界効果トランジスタ形成領域にダミーゲートを形成する工程と、
(2′)nチャネル型絶縁ゲート電界効果トランジスタ形成領域およびpチャネル型絶縁ゲート電界効果トランジスタ形成領域にソース・ドレイン領域を形成する工程と、
(3′)前記ダミーゲートを埋め込む絶縁膜を成膜し前記ダミーゲートをエッチング除去してゲート電極形成領域に開口を有する層間絶縁膜を形成する工程と、
(4′)pチャネル型絶縁ゲート電界効果トランジスタ形成領域に最表面がシリコンを含まない高比誘電率絶縁膜からなるゲート絶縁膜を、pチャネル型絶縁ゲート電界効果トランジスタ形成領域に最表面がシリコンを含む高比誘電率絶縁膜からなるゲート絶縁膜をそれぞれ形成する工程と、
(5′)少なくともゲート絶縁膜と接触する部分がIV族遷移金属を含んでいる導電性材料層を形成する工程と、
(6′)前記導電性材料層をnチャネル型絶縁ゲート電界効果トランジスタおよびpチャネル型絶縁ゲート電界効果トランジスタのゲート電極形状にパターニングする工程と、
を有する半導体装置の製造方法であって、nチャネル型絶縁ゲート電界効果トランジスタ形成領域の導電性材料層とゲート絶縁膜との間にIV族遷移金属と酸素とが含まれる界面層を、pチャネル型絶縁ゲート電界効果トランジスタ形成領域の導電性材料層とゲート絶縁膜との間にIV族遷移金属と酸素と金属的シリコン(酸化数が0)が含まれる界面層をそれぞれ形成する熱処理工程が含まれることを特徴とする半導体装置の製造方法、が提供される。
In order to achieve the above object, according to the present invention,
(1 ′) forming a dummy gate in the n-channel insulated gate field effect transistor forming region and the p-channel insulated gate field effect transistor forming region;
(2 ′) forming a source / drain region in the n-channel insulated gate field effect transistor forming region and the p-channel insulated gate field effect transistor forming region;
(3 ′) forming an insulating film for embedding the dummy gate, etching away the dummy gate, and forming an interlayer insulating film having an opening in a gate electrode formation region;
(4 ′) The p-channel insulated gate field effect transistor formation region has a gate insulating film made of a high dielectric constant insulating film that does not contain silicon, and the p-channel insulated gate field effect transistor formation region has a silicon outermost surface. Forming a gate insulating film comprising a high relative dielectric constant insulating film containing
(5 ′) forming a conductive material layer in which at least a portion in contact with the gate insulating film contains a group IV transition metal;
(6 ′) patterning the conductive material layer into gate electrode shapes of an n-channel insulated gate field effect transistor and a p-channel insulated gate field effect transistor;
And a p-channel interface layer containing a Group IV transition metal and oxygen between the conductive material layer and the gate insulating film in the n-channel insulated gate field effect transistor formation region. Includes a heat treatment step of forming an interface layer containing a group IV transition metal, oxygen, and metallic silicon (with an oxidation number of 0) between the conductive material layer and the gate insulating film in the type insulating gate field effect transistor formation region A method for manufacturing a semiconductor device is provided.

本発明によれば、pチャネル型絶縁ゲート電界効果トランジスタのIV族遷移金属を含むゲート電極導電性材料層とゲート絶縁膜との間に存在する界面層が、IV族遷移金属酸化物と金属的シリコン(酸化数が0)を含んでいる場合、ゲート電極の仕事関数は4.7〜5 eV程度となりしきい値を低くできるため、pチャネル型絶縁ゲート電界効果トランジスタの高速動作を実現させることができる。また、nチャネル型絶縁ゲート電界効果トランジスタのIV族遷移金属を含むゲート電極導電性材料層とゲート絶縁膜との間に存在する界面層がIV族遷移金属酸化物を含み金属的シリコンを含まない場合、ゲート電極の仕事関数は3.8〜4 .2eV程度となりしきい値を低くできるため、nチャネル型絶縁ゲート電界効果トランジスタの高速動作を実現させることができる。さらに、本発明の半導体装置の製造方法によれば、ゲート電極のゲート絶縁膜との間に生成される界面層を、IV族遷移金属を主成分とするn型MISFET用ゲート電極材料層およびp型MISFET用ゲート電極材料層とゲート絶縁膜との界面での自己整合的な界面反応によって形成している。その結果しきい値のばらつきを抑制し歩留りを向上させつつ、nおよびpチャネル型絶縁ゲート電界効果トランジスタのしきい値電圧を低くすることができる。そして、これらのメタルゲート電極においては、ゲート電極空乏化が起こらないため、ゲート長が0.1μm以下の半導体デバイスの高速化に適している。   According to the present invention, the interface layer existing between the gate electrode conductive material layer containing the group IV transition metal and the gate insulating film of the p-channel type insulated gate field effect transistor is metallized with the group IV transition metal oxide. When silicon (the oxidation number is 0) is included, the work function of the gate electrode is about 4.7 to 5 eV and the threshold value can be lowered, so that the p-channel insulated gate field effect transistor can be operated at high speed. . In addition, the interface layer existing between the gate electrode conductive material layer containing the group IV transition metal and the gate insulating film of the n-channel insulated gate field effect transistor contains the group IV transition metal oxide and does not contain metallic silicon. In this case, since the work function of the gate electrode is about 3.8 to 4.2 eV and the threshold value can be lowered, high-speed operation of the n-channel insulated gate field effect transistor can be realized. Furthermore, according to the method for manufacturing a semiconductor device of the present invention, the interface layer formed between the gate electrode and the gate insulating film is formed of an n-type MISFET gate electrode material layer mainly composed of a group IV transition metal and p. It is formed by a self-aligned interface reaction at the interface between the gate electrode material layer for the MISFET and the gate insulating film. As a result, the threshold voltage of the n- and p-channel insulated gate field effect transistors can be lowered while suppressing variations in threshold and improving the yield. Since these metal gate electrodes do not deplete the gate electrode, they are suitable for increasing the speed of a semiconductor device having a gate length of 0.1 μm or less.

本発明者等は、前述の課題を解決するために鋭意実験研究を重ね、IV族遷移金属を主成分として含む導電性の膜により形成されるゲート電極の実効的な仕事関数がゲート電極の導電性材料層とゲート絶縁膜との間に存在する界面層によって決定されていることを以下のような実験により見出し、これにより、n型MISFETのゲート電極と、p型MISFETのゲート電極とを作り分けることができることを確認した。
図1は実験で用いたTiN/Hfシリケート積層構造である。図1に示すように、Si基板51上に、SiO層52を約1.3nmの膜厚に成長させた後、下地Hfシリケート(HfSiO)層53を約1.3nmの膜厚に、表面Hfシリケート層54を約0.5nmの膜厚に成長させ、その上にTiN電極55を形成した。この実験ではTiN/Hfシリケート積層構造界面での界面層の形成を制御するため、表面Hfシリケート層54のSi組成を45%、0%と変化させ、TEM-EELS(Transmission Electron Microscopy-Electron Energy-Loss Spectroscopy:透過型電子顕微鏡−電子エネルギー損失分光法)により評価を行った。図2(a)、(b)は、各々表面Hfシリケート層がHfSiO(Si/(Si+Hf)=45原子%(0.5nm)およびHfO (0.5nm)である試料のTiN/絶縁膜界面のTi L-edge EELS測定結果を示すグラフである。図中には各ピークを分離した結果も掲載している。また比較のため、TiN電極内部での測定結果も示した。測定に用いたプローブ径は1nmよりも十分小さく、空間分解能は1nm程度である。いずれの試料でもTiN電極内部では、LおよびL edgeのピークが観察される。一方、いずれの場合でも、TiN/絶縁膜界面ではL edgeのピークがL21とL22に、L edgeのピークがL31とL32に***し低エネルギー側に肩が現われている。これはいずれの場合にもTiN/絶縁膜界面においてTiO2-x(0<x<2)が形成されていることを示す。
In order to solve the above-mentioned problems, the present inventors have conducted extensive experimental research, and the effective work function of a gate electrode formed by a conductive film containing a group IV transition metal as a main component is the conductivity of the gate electrode. It is found by the following experiment that it is determined by the interface layer existing between the conductive material layer and the gate insulating film, and thereby, the gate electrode of the n-type MISFET and the gate electrode of the p-type MISFET are formed. Confirmed that it can be divided.
FIG. 1 shows a TiN / Hf silicate laminated structure used in the experiment. As shown in FIG. 1, after a SiO 2 layer 52 is grown to a thickness of about 1.3 nm on a Si substrate 51, a base Hf silicate (HfSiO 2 ) layer 53 is grown to a thickness of about 1.3 nm and a surface Hf. A silicate layer 54 was grown to a thickness of about 0.5 nm, and a TiN electrode 55 was formed thereon. In this experiment, in order to control the formation of the interface layer at the interface of the TiN / Hf silicate laminated structure, the Si composition of the surface Hf silicate layer 54 was changed to 45% and 0%, and TEM-EELS (Transmission Electron Microscopy-Electron Energy- Loss Spectroscopy: transmission electron microscope-electron energy loss spectroscopy). FIGS. 2A and 2B show the sample TiN / insulating film in which the surface Hf silicate layer is HfSiO 2 (Si / (Si + Hf) = 45 atomic% (0.5 nm) and HfO 2 (0.5 nm), respectively. It is a graph showing the Ti L-edge EELS measurement result of the interface, which also shows the result of separating each peak, and also shows the measurement result inside the TiN electrode for comparison. The probe diameter is sufficiently smaller than 1 nm and the spatial resolution is about 1 nm In both samples, the peaks of L 2 and L 3 edge are observed inside the TiN electrode. At the film interface, the L 2 edge peak is split into L 21 and L 22 and the L 3 edge peak is split into L 31 and L 32 , and a shoulder appears on the low energy side in both cases. It shows that TiO 2-x (0 <x <2) is formed at the film interface.

図3(a)、(b)は、各試料のTiN/絶縁膜界面のSi L-edge EELS測定結果を示すグラフである。また、比較のため、絶縁膜内部での測定結果も示した。いずれの場合でも絶縁膜内部では、108 eV近傍から立ちあがる、酸化数が4のシリコン(Si4+と記す)のピークが観察される。ところが界面のスペクトルは各試料で異なる。表面Hfシリケート層がHfSiO(Si/(Si+Hf)=45原子% 0.5nm)である試料のTiN/絶縁膜界面のスペクトルでは、100 eV近傍から立ちあがる、金属的なシリコン(酸化数が0のシリコン、Si0と記す)のピークが観察されるが、表面Hfシリケート層がHfO2である試料では金属的なシリコンは観測されない。この結果は、表面層にSiが含まれるとSiO2はTiO2よりも酸化物として不安定なため、電極中のTiによる表面層の還元が起き、その結果金属的なシリコンが生成されていることを示す。よって、図2の結果と合わせて表面Hfシリケート層がHfSiOである試料の場合には、界面にSi0を含む金属酸化物TiO2-xが数原子層形成されることが分かる。一方、表面Hfシリケート層がHfOである試料の場合には、界面にTiO2-xが数原子層形成されることが分かる。 FIGS. 3A and 3B are graphs showing Si L-edge EELS measurement results at the TiN / insulating film interface of each sample. For comparison, measurement results inside the insulating film are also shown. In any case, a peak of silicon having an oxidation number of 4 (referred to as Si 4+ ) rising from around 108 eV is observed inside the insulating film. However, the spectrum of the interface is different for each sample. In the spectrum of the TiN / insulating film interface of the sample whose surface Hf silicate layer is HfSiO 2 (Si / (Si + Hf) = 45 atomic% 0.5 nm), metallic silicon (oxidation number is 0) rising from around 100 eV. silicon no peak Si 0 and denoted) is observed, the surface Hf silicate layer is not observed metallic silicon in the sample is HfO 2. As a result, when Si is contained in the surface layer, SiO 2 is more unstable as an oxide than TiO 2 , so that reduction of the surface layer by Ti in the electrode occurs, and as a result, metallic silicon is generated. It shows that. Therefore, it can be seen from the results of FIG. 2 that in the case of the sample whose surface Hf silicate layer is HfSiO 2 , several atomic layers of metal oxide TiO 2 -x containing Si 0 at the interface are formed. On the other hand, in the case of the sample whose surface Hf silicate layer is HfO 2 , it can be seen that several atomic layers of TiO 2 -x are formed at the interface.

図4は、TiNの仕事関数の表面Hfシリケート組成依存性を示すグラフである。図4より表面層中の Si濃度が0 (つまり表面層がHfO2)の場合、仕事関数は3.9 eVであるが、表面層にSiが含まれる場合Si濃度によらずTiNの仕事関数は約4.7 eVの一定値をとる。以上の結果より、TiNとHfシリケートとの間にSi0を含む金属酸化物TiO2-xが数原子層形成されていると、Si0を含まないTiO2-xが形成されている場合に比べしきい値を約0.8 eV程度増加することが確認された。
他のIV族遷移金属でも同様な効果が得られる。
FIG. 4 is a graph showing the dependence of the work function of TiN on the surface Hf silicate composition. As shown in FIG. 4, when the Si concentration in the surface layer is 0 (that is, the surface layer is HfO 2 ), the work function is 3.9 eV. However, when the surface layer contains Si, the work function of TiN is approximately equal regardless of the Si concentration. 4.7 Takes a constant value of eV. These results, when the metal oxides TiO 2-x containing Si 0 between the TiN and the Hf silicate are formed several atomic layers, when the TiO 2-x containing no Si 0 is formed It was confirmed that the threshold value was increased by about 0.8 eV.
Similar effects can be obtained with other Group IV transition metals.

よって、nチャネル型絶縁ゲート電界効果トランジスタのゲート電極が、IV族遷移金属を主成分として含む導電性材料層と、ゲート絶縁膜との間に存在するIV族遷移金属と酸素より構成される界面層とからなる場合、ゲート電極の仕事関数は3.8〜4 .2eV程度となりしきい値を低くできる。このためnチャネル型絶縁ゲート電界効果トランジスタの高速動作を実現させることができる。
また、pチャネル型絶縁ゲート電界効果トランジスタのゲート電極が、IV族遷移金属を主成分として含む導電性材料層と、ゲート絶縁膜との間に存在するIV族遷移金属と酸素および金属的シリコン(酸化数が0)から構成される界面層とからなる場合、ゲート電極の仕事関数は4.7〜5 eV程度となりしきい値を低くできる。このためpチャネル型絶縁ゲート電界効果トランジスタの高速動作を実現させることができる。
Therefore, the gate electrode of the n-channel insulated gate field effect transistor is an interface composed of a group IV transition metal and oxygen existing between the conductive material layer containing a group IV transition metal as a main component and the gate insulating film. In the case of a layer, the work function of the gate electrode is about 3.8 to 4.2 eV, and the threshold can be lowered. Therefore, high-speed operation of the n-channel insulated gate field effect transistor can be realized.
In addition, the gate electrode of the p-channel insulated gate field effect transistor has a group IV transition metal, oxygen, and metallic silicon existing between a conductive material layer containing a group IV transition metal as a main component and a gate insulating film ( In the case of an interface layer composed of an oxidation number of 0), the work function of the gate electrode is about 4.7 to 5 eV, and the threshold can be lowered. Therefore, high-speed operation of the p-channel insulated gate field effect transistor can be realized.

また、本発明者等は、特にゲート絶縁膜がジルコニウム若しくはハフニウムを含むと耐熱性が向上することを確認した。図5は、TiN/HfSiO積層構造(Si/(Hf+Si)=13原子%)の仕事関数のアニール温度依存性を示すグラフである。アニール温度は10秒とした。図5より1000℃アニールによる仕事関数変化は、0.1 eV程度である。また、1000℃アニールによって絶縁破壊は起こらないことを確認した。セルフアラインプロセスで微細CMOSを作製する場合、ゲート形成後1000℃程度の熱処理が必要なことから、ゲート絶縁膜がジルコニウム若しくはハフニウムを含むことが好ましい。 Further, the present inventors have confirmed that the heat resistance is improved particularly when the gate insulating film contains zirconium or hafnium. FIG. 5 is a graph showing the annealing temperature dependence of the work function of the TiN / HfSiO 2 laminated structure (Si / (Hf + Si) = 13 atomic%). The annealing temperature was 10 seconds. From FIG. 5, the work function change by 1000 ° C. annealing is about 0.1 eV. It was also confirmed that dielectric breakdown did not occur by 1000 ° C annealing. In the case of manufacturing a fine CMOS by a self-alignment process, it is preferable that the gate insulating film contains zirconium or hafnium because heat treatment at about 1000 ° C. is necessary after forming the gate.

図6(a)は、本発明の参考例を示すp型MISFETの断面図である。本参考例のp型MISFETにおいては、シリコン基板101上に形成されたn型ウエル101bの素子分離領域102によって区画された領域上にゲート絶縁膜104を介してゲート電極105pが形成されており、ゲート電極105pの両側のシリコン基板表面領域内にソース・ドレイン領域となるp型不純物拡散層103が形成されている。ゲート絶縁膜104は、基板側界面層104a、高比誘電率絶縁膜104bから構成される。また、ゲート電極105pは、メタルゲートであって下層電極層105aを有し、必須のものではないが上層電極層105bを有し、さらに下層電極層105aとゲート絶縁膜104との界面に電極側界面層105cを有する。
基板側界面層104aは、SiO層、SiN層、SiON層等からなり、不可避的に形成される自然酸化膜を含むものである。高比誘電率絶縁膜104bは、少なくとも最上層部分がSiを含む絶縁膜であることが望ましく、より好ましくはジルコニウムシリケート若しくはハフニウムシリケートである。高比誘電率絶縁膜104bの最上層部分がSiを含む絶縁膜であることが好ましいのは、界面反応によって電極側界面層を形成するとき、p型MISFET形成領域に自己整合的にSi0を含む層を形成することができるからである。高比誘電率絶縁膜104bは2層以上の積層膜であってもよく、その場合最上層以外の高比誘電率絶縁膜の材料は特に限定されないが、最下層の高比誘電率絶縁膜は、シリコン基板との界面特性を良好に保つことのできる材料であることが好ましい。
FIG. 6A is a cross-sectional view of a p-type MISFET showing a reference example of the present invention. In the p-type MISFET of this reference example, the gate electrode 105p is formed on the region partitioned by the element isolation region 102 of the n-type well 101b formed on the silicon substrate 101 via the gate insulating film 104. A p-type impurity diffusion layer 103 serving as a source / drain region is formed in the surface region of the silicon substrate on both sides of the gate electrode 105p. The gate insulating film 104 includes a substrate side interface layer 104a and a high relative dielectric constant insulating film 104b. The gate electrode 105p is a metal gate and has a lower electrode layer 105a. Although it is not essential, the gate electrode 105p has an upper electrode layer 105b. Further, the gate electrode 105p has an electrode side at the interface between the lower electrode layer 105a and the gate insulating film 104. An interface layer 105c is provided.
The substrate-side interface layer 104a is composed of a SiO 2 layer, a SiN layer, a SiON layer, and the like, and includes a natural oxide film that is unavoidably formed. The high relative dielectric constant insulating film 104b is desirably an insulating film in which at least the uppermost layer portion contains Si, and more preferably zirconium silicate or hafnium silicate. The uppermost layer portion of the high dielectric constant insulating film 104b is preferably an insulating film containing Si. When the electrode-side interface layer is formed by an interface reaction, Si 0 is self-aligned in the p-type MISFET formation region. This is because a layer including it can be formed. The high relative dielectric constant insulating film 104b may be a laminated film of two or more layers. In this case, the material of the high relative dielectric constant insulating film other than the uppermost layer is not particularly limited. It is preferable that the material can maintain good interface characteristics with the silicon substrate.

下層電極層105aは、IV族遷移金属を含むものであって、好ましくはIV族遷移金属窒化物若しくはIV族遷移金属珪化物であり、一層好ましくはTiN、HfNである。ゲート電極が2層以上の導電層を含む場合、上層電極層105bには、下層電極層105aより導電性の高い材料が選択される。また、特にソース・ドレイン領域を形成するための不純物の活性化工程の後にゲート電極を形成する場合には耐熱性の低い材料を選択することもできる。
電極側界面層104cは、IV族遷移金属と酸素とSi0とを含む層である。ここで、IV族遷移金属と酸素とは、TiO2-x(0<x<2)の態様で含まれている。この界面層は、高比誘電率絶縁膜104bの最上層部分と下層電極層105aの最下層部分との熱処理による界面反応によって形成することもできる。その場合には高比誘電率絶縁膜104bの最上層部分がSiを含んでいる必要がある。すなわち、金属シリケート膜である必要がある。そして、この場合、p型MISFET形成領域のゲート電極に自己整合的にSi0を含む界面層が形成される。
下層電極層105aがIV族遷移金属を主成分として含み電極側界面層105cがSi0を含むIV族遷移金属酸化物により構成されるとき、ゲート電極の仕事関数は4.7〜5 eV程度となりしきい値を低くできるため、pチャネル型絶縁ゲート電界効果トランジスタの高速動作を実現させることができる。
The lower electrode layer 105a contains a group IV transition metal, preferably a group IV transition metal nitride or a group IV transition metal silicide, and more preferably TiN or HfN. When the gate electrode includes two or more conductive layers, a material having higher conductivity than the lower electrode layer 105a is selected for the upper electrode layer 105b. In particular, when the gate electrode is formed after the impurity activation process for forming the source / drain regions, a material having low heat resistance can be selected.
Electrode side interface layer 104c is a layer containing a Group IV transition metal and oxygen and Si 0. Here, the group IV transition metal and oxygen are contained in the form of TiO 2-x (0 <x <2). This interface layer can also be formed by an interface reaction by heat treatment between the uppermost layer portion of the high relative dielectric constant insulating film 104b and the lowermost layer portion of the lower electrode layer 105a. In that case, the uppermost layer portion of the high dielectric constant insulating film 104b needs to contain Si. That is, it must be a metal silicate film. In this case, an interface layer containing Si 0 is formed in a self-aligned manner on the gate electrode in the p-type MISFET formation region.
When the lower electrode layer 105a is an electrode-side interface layer 105c includes as main components a transition metal of group IV is formed by group IV transition metal oxide containing Si 0, the work function of the gate electrode threshold becomes about 4.7 to 5 eV Since the value can be lowered, high-speed operation of the p-channel insulated gate field effect transistor can be realized.

図6(b)は、本発明の実施の形態を示すn型MISFETとp型MISFETとを有する半導体装置の断面図である。本実施の形態のp型MISFETは先の参考例にて説明したp型MISFETと同等の構成を有するものであるので、以下の説明は主としてn型MISFETについて行うものとする。n型MISFETにおいては、シリコン基板101上に形成されたp型ウエル101aの素子分離領域102によって区画された領域上にゲート絶縁膜107を介してゲート電極105nが形成されており、ゲート電極105nの両側のシリコン基板表面領域内にソース・ドレイン領域となるn型不純物拡散層106が形成されている。ゲート絶縁膜107は、基板側界面層107aと高比誘電率絶縁膜107bから構成される。また、ゲート電極105nは、メタルゲートであって下層電極層105aを有し、必須のものではないが上層電極層105bを有し、さらに下層電極層105aとゲート絶縁膜との界面に電極側界面層105dを有するものである。下層電極層105aと上層電極層105bとは、p型MISFETのものと同一の材料を用いて形成することができる。
基板側界面層107aは、SiO層、SiN層、SiON層等からなり、不可避的に形成される自然酸化膜を含むものである。高比誘電率絶縁膜107bは、少なくとも最上層部分がSiを含まない絶縁膜であることが望ましく、より好ましくは酸化ジルコニウム若しくは酸化ハフニウムである。高比誘電率絶縁膜107bの最上層部分がSiを含まない絶縁膜であることが好ましいのは、下層電極層105aとの界面反応によって界面層を形成するとき、n型MISFET形成領域に自己整合的にSi0を含まない酸化物層を形成することができるからである。高比誘電率絶縁膜107bは2層以上の積層膜であってもよく、その場合最上層以外の高比誘電率絶縁膜の材料は特に限定されないが、最下層の高比誘電率絶縁膜は、シリコン基板との界面特性を良好に保つことのできる材料であることが好ましい。
FIG. 6B is a cross-sectional view of a semiconductor device having an n-type MISFET and a p-type MISFET showing an embodiment of the present invention. Since the p-type MISFET of this embodiment has the same configuration as that of the p-type MISFET described in the previous reference example , the following description will mainly be made on the n-type MISFET. In the n-type MISFET, a gate electrode 105n is formed on a region partitioned by an element isolation region 102 of a p-type well 101a formed on a silicon substrate 101 via a gate insulating film 107. N-type impurity diffusion layers 106 serving as source / drain regions are formed in the silicon substrate surface regions on both sides. The gate insulating film 107 includes a substrate side interface layer 107a and a high relative dielectric constant insulating film 107b. The gate electrode 105n is a metal gate and has a lower electrode layer 105a. Although it is not essential, the gate electrode 105n has an upper electrode layer 105b. Further, an electrode side interface is provided at the interface between the lower electrode layer 105a and the gate insulating film. The layer 105d is provided. The lower electrode layer 105a and the upper electrode layer 105b can be formed using the same material as that of the p-type MISFET.
The substrate side interface layer 107a is composed of a SiO 2 layer, a SiN layer, a SiON layer, and the like, and includes a natural oxide film that is unavoidably formed. The high relative dielectric constant insulating film 107b is desirably an insulating film in which at least the uppermost layer portion does not contain Si, and is more preferably zirconium oxide or hafnium oxide. The uppermost layer portion of the high dielectric constant insulating film 107b is preferably an insulating film that does not contain Si. When the interface layer is formed by the interface reaction with the lower electrode layer 105a, it is self-aligned with the n-type MISFET formation region. This is because an oxide layer not containing Si 0 can be formed. The high relative dielectric constant insulating film 107b may be a laminated film of two or more layers. In this case, the material of the high relative dielectric constant insulating film other than the uppermost layer is not particularly limited. It is preferable that the material can maintain good interface characteristics with the silicon substrate.

電極側界面層105dは、IV族遷移金属と酸素とを含みSi0を含まない層である。この界面層は、高比誘電率絶縁膜107bの最上層部分と下層電極層105aの最下層部分との熱処理による界面反応によって形成することもできる。その場合には高比誘電率絶縁膜107bの最上層部分がSiを含まない膜である必要がある。すなわち、金属酸化物膜である必要がある。そして、この場合、n型MISFET形成領域のゲート絶縁膜に自己整合的にSi0を含まない界面層が形成される。
n型MISFETのゲート電極の下層電極層105aがIV族遷移金属を主成分として含む導電性膜であり、ゲート絶縁膜107との間に形成される電極側界面層がSi0を含まないIV族遷移金属酸化物であるとき、ゲート電極の仕事関数は3.8〜4.2eV程度となりしきい値を低くできるため、nチャネル型絶縁ゲート電界効果トランジスタの高速動作を実現させることができる。
Electrode side interface layer 105d is a layer containing no Si 0 and a group IV transition metal and oxygen. This interface layer can also be formed by an interface reaction by heat treatment between the uppermost layer portion of the high relative dielectric constant insulating film 107b and the lowermost layer portion of the lower electrode layer 105a. In that case, the uppermost layer portion of the high relative dielectric constant insulating film 107b needs to be a film containing no Si. That is, it must be a metal oxide film. In this case, an interface layer not containing Si 0 is formed in a self-aligning manner in the gate insulating film in the n-type MISFET formation region.
lower electrode layer 105a of the gate electrode of the n-type MISFET is electrically conductive film containing as a main component a transition metal of group IV, group IV of the electrode side interface layer formed between the gate insulating film 107 does not contain Si 0 When it is a transition metal oxide, the work function of the gate electrode is about 3.8 to 4.2 eV and the threshold value can be lowered, so that high-speed operation of the n-channel insulated gate field effect transistor can be realized.

以下、本発明の実施例について添付の図面を参照して具体的に説明する。
[第1の実施例]
図7は、本発明の第1の実施例の製造方法により形成された半導体装置の断面図である。図7に示すように、本実施例に係る半導体装置においては、シリコン基板1上にはp型ウエル1aとn型ウエル1bとが設けられ、各ウエル1a、1bの表層部に素子分離領域2が選択的に形成されている。素子分離領域2にはSiO等の絶縁膜が埋め込まれており、素子分離領域2間が、n型MISFET形成領域11およびp型MISFET形成領域12となっている。素子分離領域2の深さは例えば100乃至500nmであり、素子分離領域2間の距離は例えば0.05乃至10μmである。シリコン基板1の表層部におけるn型MISFET形成領域11およびp型MISFET形成領域12には、夫々2個所の拡散領域8が形成されている。拡散領域8はシリコン基板1に不純物イオンを注入することにより形成された領域であり、素子分離領域2に隣接するように形成されている。拡散領域8の長さは例えば0.1乃至10μmであり、例えば0.2μmであり、深さは例えば50乃至500nmであり、例えば100nmであり、不純物濃度は例えば1019乃至1021 cm-3である。また、拡散領域8に隣接し素子分離領域2と共に拡散領域8を挟むように、エクステンション領域6が形成されている。エクステンション領域6もシリコン基板1が不純物をイオン注入されることにより形成された領域であるが、その不純物濃度は拡散領域8と同等か又は拡散領域8よりも低くなっている。エクステンション領域6の長さは例えば60nmであり、深さは例えば5乃至200nmであり、不純物濃度は例えば1019乃至1021 cm-3である。また、シリコン基板1上のn型MISFET形成領域11およびp型MISFET形成領域12には、夫々ゲート絶縁膜3aおよびゲート絶縁膜3bが形成されている。ゲート絶縁膜3aは例えば、HfO2膜であり、ゲート絶縁膜3bは例えば、HfSiO/HfO2積層膜である。ゲート絶縁膜3aおよび3b上には、メタルゲート電極4aおよび4bが形成されている。メタルゲート電極4aおよび4bの厚さは例えば20乃至200nmであり、例えば50乃至100nmである。メタルゲート電極4aは、例えばTiN膜と、ゲート絶縁膜との界面に形成されたにゲート電極に含まれるIV族遷移金属(Ti)と酸素を含むがシリコンは含まない界面層(図示せず)とからなり、その仕事関数はn型MISFETのゲート電極材料に適した4.0〜4.2eVである。
Hereinafter, embodiments of the present invention will be described in detail with reference to the accompanying drawings.
[First embodiment]
FIG. 7 is a cross-sectional view of a semiconductor device formed by the manufacturing method of the first embodiment of the present invention. As shown in FIG. 7, in the semiconductor device according to this embodiment, a p-type well 1a and an n-type well 1b are provided on a silicon substrate 1, and an element isolation region 2 is formed in the surface layer portion of each well 1a, 1b. Are selectively formed. An insulating film such as SiO 2 is embedded in the element isolation region 2, and an n-type MISFET formation region 11 and a p-type MISFET formation region 12 are formed between the element isolation regions 2. The depth of the element isolation region 2 is, for example, 100 to 500 nm, and the distance between the element isolation regions 2 is, for example, 0.05 to 10 μm. Two diffusion regions 8 are formed in each of the n-type MISFET formation region 11 and the p-type MISFET formation region 12 in the surface layer portion of the silicon substrate 1. The diffusion region 8 is a region formed by implanting impurity ions into the silicon substrate 1 and is formed adjacent to the element isolation region 2. The length of the diffusion region 8 is, for example, 0.1 to 10 μm, for example, 0.2 μm, the depth is, for example, 50 to 500 nm, for example, 100 nm, and the impurity concentration is, for example, 10 19 to 10 21 cm −3 . An extension region 6 is formed so as to be adjacent to the diffusion region 8 and sandwich the diffusion region 8 together with the element isolation region 2. The extension region 6 is also a region formed by implanting impurities into the silicon substrate 1, but the impurity concentration is equal to or lower than that of the diffusion region 8. The extension region 6 has a length of, for example, 60 nm, a depth of, for example, 5 to 200 nm, and an impurity concentration of, for example, 10 19 to 10 21 cm −3 . A gate insulating film 3a and a gate insulating film 3b are formed in the n-type MISFET formation region 11 and the p-type MISFET formation region 12 on the silicon substrate 1, respectively. The gate insulating film 3a is, for example, an HfO 2 film, and the gate insulating film 3b is, for example, an HfSiO 2 / HfO 2 laminated film. Metal gate electrodes 4a and 4b are formed on gate insulating films 3a and 3b. The thickness of the metal gate electrodes 4a and 4b is, for example, 20 to 200 nm, for example, 50 to 100 nm. The metal gate electrode 4a is, for example, an interface layer (not shown) that is formed at the interface between the TiN film and the gate insulating film, but includes a group IV transition metal (Ti) and oxygen contained in the gate electrode but does not contain silicon. The work function is 4.0 to 4.2 eV suitable for the gate electrode material of the n-type MISFET.

メタルゲート電極4bは、例えばTiNと、ゲート絶縁膜との界面に形成されたにゲート電極に含まれるIV族遷移金属(Ti)と酸素および金属的シリコン(酸化数が0)からなる界面層(図示せず)とからなる。メタルゲート電極4bの仕事関数は、メタルゲート電極4aの仕事関数よりも0.8eV程度高くなっており、例えば4.9eVであり、p型MISFETのゲート電極材料に適している。更に、メタルゲート電極4aおよび4bの周囲には、夫々側壁7が形成されている。側壁7は例えばシリコン窒化膜により形成されている。また、メタルゲート電極4aおよび4b並びに側壁7の周囲を埋めるように、SiO、BPSG、SiN又は低誘電率膜からなる層間絶縁膜9が形成されている。メタルゲート電極4aおよび4bの上面は、層間絶縁膜9の上面において露出している。このような構成により、n型MISFET形成領域11においては、シリコン基板1、1対の拡散領域8、1対のエクステンション領域6、ゲート絶縁膜3a、メタルゲート電極4aおよび側壁7により、n型MISFETが形成されている。拡散領域8はソースおよびドレイン領域となっており、両者の間がチャネル領域となっている。同様に、p型MISFET形成領域12においては、シリコン基板1、1対の拡散領域8、1対のエクステンション領域6、ゲート絶縁膜3b、メタルゲート電極4bおよび側壁7により、p型MISFETが形成されている。n型MISFET形成領域11において、メタルゲート電極4aに電圧が印加されると、ゲート絶縁膜3aを介してチャネル領域に電界が印加され、チャネル領域のキャリア濃度が変化する。これにより、ソース・ドレイン間を流れる電流が変化する。同様に、p型MISFET形成領域12において、メタルゲート電極4bに電圧が印加されると、ソース・ドレイン間を流れる電流が変化する。 The metal gate electrode 4b is, for example, an interface layer formed of a group IV transition metal (Ti), oxygen, and metallic silicon (oxidation number 0) included in the gate electrode formed at the interface between TiN and the gate insulating film ( (Not shown). The work function of the metal gate electrode 4b is about 0.8 eV higher than that of the metal gate electrode 4a, for example, 4.9 eV, which is suitable for the gate electrode material of the p-type MISFET. Further, side walls 7 are formed around the metal gate electrodes 4a and 4b, respectively. The side wall 7 is formed of, for example, a silicon nitride film. Further, an interlayer insulating film 9 made of SiO 2 , BPSG, SiN or a low dielectric constant film is formed so as to fill the periphery of the metal gate electrodes 4 a and 4 b and the side wall 7. The upper surfaces of the metal gate electrodes 4 a and 4 b are exposed on the upper surface of the interlayer insulating film 9. With this configuration, in the n-type MISFET formation region 11, the silicon substrate 1, the pair of diffusion regions 8, the pair of extension regions 6, the gate insulating film 3 a, the metal gate electrode 4 a, and the side wall 7, Is formed. The diffusion region 8 is a source region and a drain region, and a channel region is formed between them. Similarly, in the p-type MISFET formation region 12, a p-type MISFET is formed by the silicon substrate 1, the pair of diffusion regions 8, the pair of extension regions 6, the gate insulating film 3 b, the metal gate electrode 4 b and the sidewall 7. ing. In the n-type MISFET formation region 11, when a voltage is applied to the metal gate electrode 4a, an electric field is applied to the channel region via the gate insulating film 3a, and the carrier concentration in the channel region changes. As a result, the current flowing between the source and the drain changes. Similarly, in the p-type MISFET formation region 12, when a voltage is applied to the metal gate electrode 4b, the current flowing between the source and the drain changes.

次に、本発明のnチャネル型絶縁ゲート電界効果トランジスタおよびpチャネル型絶縁ゲート電界効果トランジスタを備える半導体装置の製造方法の第1の実施例について説明する。図8(a)乃至図9(h)は、本実施例の製造方法をその工程順に示す断面図である。 まず、図8(a)に示すように、シリコン基板1上にp型ウエル1aとn型ウエル1bとを形成し、各ウエル1a、1bの表層部に絶縁膜を選択的に埋め込み、素子分離領域2を形成する。素子分離領域2は、例えばLOCOS法(Local Oxidation of Si1icon法:選択酸化法)又はSTI法(Shallow Trench Isolation法:シャロウトレンチ絶縁法)によって形成する。次に、図8(b)に示すように、シリコン基板1上に、スパッタリング法又はCVD法(Chemical Vapor Deposition法:化学気相成長法)等の方法により、積層ゲート絶縁膜HfSiO/HfO膜3をおのおの0.5nm/3nm程度の厚さに成膜する。HfSiO膜の組成比は例えばSi/(Si+Hf)=10乃至50原子%である。そして、図8(c)に示すように、p型MISFET形成領域12のゲート絶縁膜の表面をマスク5で覆い、n型MISFET形成領域11のゲート絶縁膜の表面のHfSiOを除去し、図8(d)に示すように、nおよびp型MISFET形成領域に、それぞれHfO膜、HfSiO/HfO膜からなるゲート絶縁膜3a、3bを形成する。 Next, a first embodiment of a method for manufacturing a semiconductor device including an n-channel insulated gate field effect transistor and a p-channel insulated gate field effect transistor according to the present invention will be described. FIG. 8A to FIG. 9H are cross-sectional views showing the manufacturing method of this embodiment in the order of the steps. First, as shown in FIG. 8A, a p-type well 1a and an n-type well 1b are formed on a silicon substrate 1, and an insulating film is selectively embedded in the surface layer portion of each well 1a, 1b, thereby isolating elements. Region 2 is formed. The element isolation region 2 is formed by, for example, the LOCOS method (Local Oxidation of Si1icon method: selective oxidation method) or the STI method (Shallow Trench Isolation method: shallow trench insulation method). Next, as shown in FIG. 8B, a stacked gate insulating film HfSiO 2 / HfO 2 is formed on the silicon substrate 1 by a method such as sputtering or CVD (Chemical Vapor Deposition). The film 3 is formed to a thickness of about 0.5 nm / 3 nm. The composition ratio of the HfSiO 2 film is, for example, Si / (Si + Hf) = 10 to 50 atomic%. Then, as shown in FIG. 8C, the surface of the gate insulating film in the p-type MISFET formation region 12 is covered with a mask 5, and HfSiO 2 on the surface of the gate insulating film in the n-type MISFET formation region 11 is removed. As shown in FIG. 8 (d), gate insulating films 3a and 3b made of an HfO 2 film and an HfSiO 2 / HfO 2 film are formed in the n and p-type MISFET formation regions, respectively.

マスク除去後、図9(e)に示すように、スパッタリング法又はCVD法等の方法により、TiN膜4を成膜する。その膜厚は例えば20乃至200nmである。次に熱処理により、図9(f)に示すように、n型MISFET形成領域11上のTiN膜4とゲート絶縁膜との界面にゲート電極材料に含まれるIV族遷移金属と酸素を含むがシリコンは含まない界面層(図示せず)が自己整合的に形成され、TiN膜とこの界面層とからなるメタルゲート材料層4a'が形成される。メタルゲート材料層4a'の仕事関数はn型MISFETのゲート電極材料に適した4.0〜4.2eVとなっている。一方同時に、p型MISFET形成領域12上のTiN膜4とゲート絶縁膜との界面にゲート電極材料に含まれるIV族遷移金属と酸素および金属的シリコン(酸化数が0)からなる界面層(図示せず)が自己整合的に形成される結果、TiN膜と、IV族遷移金属と酸素およびSiを含む界面層とからなるメタルゲート材料層4b'が形成される。メタルゲート材料層4b'の仕事関数は、メタルゲート材料層4a'の仕事関数よりも0.8eV程度高くなっており、例えば4.9eVであり、p型MISFETのゲート電極材料に適している。次に、図9(g)に示すように、ゲート材料層4a'および4b'を所定のゲート電極形状にパターニングする。これにより、n型MISFET形成領域11およびp型MISFET形成領域12にてパターニングされたTiN膜が各々、メタルゲート電極4aおよび4bになる。次いで、n型MISFET形成領域11に対して、セルフアライン的にエクステンション領域6を形成するために、メタルゲート電極4aをマスクとしてAsのイオン注入を行う。このとき、イオン注入量は例えば1×1014乃至1x1015 cm-2であり、例えば5x1014 cm-2であり、加速電圧は例えば2kVである。次に、p型MISFET形成領域12に対して、セルフアライン的にエクステンション領域6を形成するために、メタルゲート電極4bをマスクとしてBF2のイオン注入を行う。このとき、イオン注入量は例えば1×1014乃至1x1015 cm-2であり、例えば5x1014 cm-2であり、加速電圧は例えば2.5kVである。次いで、図9(h)に示すように、メタルゲート電極4aおよび4bの周囲にシリコン窒化膜を堆積し、エッチバック法によってメタルゲート電極4aおよび4bの側壁7を形成する。次に、n型MISFET形成領域11に対してセルフアライン的にAs又はPのイオン注入を行う。イオン注入量は例えば5x1014乃至2×1016 cm-2であり、例えば、Asをイオン注入する場合は、イオン注入量は4x1015 cm-2であり、加速電圧は8kVであり、Pをイオン注入する場合は、イオン注入量は1x1015 cm-2であり、加速電圧は10kVである。また、p型MISFET形成領域12に対してセルフアライン的にBのイオン注入を行う。このとき、イオン注入量は例えば5×1014乃至2x1016 cm-2であり、例えば3x1015 cm-2であり、加速電圧は2kVである。次に、不純物活性化のための急速加熱処理(RTA: Rapid Thermal Annea1ing)を施し、ソースおよびドレイン領域となる深い拡散領域8を形成すると共に、エクステンション領域6を形成する。急速加熱処理の温度は例えば900乃至1100℃であり、急速加熱処理の時問は例えば20秒以下である。次に、図7に示すように、メタルゲート電極4aおよび4b並びに側壁7の周囲を埋めるように、SiO、BPSG、SiN又は低誘電率膜からなる層間絶縁膜9を堆積する。これにより、本実施例に係る半導体装置の製造工程が完了する。 After removing the mask, as shown in FIG. 9E, a TiN film 4 is formed by a method such as sputtering or CVD. The film thickness is, for example, 20 to 200 nm. Next, by heat treatment, as shown in FIG. 9F, the interface between the TiN film 4 and the gate insulating film on the n-type MISFET formation region 11 contains a group IV transition metal and oxygen contained in the gate electrode material, but silicon. An interfacial layer (not shown) that does not contain Si is formed in a self-aligned manner, and a metal gate material layer 4a ′ composed of the TiN film and this interfacial layer is formed. The work function of the metal gate material layer 4a ′ is 4.0 to 4.2 eV suitable for the gate electrode material of the n-type MISFET. On the other hand, at the same time, an interface layer made of a group IV transition metal, oxygen, and metallic silicon (oxidation number 0) contained in the gate electrode material at the interface between the TiN film 4 and the gate insulating film on the p-type MISFET formation region 12 (see FIG. Shimese not) results to be formed in a self-aligned manner, and the TiN film, the metal gate material layer 4b made of a surface layer comprising a group IV transition metal and oxygen, and Si 0 'is formed. The work function of the metal gate material layer 4b ′ is about 0.8 eV higher than that of the metal gate material layer 4a ′, for example, 4.9 eV, which is suitable for the gate electrode material of the p-type MISFET. Next, as shown in FIG. 9G, the gate material layers 4a ′ and 4b ′ are patterned into a predetermined gate electrode shape. As a result, the TiN films patterned in the n-type MISFET formation region 11 and the p-type MISFET formation region 12 become the metal gate electrodes 4a and 4b, respectively. Next, As ions are implanted into the n-type MISFET formation region 11 using the metal gate electrode 4a as a mask in order to form the extension region 6 in a self-aligned manner. At this time, the ion implantation amount is, for example, 1 × 10 14 to 1 × 10 15 cm −2 , for example, 5 × 10 14 cm −2 , and the acceleration voltage is, for example, 2 kV. Next, in order to form the extension region 6 in a self-aligned manner in the p-type MISFET formation region 12, ion implantation of BF 2 is performed using the metal gate electrode 4b as a mask. At this time, the ion implantation amount is, for example, 1 × 10 14 to 1 × 10 15 cm −2 , for example, 5 × 10 14 cm −2 , and the acceleration voltage is, for example, 2.5 kV. Next, as shown in FIG. 9H, a silicon nitride film is deposited around the metal gate electrodes 4a and 4b, and sidewalls 7 of the metal gate electrodes 4a and 4b are formed by an etch back method. Next, As or P ions are implanted into the n-type MISFET formation region 11 in a self-aligning manner. The ion implantation amount is, for example, 5 × 10 14 to 2 × 10 16 cm −2 . For example, when As is ion-implanted, the ion implantation amount is 4 × 10 15 cm −2 , the acceleration voltage is 8 kV, and P is ionized. In the case of implantation, the ion implantation amount is 1 × 10 15 cm −2 and the acceleration voltage is 10 kV. Further, B ions are implanted into the p-type MISFET formation region 12 in a self-aligning manner. At this time, the ion implantation amount is, for example, 5 × 10 14 to 2 × 10 16 cm −2 , for example, 3 × 10 15 cm −2 , and the acceleration voltage is 2 kV. Next, rapid thermal annealing (RTA: Rapid Thermal Annealing) for impurity activation is performed to form a deep diffusion region 8 that becomes a source and drain region and an extension region 6. The temperature of the rapid heat treatment is, for example, 900 to 1100 ° C., and the time of the rapid heat treatment is, for example, 20 seconds or less. Next, as shown in FIG. 7, an interlayer insulating film 9 made of SiO 2 , BPSG, SiN or a low dielectric constant film is deposited so as to fill the periphery of the metal gate electrodes 4 a and 4 b and the side wall 7. Thereby, the manufacturing process of the semiconductor device according to the present embodiment is completed.

なお、メタルゲート電極4aおよび4bを形成するTiN膜の膜厚が5nm以上であれば、TiN膜上に他の金属膜を積層しても、メタルゲート電極4aおよび4bの仕事関数は変化しない。従って、TiN膜上により抵抗が低いゲート金属膜を積層して、メタルゲート電極4aおよび4bの抵抗値を低減することができる。また、本実施例においては、ゲート電極を形成する材料としてTiNを使用する例を示したが、ゲート電極はIV族遷移金属を主成分として含む他の導電性材料により形成してもよい。前述の如く、本実施例においては、n型MISFET用およびp型MISFET用の2種類のメタルゲート材料層を、IV族遷移金属を主成分とする導電性材料層と、ゲート絶縁膜界面での自己整合的な界面反応によって生成される界面層とから形成している。その結果、しきい値のばらつきを抑制し歩留りを向上させつつ、nおよびpチャネル型絶縁ゲート電界効果トランジスタのしきい値電圧を低くすることができる。   If the thickness of the TiN film forming the metal gate electrodes 4a and 4b is 5 nm or more, the work function of the metal gate electrodes 4a and 4b does not change even if another metal film is laminated on the TiN film. Therefore, the resistance value of the metal gate electrodes 4a and 4b can be reduced by stacking a gate metal film having a lower resistance on the TiN film. In this embodiment, TiN is used as a material for forming the gate electrode. However, the gate electrode may be formed of another conductive material containing a group IV transition metal as a main component. As described above, in this embodiment, two types of metal gate material layers for n-type MISFET and p-type MISFET are formed at the interface between the conductive material layer mainly composed of group IV transition metal and the gate insulating film. And an interface layer generated by a self-aligned interface reaction. As a result, the threshold voltage of the n- and p-channel insulated gate field effect transistors can be lowered while suppressing variations in threshold and improving the yield.

また、特にn型MISFET形成領域11のゲート絶縁膜の表面層が酸化ジルコニウム若しくは酸化ハフニウムであり、且つp型MISFET形成領域12における高比誘電率絶縁膜の最表面層がジルコニウムシリケート若しくはハフニウムシリケートである場合、nチャネル型絶縁ゲート電界効果トランジスタのゲート電極の仕事関数は3.8〜4.2eV程度となり、且つpチャネル型絶縁ゲート電界効果トランジスタのゲート電極の仕事関数は4.7〜5 eV程度となり両トランジスタのしきい値を低くできるため、nおよびpチャネル型絶縁ゲート電界効果トランジスタの高速動作を実現させることができる。また、耐熱性も向上する。
上記実施例では、ゲート絶縁膜として、HfSiO/HfO膜を全面に形成し、n型MISFET形成領域のHfSiO膜を選択的に除去していたが、HfO/HfSiO膜を全面に形成し、p型MISFET形成領域のHfO膜を選択的に除去するようにしてもよい。あるいは、HfSiO膜またはHfO膜を全面に形成し、n型MISFET形成領域またはp型MISFET形成領域に、リフトオフ法等により、HfO膜またはHfSiO膜を選択的に形成するようにしてもよい。また、上記実施例では、ゲート電極の界面層を形成するための熱処理をゲート電極の導電性材料層堆積の直後に行っていたが、この熱処理工程は導電性材料層をゲート電極形状にパターニングした後に行ってもよい。また、この熱処理工程を例えばイオン注入不純物活性化のための熱処理工程によって兼ねることもできる。
In particular, the surface layer of the gate insulating film in the n-type MISFET formation region 11 is zirconium oxide or hafnium oxide, and the outermost surface layer of the high relative dielectric constant insulating film in the p-type MISFET formation region 12 is zirconium silicate or hafnium silicate. In some cases, the work function of the gate electrode of the n-channel insulated gate field effect transistor is about 3.8 to 4.2 eV, and the work function of the gate electrode of the p-channel insulated gate field effect transistor is about 4.7 to 5 eV. Since the threshold can be lowered, high-speed operation of the n- and p-channel insulated gate field effect transistors can be realized. Moreover, heat resistance is also improved.
In the above embodiment, as the gate insulating film, forming a HfSiO 2 / HfO 2 film on the entire surface, it had been selectively removed HfSiO 2 film of the n-type MISFET formation region, on the entire surface of the HfO 2 / HfSiO 2 film It is also possible to selectively remove the HfO 2 film in the p-type MISFET formation region. Alternatively, an HfSiO 2 film or an HfO 2 film is formed on the entire surface, and an HfO 2 film or an HfSiO 2 film is selectively formed in the n-type MISFET formation region or the p-type MISFET formation region by a lift-off method or the like. Good. In the above embodiment, the heat treatment for forming the interface layer of the gate electrode is performed immediately after the deposition of the conductive material layer of the gate electrode. In this heat treatment step, the conductive material layer is patterned into a gate electrode shape. It may be done later. In addition, this heat treatment step can be combined with, for example, a heat treatment step for activating ion-implanted impurities.

[第2の実施例]
次に、本発明のnチャネル型絶縁ゲート電界効果トランジスタおよびpチャネル型絶縁ゲート電界効果トランジスタを備える半導体装置の製造方法の第2の実施例について説明する。図10(a)乃至図11(h)は、本実施例の半導体装置の製造方法を工程順に示す断面図である。本実施例が前述の第1の実施例と異なる点は、あらかじめダミーゲート電極を作製し、ソースおよびドレインに注入した不純物の活性化が終了した後に、ダミーゲート電極を取り除き、メタルゲート電極を作製する点にある。この方法によれば、ゲート絶縁膜として耐熱性が比較的低い高比誘電率膜を使用することができる。また、ゲート電極の抵抗を低減するために裏打ちする導電性材料として、Al等の低融点金属を使用することも可能となる。まず、図10(a)に示すように、前述の第1の実施例と同様に、シリコン基板1上にp型ウエル1aとn型ウエル1bとを形成し、各ウエル1a、1bの表層部に素子分離領域2を選択的に形成する。続いて、後の工程において除去されるダミー絶縁膜13として、膜厚が例えば2乃至6nm程度のシリコン酸化膜を形成する。次に、膜厚が例えば約150nmであるポリシリコン膜14および膜厚が例えば約50nmであるシリコン窒化膜15を形成し、ポリシリコン膜14およびシリコン窒化膜15からなる積層膜を形成する。次に、この積層膜を電極形状にパターニングし、後の工程において除去されるダミーゲート電極を形成する。次に、このダミーゲート電極をマスクとして、イオン注入技術によりソースおよびドレインの不純物拡散層の一部となるエクステンション領域6を形成する。そして、前述の第1の実施例と同様な条件により、不純物を活性化させるための熱処理を行う。次に、シリコン窒化膜をCVD技術により成膜し、このシリコン窒化膜の側面部以外をRIE技術により選択的に除去することにより、ダミーゲート電極の側方に、シリコン窒化膜からなり厚さが20乃至40nm程度である側壁7を形成する。次に、ダミーゲート電極および側壁7をマスクとして、イオン注入技術によりソースおよびドレインの高濃度不純物拡散層となる拡散領域8を形成する。そして、前述の第1の実施例と同様な条件により、不純物を活性化させるための熱処理を行う。次に、サリサイドプロセス技術により、ダミーゲート電極および側壁7をマスクとして、ソースおよびドレイン領域のみに膜厚が例えば約40nmのシリサイド膜(図示せず)を形成する。次に、例えばシリコン酸化膜をCVD法により堆積し、層間絶縁膜9を形成する。
[Second Embodiment]
Next, a description will be given of a second embodiment of a method for manufacturing a semiconductor device including an n-channel insulated gate field effect transistor and a p-channel insulated gate field effect transistor according to the present invention. FIG. 10A to FIG. 11H are cross-sectional views showing the manufacturing method of the semiconductor device of this embodiment in the order of steps. This embodiment is different from the first embodiment described above in that a dummy gate electrode is prepared in advance, and after the activation of impurities implanted into the source and drain is completed, the dummy gate electrode is removed and a metal gate electrode is manufactured. There is in point to do. According to this method, a high dielectric constant film having relatively low heat resistance can be used as the gate insulating film. In addition, a low melting point metal such as Al can be used as a conductive material to be lined in order to reduce the resistance of the gate electrode. First, as shown in FIG. 10A, a p-type well 1a and an n-type well 1b are formed on a silicon substrate 1 in the same manner as in the first embodiment, and the surface layer portions of the wells 1a and 1b are formed. The element isolation region 2 is selectively formed. Subsequently, a silicon oxide film having a film thickness of, for example, about 2 to 6 nm is formed as the dummy insulating film 13 to be removed in a later process. Next, a polysilicon film 14 having a film thickness of, for example, about 150 nm and a silicon nitride film 15 having a film thickness of, for example, about 50 nm are formed, and a laminated film composed of the polysilicon film 14 and the silicon nitride film 15 is formed. Next, this laminated film is patterned into an electrode shape to form a dummy gate electrode that is removed in a later step. Next, using this dummy gate electrode as a mask, an extension region 6 to be a part of the source and drain impurity diffusion layers is formed by an ion implantation technique. Then, heat treatment for activating the impurities is performed under the same conditions as in the first embodiment. Next, a silicon nitride film is formed by a CVD technique, and the portions other than the side surfaces of the silicon nitride film are selectively removed by the RIE technique, so that the thickness of the silicon nitride film is formed on the side of the dummy gate electrode. Sidewalls 7 having a thickness of about 20 to 40 nm are formed. Next, using the dummy gate electrode and the side wall 7 as a mask, a diffusion region 8 to be a high concentration impurity diffusion layer of the source and drain is formed by ion implantation technique. Then, a heat treatment for activating the impurities is performed under the same conditions as in the first embodiment. Next, a silicide film (not shown) having a film thickness of, for example, about 40 nm is formed only in the source and drain regions by the salicide process technique using the dummy gate electrode and the side wall 7 as a mask. Next, for example, a silicon oxide film is deposited by a CVD method to form an interlayer insulating film 9.

次に、図10(b)に示すように、層間絶縁膜9の表面をCMP技術により平坦化することにより、ダミーゲート電極の表面、即ちシリコン窒化膜15の表面を露出させる。次に、図10(c)に示すように、例えば燐酸を使用して、ダミーゲート電極上部のシリコン窒化膜15を層間絶縁膜9に対して選択的に除去する。これにより、ポリシリコン膜14が露出する。続いて、フッ素等のラジカルを使用するエッチング技術により、ポリシリコン膜14を層間絶縁膜9および側壁7に対して選択的に除去する。次に、希フッ酸等のウエットエッチングによりシリコン酸化膜からなるダミー絶縁膜13を除去し、溝17を形成する。続いて、図10(d)に示すように、ゲート絶縁膜18aおよび18b(図11(g)参照)を形成するための積層ゲート絶縁膜:HfO/HfSiO膜18をスパッタリング法又はCVD法等の方法により、おのおの0.5nm/3nm程度の厚さに成膜する。HfSiO膜の組成比は例えばSi/(Si+Hf)=10乃至50原子%である。そして、n型MISFET形成領域11の積層ゲート絶縁膜の表面の上にマスクし、p型MISFET形成領域12の積層ゲート絶縁膜の表面のHfOを除去し、図11(e)に示すように、nおよびp型MISFET形成領域のゲート絶縁膜18aおよび18bを各々HfO/HfSiO膜およびHfSiO膜とする。次に、図11(f)に示すように、ゲート絶縁膜上にCVD法又はスパッタリング法により、HfN膜20を成膜する。その膜厚は例えば20乃至200nmである。次に、熱処理により、図11(g)に示すように、n型MISFET形成領域11上のHfN膜とゲート絶縁膜との界面にゲート電極に含まれるIV族遷移金属と酸素を含むがシリコンは含まない界面層(図示せず)が自己整合的に形成され、HfN膜と界面層(図示せず)とからなるメタルゲート材料層20a'が形成される。n型MISFET形成領域11上のメタルゲート材料層20a'の仕事関数はn型MISFETのゲート電極材料に適した4.0〜4.2eVとなっている。一方同時に、p型MISFET形成領域12上のHfN膜とゲート絶縁膜との界面にゲート電極に含まれるIV族遷移金属と酸素および金属的シリコン(酸化数が0)からなる界面層(図示せず)が自己整合的に形成され、HfNと界面層とからなるメタルゲート材料層20b'が形成される。p型MISFET形成領域12上に形成されたメタルゲート材料層20b'の仕事関数は、メタルゲート材料層20a'の仕事関数よりも0.8eV程度高くなっており、例えば4.9eVであり、p型MISFETのゲート電極材料に適している。
次に、図11(h)に示すように、CMPにより平坦化して、層間絶縁膜9上のメタルゲート材料層20a'、20b'およびゲート絶縁膜18a、18bを除去する。これにより、層間絶縁膜9が露出されると共に、n型MISFET形成領域11においては、溝17内にゲート絶縁膜18aおよびゲート電極20aが形成され、p型MISFET形成領域12においては、ゲート絶縁膜18bおよびゲート電極20bが形成される。
Next, as shown in FIG. 10B, the surface of the interlayer insulating film 9 is planarized by the CMP technique to expose the surface of the dummy gate electrode, that is, the surface of the silicon nitride film 15. Next, as shown in FIG. 10C, the silicon nitride film 15 above the dummy gate electrode is selectively removed from the interlayer insulating film 9 using, for example, phosphoric acid. As a result, the polysilicon film 14 is exposed. Subsequently, the polysilicon film 14 is selectively removed from the interlayer insulating film 9 and the side wall 7 by an etching technique using radicals such as fluorine. Next, the dummy insulating film 13 made of a silicon oxide film is removed by wet etching such as dilute hydrofluoric acid, and a groove 17 is formed. Subsequently, as shown in FIG. 10D, a laminated gate insulating film: HfO 2 / HfSiO 2 film 18 for forming the gate insulating films 18a and 18b (see FIG. 11G) is formed by sputtering or CVD. The film is formed to a thickness of about 0.5 nm / 3 nm by the above method. The composition ratio of the HfSiO 2 film is, for example, Si / (Si + Hf) = 10 to 50 atomic%. Then, masking is performed on the surface of the stacked gate insulating film in the n-type MISFET formation region 11 to remove HfO 2 on the surface of the stacked gate insulating film in the p-type MISFET forming region 12, as shown in FIG. The gate insulating films 18a and 18b in the n-type and p-type MISFET formation regions are HfO 2 / HfSiO 2 films and HfSiO 2 films, respectively. Next, as shown in FIG. 11F, an HfN film 20 is formed on the gate insulating film by a CVD method or a sputtering method. The film thickness is, for example, 20 to 200 nm. Next, by heat treatment, as shown in FIG. 11G, the interface between the HfN film on the n-type MISFET formation region 11 and the gate insulating film contains a group IV transition metal and oxygen contained in the gate electrode, but silicon is An interface layer (not shown) that is not included is formed in a self-aligned manner, and a metal gate material layer 20a ′ composed of an HfN film and an interface layer (not shown) is formed. The work function of the metal gate material layer 20a ′ on the n-type MISFET formation region 11 is 4.0 to 4.2 eV suitable for the gate electrode material of the n-type MISFET. At the same time, an interface layer (not shown) made of a group IV transition metal, oxygen, and metallic silicon (oxidation number 0) included in the gate electrode is formed at the interface between the HfN film on the p-type MISFET formation region 12 and the gate insulating film. ) Are formed in a self-aligned manner, and a metal gate material layer 20b ′ composed of HfN and an interface layer is formed. The work function of the metal gate material layer 20b ′ formed on the p-type MISFET formation region 12 is about 0.8 eV higher than the work function of the metal gate material layer 20a ′, for example, 4.9 eV. Suitable for the gate electrode material.
Next, as shown in FIG. 11H, planarization is performed by CMP to remove the metal gate material layers 20a ′ and 20b ′ and the gate insulating films 18a and 18b on the interlayer insulating film 9. As a result, the interlayer insulating film 9 is exposed, and in the n-type MISFET formation region 11, the gate insulating film 18a and the gate electrode 20a are formed in the trench 17, and in the p-type MISFET formation region 12, the gate insulating film 18b and gate electrode 20b are formed.

本実施例においては、n型MISFET用およびp型MISFET用の2種類のメタルゲート材料層を、IV族遷移金属を主成分とする導電性材料と、ゲート絶縁膜との界面における自己整合的な界面反応によって生成される界面層とから形成している。その結果、しきい値のばらつきを抑制し歩留まりを向上させつつ、nおよびpチャネル型絶縁ゲート電界効果トランジスタのしきい値電圧を低くすることができる。また、本実施例においては、ダミーゲート絶縁膜13およびダミーゲート電極を形成し、これらをマスクとして不純物の注入を行い、この不純物を活性化させるための熱処理を行い、その後、ダミーゲート電極およびダミーゲート絶縁膜13を除去して、ゲート絶縁膜18aおよび18b並びにゲート電極20aおよび20bを形成している。これにより、ゲート絶縁膜18aおよび18b並びにゲート電極20aおよび20bが活性化熱処理に曝されることを防止できる。この結果、ゲート絶縁膜として耐熱性が比較的低い高比誘電率材料を使用することができる。また、ゲート電極を形成するための導電性材料層としてIV族遷移金属を主成分として含む層上に低耐熱性で低比抵抗の導電性材料層を裏打ち層として形成することができる。この場合、裏打ち層は、ゲート電極の界面層を形成するための熱処理工程の後に形成するようにしてもよい。なお、本実施例においては、ゲート電極を形成する材料としてHfNを使用する例を示したが、ゲート電極はIV族遷移金属を主成分として含む他の導電性の膜により形成してもよい。また、界面層を形成するための熱処理は、層間絶縁膜9上のHfN膜20を除去した後に行ってもよい。   In this embodiment, two types of metal gate material layers for n-type MISFET and p-type MISFET are self-aligned at the interface between the conductive material mainly composed of group IV transition metal and the gate insulating film. And an interface layer formed by an interface reaction. As a result, the threshold voltage of the n- and p-channel insulated gate field effect transistors can be lowered while suppressing variations in threshold values and improving the yield. Further, in this embodiment, the dummy gate insulating film 13 and the dummy gate electrode are formed, impurities are implanted using these as a mask, heat treatment is performed to activate the impurities, and then the dummy gate electrode and the dummy gate electrode are performed. Gate insulating film 13 is removed to form gate insulating films 18a and 18b and gate electrodes 20a and 20b. Thereby, it is possible to prevent the gate insulating films 18a and 18b and the gate electrodes 20a and 20b from being exposed to the activation heat treatment. As a result, a high dielectric constant material having relatively low heat resistance can be used as the gate insulating film. In addition, a conductive material layer having a low heat resistance and a low specific resistance can be formed as a backing layer on a layer containing a group IV transition metal as a main component as a conductive material layer for forming a gate electrode. In this case, the backing layer may be formed after the heat treatment step for forming the interface layer of the gate electrode. In this embodiment, an example is shown in which HfN is used as a material for forming the gate electrode. However, the gate electrode may be formed of another conductive film containing a group IV transition metal as a main component. Further, the heat treatment for forming the interface layer may be performed after removing the HfN film 20 on the interlayer insulating film 9.

本発明を実現するに至った実験に用いたTiN/ Hfシリケート積層構造。The TiN / Hf silicate laminated structure used for the experiment which came to implement | achieve this invention. TiN/HfSiO積層構造での界面層のTEM−EELSスペクトル(Ti L-edge)。TEM-EELS spectra of the interface layer in the TiN / HfSiO 2 laminated structure (Ti L-edge). TiN/HfSiO積層構造での界面層のTEM−EELSスペクトル(Si L-edge)。TEM-EELS spectra of the interface layer in the TiN / HfSiO 2 laminated structure (Si L-edge). TiN/HfSiO積層構造での仕事関数の絶縁膜表面組成依存性を示すグラフ。Graph showing the insulating film surface composition dependence of the work function in TiN / HfSiO 2 laminated structure. TiN/HfSiO構造MOS容量の仕事関数のアニ−ル温度依存性を示すグラフ。Ani work function of TiN / HfSiO 2 structure MOS capacitor - graph showing Le temperature dependence. 本発明の半導体装置の実施の形態を示す断面図。Sectional drawing which shows embodiment of the semiconductor device of this invention. 本発明の半導体装置の第1の実施例を示す断面図。Sectional drawing which shows the 1st Example of the semiconductor device of this invention. 本発明の半導体装置の製造方法の第1の実施例を工程順に示す断面図(その1)。Sectional drawing which shows the 1st Example of the manufacturing method of the semiconductor device of this invention to process order (the 1). 本発明の半導体装置の製造方法の第1の実施例を工程順に示す断面図(その2)。Sectional drawing which shows the 1st Example of the manufacturing method of the semiconductor device of this invention to process order (the 2). 本発明の半導体装置の製造方法の第2の実施例を工程順に示す断面図(その1)。Sectional drawing which shows the 2nd Example of the manufacturing method of the semiconductor device of this invention to process order (the 1). 本発明の半導体装置の製造方法の第2の実施例を工程順に示す断面図(その2)。Sectional drawing which shows the 2nd Example of the manufacturing method of the semiconductor device of this invention to process order (the 2).

符号の説明Explanation of symbols

1、101 シリコン基板
1a、101a p型ウエル
1b、101b n型ウエル
2、102 素子分離領域
3 HfSiO/HfO
3a、3b、18a、18b、104、107 ゲート絶縁膜
4 TiN膜
4a、4b、20a、20b メタルゲート電極
4a'、4b'、20a'、20b' メタルゲート材料層
5 マスク
6 エクステンション領域
7 側壁
8 拡散領域
9 層間絶縁膜
11 n型MISFET形成領域
12 p型MISFET形成領域
13 ダミー絶縁膜
14 ポリシリコン膜
15 シリコン窒化膜
17 溝
18 HfO/HfSiO
20 HfN膜
51 Si基板
52 SiO
53 下地Hfシリケート層
54 表面Hfシリケート層
55 TiN電極
103 p型不純物拡散層
104a、107a 基板側界面層
104b、107b 高比誘電率絶縁膜
105n、105p ゲート電極
105a 下層電極層
105b 上層電極層
105c、105d 電極側界面層
DESCRIPTION OF SYMBOLS 1,101 Silicon substrate 1a, 101a p-type well 1b, 101b n-type well 2,102 Element isolation region 3 HfSiO 2 / HfO 2 film 3a, 3b, 18a, 18b, 104, 107 Gate insulating film 4 TiN film 4a, 4b , 20a, 20b Metal gate electrode 4a ′, 4b ′, 20a ′, 20b ′ Metal gate material layer 5 Mask 6 Extension region 7 Side wall 8 Diffusion region 9 Interlayer insulating film 11 n-type MISFET formation region 12 p-type MISFET formation region 13 dummy Insulating film 14 Polysilicon film 15 Silicon nitride film 17 Groove 18 HfO 2 / HfSiO 2 film 20 HfN film 51 Si substrate 52 SiO 2 layer 53 Underlayer Hf silicate layer 54 Surface Hf silicate layer 55 TiN electrode 103 P-type impurity diffusion layer 104a, 107a Substrate side interface layer 104b, 107b Dielectric constant insulating film 105n, 105 p gate electrode 105a lower electrode layer 105b upper electrode layer 105c, 105d electrode side interface layer

Claims (18)

nチャネル型絶縁ゲート電界効果トランジスタとpチャネル型絶縁ゲート電界効果トランジスタとを有し、それらのトランジスタのゲート電極が導電性材料層と、該導電性材料層とゲート絶縁膜との界面に形成された界面層とを有しており、それらのトランジスタの前記導電性材料層が主成分としてIV族遷移金属を含んでおり、nチャネル型絶縁ゲート電界効果トランジスタの前記界面層がIV族遷移金属と酸素とを含んでおり、かつ、pチャネル型絶縁ゲート電界効果トランジスタの前記界面層がIV族遷移金属と酸素と金属的シリコン(酸化数が0)とを含んでいることを特徴とする半導体装置。 An n-channel insulated gate field effect transistor and a p-channel insulated gate field effect transistor are provided, and the gate electrodes of these transistors are formed at the conductive material layer and at the interface between the conductive material layer and the gate insulating film. And the conductive material layer of those transistors contains a group IV transition metal as a main component, and the interface layer of the n-channel insulated gate field effect transistor includes a group IV transition metal. A semiconductor device comprising oxygen, and wherein the interface layer of the p-channel insulated gate field effect transistor comprises a group IV transition metal, oxygen, and metallic silicon (having an oxidation number of 0) . 前記導電性材料層に含まれる前記IV族遷移金属と前記界面層に含まれるIV族遷移金属とが同一種金属であることを特徴とする請求項1に記載の半導体装置。 2. The semiconductor device according to claim 1, wherein the group IV transition metal contained in the conductive material layer and the group IV transition metal contained in the interface layer are the same kind of metal. 前記ゲート絶縁膜は高比誘電率膜を有することを特徴とする請求項1または2に記載の半導体装置。 The semiconductor device according to claim 1, wherein the gate insulating film has a high relative dielectric constant film. 前記nチャネル型絶縁ゲート電界効果トランジスタのゲート絶縁膜の前記界面層と接触する層が酸化ジルコニウム若しくは酸化ハフニウムにより形成されていることを特徴とする請求項1から3のいずれかに記載の半導体装置。 4. The semiconductor device according to claim 1, wherein a layer in contact with the interface layer of the gate insulating film of the n-channel insulated gate field effect transistor is formed of zirconium oxide or hafnium oxide. . 前記pチャネル型絶縁ゲート電界効果トランジスタのゲート絶縁膜の前記界面層と接触する層がジルコニウムシリケート若しくはハフニウムシリケートにより形成されていることを特徴とする請求項1から4のいずれかに記載の半導体装置。 5. The semiconductor device according to claim 1, wherein a layer in contact with the interface layer of the gate insulating film of the p-channel type insulated gate field effect transistor is formed of zirconium silicate or hafnium silicate. . 前記nチャネル型絶縁ゲート電界効果トランジスタのゲート絶縁膜は、ジルコニウムシリケート層若しくはハフニウムシリケート層の上に酸化ジルコニウム層若しくは酸化ハフニウム層を重ねた積層構造を有することを特徴とする請求項1から5のいずれかに記載の半導体装置。 6. The gate insulating film of the n-channel insulated gate field effect transistor has a laminated structure in which a zirconium oxide layer or a hafnium oxide layer is stacked on a zirconium silicate layer or a hafnium silicate layer. The semiconductor device according to any one of the above. 前記pチャネル型絶縁ゲート電界効果トランジスタのゲート絶縁膜は、酸化ジルコニウム層若しくは酸化ハフニウム層の上にジルコニウムシリケート層若しくはハフニウムシリケート層を重ねた積層構造を有することを特徴とする請求項1から6のいずれかに記載の半導体装置。 7. The gate insulating film of the p-channel insulated gate field effect transistor has a laminated structure in which a zirconium silicate layer or a hafnium silicate layer is stacked on a zirconium oxide layer or a hafnium oxide layer. The semiconductor device according to any one of the above. 前記導電性材料層が二層以上の積層構造を有していることを特徴とする請求項1から7のいずれかに記載の半導体装置。 8. The semiconductor device according to claim 1, wherein the conductive material layer has a laminated structure of two or more layers. 前記導電性材料層のゲート絶縁膜と接触する層がIV族遷移金属窒化物により形成されていることを特徴とする請求項1から8のいずれかに記載の半導体装置。 9. The semiconductor device according to claim 1, wherein a layer of the conductive material layer that contacts the gate insulating film is formed of a group IV transition metal nitride. (1)nチャネル型絶縁ゲート電界効果トランジスタ形成領域に最表面がシリコンを含まない高比誘電率絶縁膜からなるゲート絶縁膜を、pチャネル型絶縁ゲート電界効果トランジスタ形成領域に最表面がシリコンを含む高比誘電率絶縁膜からなるゲート絶縁膜をそれぞれ形成する工程と、
(2)少なくともゲート絶縁膜と接触する部分がIV族遷移金属を含んでいる導電性材料層を形成する工程と、
(3)前記導電性材料層をnチャネル型絶縁ゲート電界効果トランジスタおよびpチャネル型絶縁ゲート電界効果トランジスタのゲート電極形状にパターニングする工程と、
(4)nチャネル型絶縁ゲート電界効果トランジスタおよびpチャネル型絶縁ゲート電界効果トランジスタのソース・ドレイン領域を形成する工程と、
を有する半導体装置の製造方法であって、nチャネル型絶縁ゲート電界効果トランジスタ形成領域の導電性材料層とゲート絶縁膜との間にIV族遷移金属と酸素とが含まれる界面層を、pチャネル型絶縁ゲート電界効果トランジスタ形成領域の導電性材料層とゲート絶縁膜との間にIV族遷移金属と酸素と金属的シリコン(酸化数が0)が含まれる界面層をそれぞれ形成する熱処理工程が含まれることを特徴とする半導体装置の製造方法。
(1) The n channel type insulated gate field effect transistor forming region has a gate insulating film made of a high relative dielectric constant insulating film not containing silicon, and the p channel type insulated gate field effect transistor forming region has silicon on the outermost surface. Forming a gate insulating film comprising a high relative dielectric constant insulating film,
(2) forming a conductive material layer in which at least a portion in contact with the gate insulating film contains a group IV transition metal;
(3) patterning the conductive material layer into gate electrode shapes of an n-channel insulated gate field effect transistor and a p-channel insulated gate field effect transistor;
(4) forming source / drain regions of the n-channel insulated gate field effect transistor and the p-channel insulated gate field effect transistor;
And a p-channel interface layer containing a Group IV transition metal and oxygen between the conductive material layer and the gate insulating film in the n-channel insulated gate field effect transistor formation region. Includes a heat treatment step of forming an interface layer containing a group IV transition metal, oxygen, and metallic silicon (with an oxidation number of 0) between the conductive material layer and the gate insulating film in the type insulating gate field effect transistor formation region A method for manufacturing a semiconductor device.
前記第(4)の工程が、ソース・ドレイン形成領域への不純物導入工程と、導入された不純物の活性化工程とを含んでおり、該活性化工程が前記熱処理工程を兼ねていることを特徴とする請求項10に記載の半導体装置の製造方法。 The step (4) includes an impurity introduction step into the source / drain formation region and an activation step of the introduced impurity, and the activation step also serves as the heat treatment step. A method for manufacturing a semiconductor device according to claim 10. (1′)nチャネル型絶縁ゲート電界効果トランジスタ形成領域およびpチャネル型絶縁ゲート電界効果トランジスタ形成領域にダミーゲートを形成する工程と、
(2′)nチャネル型絶縁ゲート電界効果トランジスタ形成領域およびpチャネル型絶縁ゲート電界効果トランジスタ形成領域にソース・ドレイン領域を形成する工程と、
(3′)前記ダミーゲートを埋め込む絶縁膜を成膜し前記ダミーゲートをエッチング除去してゲート電極形成領域に開口を有する層間絶縁膜を形成する工程と、
(4′)nチャネル型絶縁ゲート電界効果トランジスタ形成領域に最表面がシリコンを含まない高比誘電率絶縁膜からなるゲート絶縁膜を、pチャネル型絶縁ゲート電界効果トランジスタ形成領域に最表面がシリコンを含む高比誘電率絶縁膜からなるゲート絶縁膜をそれぞれ形成する工程と、
(5′)少なくともゲート絶縁膜と接触する部分がIV族遷移金属を含んでいる導電性材料層を形成する工程と、
(6′)前記導電性材料層をnチャネル型絶縁ゲート電界効果トランジスタおよびpチャネル型絶縁ゲート電界効果トランジスタのゲート電極形状にパターニングする工程と、
を有する半導体装置の製造方法であって、nチャネル型絶縁ゲート電界効果トランジスタ形成領域の導電性材料層とゲート絶縁膜との間にIV族遷移金属と酸素とが含まれる界面層を、pチャネル型絶縁ゲート電界効果トランジスタ形成領域の導電性材料層とゲート絶縁膜との間にIV族遷移金属と酸素と金属的シリコン(酸化数が0)が含まれる界面層をそれぞれ形成する熱処理工程が含まれることを特徴とする半導体装置の製造方法。
(1 ′) forming a dummy gate in the n-channel insulated gate field effect transistor forming region and the p-channel insulated gate field effect transistor forming region;
(2 ′) forming a source / drain region in the n-channel insulated gate field effect transistor forming region and the p-channel insulated gate field effect transistor forming region;
(3 ′) forming an insulating film for embedding the dummy gate, etching away the dummy gate, and forming an interlayer insulating film having an opening in a gate electrode formation region;
(4 ') An n channel type insulated gate field effect transistor forming region is formed with a gate insulating film made of a high relative dielectric constant insulating film containing no silicon, and an p channel type insulated gate field effect transistor forming region is formed with an outermost surface of silicon. Forming a gate insulating film comprising a high relative dielectric constant insulating film containing
(5 ′) forming a conductive material layer in which at least a portion in contact with the gate insulating film contains a group IV transition metal;
(6 ′) patterning the conductive material layer into gate electrode shapes of an n-channel insulated gate field effect transistor and a p-channel insulated gate field effect transistor;
And a p-channel interface layer containing a Group IV transition metal and oxygen between the conductive material layer and the gate insulating film in the n-channel insulated gate field effect transistor formation region. Includes a heat treatment step of forming an interface layer containing a group IV transition metal, oxygen, and metallic silicon (with an oxidation number of 0) between the conductive material layer and the gate insulating film in the type insulating gate field effect transistor formation region A method for manufacturing a semiconductor device.
前記熱処理工程を、前記第(2)の工程の後前記第(3)の工程に先立って、若しくは、前記第(5′)の工程の後前記第(6′)の工程に先立って行うことを特徴とする請求項10または12に記載の半導体装置の製造方法。 The heat treatment step is performed after the (2) step and before the (3) step, or after the (5 ') step and before the (6') step. The method for manufacturing a semiconductor device according to claim 10, wherein: 前記第(5′)の工程が、ゲート絶縁膜と接触する第1導電性材料層を形成する工程と、前記熱処理を行う工程と、前記第1導電性材料層上に第2導電性材料層を形成する工程とを含むことを特徴とする請求項12に記載の半導体装置の製造方法。 The (5 ′) step includes a step of forming a first conductive material layer in contact with a gate insulating film, a step of performing the heat treatment, and a second conductive material layer on the first conductive material layer. The method of manufacturing a semiconductor device according to claim 12, further comprising: 前記第(1)の工程、若しくは、前記第(4′)の工程が、シリコンを含まない高比誘電率絶縁膜とシリコンを含む高比誘電率絶縁膜とをこの順に全面に形成する工程と、nチャネル型絶縁ゲート電界効果トランジスタ形成領域に形成されたシリコンを含む高比誘電率絶縁膜を選択的に除去する工程とを含むことを特徴とする請求項10から14のいずれかに記載の半導体装置の製造方法。 The step (1) or the step (4 ′) includes a step of forming a high relative dielectric constant insulating film not containing silicon and a high relative dielectric constant insulating film containing silicon on the entire surface in this order. And selectively removing the high dielectric constant insulating film containing silicon formed in the n-channel insulated gate field effect transistor formation region. A method for manufacturing a semiconductor device. 前記第(1)の工程、若しくは、前記第(4′)の工程が、シリコンを含む高比誘電率絶縁膜とシリコンを含まない高比誘電率絶縁膜とをこの順に全面に形成する工程と、pチャネル型絶縁ゲート電界効果トランジスタ形成領域に形成されたシリコンを含まない高比誘電率絶縁膜を選択的に除去する工程とを含むことを特徴とする請求項10から14のいずれかに記載の半導体装置の製造方法。 The step (1) or the step (4 ′) of forming a high relative dielectric constant insulating film containing silicon and a high relative dielectric constant insulating film not containing silicon over the entire surface in this order; And a step of selectively removing the high relative dielectric constant insulating film not containing silicon formed in the p channel type insulated gate field effect transistor formation region. Semiconductor device manufacturing method. 前記第(1)の工程、若しくは、前記第(4′)の工程が、シリコンを含まない高比誘電率絶縁膜を全面に形成する工程と、マスクを介してシリコンを含む高比誘電率絶縁膜をpチャネル型絶縁ゲート電界効果トランジスタ形成領域に選択的に形成する工程とを含むことを特徴とする請求項10から14のいずれかに記載の半導体装置の製造方法。 The step (1) or the step (4 ′) includes a step of forming a high relative dielectric constant insulating film not containing silicon on the entire surface, and a high relative dielectric constant insulation containing silicon through a mask. The method for manufacturing a semiconductor device according to claim 10, further comprising a step of selectively forming a film in a p-channel insulated gate field effect transistor formation region. 前記第(1)の工程、若しくは、前記第(4′)の工程が、シリコンを含む高比誘電率絶縁膜を全面に形成する工程と、マスクを介してシリコンを含まない高比誘電率絶縁膜をnチャネル型絶縁ゲート電界効果トランジスタ形成領域に選択的に形成する工程とを含むことを特徴とする請求項10から14のいずれかに記載の半導体装置の製造方法。 The step (1) or the step (4 ′) includes a step of forming a high relative dielectric constant insulating film containing silicon on the entire surface, and a high relative dielectric constant insulation not containing silicon through a mask. The method for manufacturing a semiconductor device according to claim 10, further comprising a step of selectively forming a film in an n-channel insulated gate field effect transistor formation region.
JP2004198842A 2004-07-06 2004-07-06 Semiconductor device and manufacturing method thereof Expired - Fee Related JP4792716B2 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2004198842A JP4792716B2 (en) 2004-07-06 2004-07-06 Semiconductor device and manufacturing method thereof

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2004198842A JP4792716B2 (en) 2004-07-06 2004-07-06 Semiconductor device and manufacturing method thereof

Publications (2)

Publication Number Publication Date
JP2006024594A JP2006024594A (en) 2006-01-26
JP4792716B2 true JP4792716B2 (en) 2011-10-12

Family

ID=35797685

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2004198842A Expired - Fee Related JP4792716B2 (en) 2004-07-06 2004-07-06 Semiconductor device and manufacturing method thereof

Country Status (1)

Country Link
JP (1) JP4792716B2 (en)

Families Citing this family (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2007243009A (en) * 2006-03-10 2007-09-20 Renesas Technology Corp Semiconductor device and its manufacturing method
JP5037242B2 (en) 2007-07-06 2012-09-26 キヤノンアネルバ株式会社 Manufacturing method of semiconductor device
JP2008306051A (en) * 2007-06-08 2008-12-18 Rohm Co Ltd Semiconductor device, and manufacturing method thereof
TWI492367B (en) 2007-12-03 2015-07-11 Renesas Electronics Corp Method of manufacturing cmos semiconductor device
JP5280670B2 (en) * 2007-12-07 2013-09-04 ルネサスエレクトロニクス株式会社 Manufacturing method of semiconductor device
JP5314964B2 (en) 2008-08-13 2013-10-16 ルネサスエレクトロニクス株式会社 Manufacturing method of semiconductor device
JP5305989B2 (en) * 2009-03-06 2013-10-02 株式会社東芝 Manufacturing method of semiconductor device
CN102104070B (en) * 2009-12-21 2013-08-28 中国科学院微电子研究所 Semiconductor structure and forming method thereof
JP2013069863A (en) 2011-09-22 2013-04-18 Elpida Memory Inc Semiconductor device
JP2013219202A (en) 2012-04-09 2013-10-24 Elpida Memory Inc Semiconductor device, and designing method and manufacturing method of the same
JP2015041674A (en) 2013-08-21 2015-03-02 マイクロン テクノロジー, インク. Semiconductor device and method of manufacturing the same
KR20150040544A (en) * 2013-10-07 2015-04-15 삼성전자주식회사 Semiconductor device and method for fabricating the same
JP6957310B2 (en) * 2017-10-24 2021-11-02 東京エレクトロン株式会社 Semiconductor devices and CMOS transistors

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR19990014155A (en) * 1997-07-24 1999-02-25 윌리엄 비. 켐플러 High permittivity silicate gate dielectric
KR100500013B1 (en) * 2001-04-02 2005-07-12 마츠시타 덴끼 산교 가부시키가이샤 Semiconductor device and method for manufacture thereof
JP2002367981A (en) * 2001-06-04 2002-12-20 Hitachi Ltd Semiconductor device and its manufacturing method
JP3688631B2 (en) * 2001-11-22 2005-08-31 株式会社東芝 Manufacturing method of semiconductor device
JP3637325B2 (en) * 2002-03-27 2005-04-13 株式会社東芝 Field effect transistor
JP2003309188A (en) * 2002-04-15 2003-10-31 Nec Corp Semiconductor device and its manufacturing method
AU2003281112A1 (en) * 2002-07-16 2004-02-02 Nec Corporation Semiconductor device, production method and production device thereof
US20040029321A1 (en) * 2002-08-07 2004-02-12 Chartered Semiconductor Manufacturing Ltd. Method for forming gate insulating layer having multiple dielectric constants and multiple equivalent oxide thicknesses
JP3805750B2 (en) * 2003-01-21 2006-08-09 株式会社東芝 Complementary field effect transistor and manufacturing method thereof
JP3790242B2 (en) * 2003-09-26 2006-06-28 株式会社東芝 Semiconductor device and manufacturing method thereof
JP2005317583A (en) * 2004-04-27 2005-11-10 Renesas Technology Corp Semiconductor device and its manufacturing method

Also Published As

Publication number Publication date
JP2006024594A (en) 2006-01-26

Similar Documents

Publication Publication Date Title
US9947766B2 (en) Semiconductor device and fabricating method thereof
JP5235784B2 (en) Semiconductor device
US8390080B2 (en) Transistor with dopant-bearing metal in source and drain
KR101027107B1 (en) Metal gate mosfet by full semiconductor metal alloy conversion
JP5442332B2 (en) Semiconductor device and manufacturing method thereof
US8384167B2 (en) Semiconductor device with field effect transistor and manufacturing method thereof
US20130260549A1 (en) Replacement gate with reduced gate leakage current
US20060017112A1 (en) Semiconductor device with high-k gate dielectric and quasi-metal gate, and method of forming thereof
TWI469262B (en) Manufacturing method of semiconductor device and semiconductor device
JP2006108602A (en) Semiconductor device and its manufacturing method
US9029959B2 (en) Composite high-k gate dielectric stack for reducing gate leakage
JP5521726B2 (en) Semiconductor device and manufacturing method thereof
JP4792716B2 (en) Semiconductor device and manufacturing method thereof
US20150255564A1 (en) Method for manufacturing a semiconductor device
TW201543679A (en) Semiconductor device structure and method for forming the same
JP3998665B2 (en) Semiconductor device and manufacturing method thereof
US20130154022A1 (en) CMOS Devices with Metal Gates and Methods for Forming the Same
US20090057786A1 (en) Semiconductor device and method of manufacturing semiconductor device
TWI791256B (en) Semiconductor structures and methods of forming them
JP5676111B2 (en) Semiconductor device and manufacturing method thereof
JP5194732B2 (en) Semiconductor device manufacturing method and semiconductor device
JP2001284580A (en) Semiconductor device and method of manufacturing the same
JP2013008787A (en) Semiconductor device and manufacturing method of the same
CN108573868B (en) Semiconductor structure and forming method thereof
JP2012099549A (en) Method of manufacturing semiconductor device

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20070611

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20090929

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20110308

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20110426

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20110628

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20110711

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20140805

Year of fee payment: 3

LAPS Cancellation because of no payment of annual fees