JP4771945B2 - バリヤ金属上に直接銅めっきするマルチステップ電着法 - Google Patents

バリヤ金属上に直接銅めっきするマルチステップ電着法 Download PDF

Info

Publication number
JP4771945B2
JP4771945B2 JP2006518879A JP2006518879A JP4771945B2 JP 4771945 B2 JP4771945 B2 JP 4771945B2 JP 2006518879 A JP2006518879 A JP 2006518879A JP 2006518879 A JP2006518879 A JP 2006518879A JP 4771945 B2 JP4771945 B2 JP 4771945B2
Authority
JP
Japan
Prior art keywords
copper
substrate
layer
solution
barrier layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP2006518879A
Other languages
English (en)
Other versions
JP2007528932A (ja
Inventor
ツィ−ウェン スン,
レンレン ヘ,
ユー ワン,
マイケル, エックス ワン,
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2007528932A publication Critical patent/JP2007528932A/ja
Application granted granted Critical
Publication of JP4771945B2 publication Critical patent/JP4771945B2/ja
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76861Post-treatment or after-treatment not introducing additional chemical elements into the layer
    • H01L21/76864Thermal treatment
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D3/00Electroplating: Baths therefor
    • C25D3/02Electroplating: Baths therefor from solutions
    • C25D3/38Electroplating: Baths therefor from solutions of copper
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D5/00Electroplating characterised by the process; Pretreatment or after-treatment of workpieces
    • C25D5/10Electroplating with more than one layer of the same or of different metals
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D7/00Electroplating characterised by the article coated
    • C25D7/12Semiconductors
    • C25D7/123Semiconductors first coated with a seed layer or a conductive layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/288Deposition of conductive or insulating materials for electrodes conducting electric current from a liquid, e.g. electrolytic deposition
    • H01L21/2885Deposition of conductive or insulating materials for electrodes conducting electric current from a liquid, e.g. electrolytic deposition using an external electrical current, i.e. electro-deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76868Forming or treating discontinuous thin films, e.g. repair, enhancement or reinforcement of discontinuous thin films
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L21/76873Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers for electroplating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material

Description

本発明の背景
発明の分野
[0001]本発明の実施形態は、一般的には、電気化学めっきにより金属層を堆積させる方法に関し、特に、金属層は銅シード層である。
関連技術の説明
[0002]サブクォータミクロンサイズの特徴部のメタライゼーションは、集積回路製造工程の現在及び将来の世代のための基本的技術である。超大規模集積型デバイスのようなデバイス、即ち、集積回路が百万を超えるロジックゲートを含むデバイスにおいて、これらデバイスの中心にあるマルチレベル相互接続部は、通常は、高アスペクト比相互接続特徴部を導電物質(例えば、銅又はアルミニウム)で充填することにより形成される。従来、化学気相堆積(CVD)や物理気相堆積(PVD)のような堆積技術は、これら相互接続特徴部を充填するために用いられてきた。しかしながら、相互接続部サイズが小さくなり、アスペクト比が増加するにつれて、従来のメタライゼーション技術によるボイドを含まない相互接続特徴部はますます困難である。その結果として、めっき技術、例えば、電気化学めっき(ECP)や無電解めっきが、集積回路製造工程においてサブクォータミクロンサイズの高アスペクト比相互接続特徴部を充填するための実行可能なプロセスとして出現してきた。
[0003]ECPプロセスにおいて、基板の表面に形成されるサブクォータミクロンサイズの高アスペクト比特徴部は、銅のような導電物質で効率良く充填することができる。大抵のECPプロセスは通常は二段階プロセスであり、シード層はまず基板の表面特徴部上に形成され(このプロセスは別個のシステムにおいて行われてもよい)、次に基板表面特徴部が電解質溶液に晒されると同時に電気的バイアスが基板と電解質溶液内に配置されたアノードとの間に印加される。電解質溶液は、通常は、基板の表面にめっきすべきイオンが多く含んでいる。それ故、電気的バイアスを適用すると、金属イオンを還元させる還元反応が働き、各々の金属が沈殿する。沈澱する際、金属がシード層にめっきして膜を形成する。
[0004]現代の超小型電子デバイスにおける微小寸法が0.1μm以下に収縮するにつれて、銅相互接続部に必要とされるプロセスは更により厳しくなっている。その結果として、従来のめっきプロセスは将来の相互接続技術の要求を支持するために不適当なものである。従来のめっき技術は、拡散バリヤ層(例えば、タンタル又は窒化タンタル)に物理気相堆積(PVD)、化学気相堆積(CVD)又は原子層堆積(ALD)によって銅シード層を堆積させることを含んでいる。しかしながら、銅集塊の不連続な島がPVD技術による高アスペクト比の特徴部の底面近くにしばしば得られるので、PVD技術で十分なシードステップカバレージを有することは極めて難しい。CVD技術の場合、通常は、フィールド上に厚い銅層(例えば、>200オングストローム)が特徴部の深さ全体に連続的な側壁カバレージを有することが必要とされ、特徴部の側壁が覆われる前にしばしば特徴部の狭路が閉ざされる。更に、銅純度は、通常、完全な前駆物質リガンド削除が難しいために、CVDプロセスにおいて不確かである。ALD技術は、通常はバリヤに良好な接着でコンホーマルな堆積を与えることができるが、側壁上に連続した銅膜を与えるには時間がかかりすぎる。また、コバルト、ニッケル、ルテニウム、銀、窒化チタンを含む代替物質が、バリヤ層に用いられる物質を徐々に置き換えている。
[0005]これらの従来のバリヤ物質は表面全体に絶縁未変性酸化物を有するので、タンタル又は窒化タンタルのようなバリヤ物質上に直接めっきすることは難しい。また、電気めっきの間、バリヤ導電物質(例えば、コバルト)は、通常は、遊離銅イオンの還元的電位近くで酸化する。それ故、銅シード層の電気めっきの間にバリヤ層の品質が損なわれる。PVDは銅シード層を堆積するための好ましい技術であった。タンタル又は窒化タンタルのバリヤ層にシード層を堆積するための無電解めっき技術が知られている。しかしながら、これらの技術は、銅シード層とバリヤ層間の接着不良のようないくつかの問題と、完全な無電解堆積システムの複雑さが加わりプロセス制御の難しさを伴う欠点を持っている。その上、良好に付着したシード層は、バルク銅層の電気めっき中に用いられる酸性溶液からバリヤ層(例えば、コバルト)を保護するようないくつかの利点を有する。また、銅シードはバルク銅を支持し、バリヤ層からの剥離を最少にする。
[0006]それ故、コバルト、ニッケル、ルテニウム、銀又は窒化チタンのようなバリヤ層に銅シード層を堆積させる方法が求められている。方法は、バリヤ層に対して強力な接着と基板表面全体に対して良好な均一性で銅シード層を堆積すべきである。また、方法はバリヤ物質の範囲に適用可能であるべきである。バリヤ層は、シード層堆積中にほとんど又は全く酸化せずに維持されるべきである。
発明の概要
[0007]本発明は、一般的に基板表面に銅シード層を堆積させる方法であって、基板表面がバリヤ層を含んでいる、前記方法を提供する。その方法は、銅イオンを含む銅の溶液に基板表面を入れるステップであって、銅溶液が錯体イオンを含んでいる、前記ステップと、基板表面全体に電流を加えるステップと、錯体形成銅イオンを電流で還元してバリヤ層に銅シード層を堆積させるステップとを含んでいる。
[0008]他の実施形態においては、本発明は、基板表面上のバリヤ層に金属シード層を堆積させる方法を提供する。その方法は、溶液に基板表面を入れるステップであって、溶液が金属源化合物と錯体形成化合物を含んでいる、前記ステップと、溶液内で錯体形成金属イオンを形成するステップと、錯体形成金属イオンを電気めっきで還元して金属シード層を形成するステップを含んでいる。
[0009]他の実施形態においては、本発明は、銅溶液からバリヤ層に銅シード層を電気めっきする方法を提供する。その方法は、バリヤ層を含む基板表面を銅溶液と接触した液体に入れるステップであって、銅溶液が銅イオンと錯体形成化合物を含んでいる、前記ステップと、銅イオンを電流で還元して銅シード層を形成するステップとを含んでいる。
[0010]本発明の上記特徴が詳細に理解され得るように、上で簡単に纏められた本発明の更に具体的な説明が実施形態によってなされるものであり、それらの一部は添付された図面において示されている。しかしながら、添付された図面は、本発明の典型的な実施態様のみ示しているので、本発明の範囲を制限するものとみなすべきでなく、本発明は他の等しく有効な実施形態を許容することができることに留意すべきである。
好適実施形態の詳細な説明
[0013]本発明の一実施形態は、基板表面上に、通常はバリヤ層上に銅シード層を堆積させる方法を教示する。その方法は、錯体形成銅イオンを含む銅溶液に基板表面を入れるステップを含んでいる。電流又はバイアスが基板表面全体に加えられ、錯体形成銅イオンを還元してバリヤ層に銅を堆積させる。一態様においては、錯体形成銅イオンは、カルボン酸リガンド、例えば、クエン酸リガンド、酒石酸リガンド、EDTAリガンド及び/又は酢酸リガンドを含んでいる。バリヤ層は、コバルト、ルテニウム、ニッケル、タングステン、チタン及び/又は銀より選択された金属を含んでいる。銅溶液は湿潤剤とサプレッサを含有することもできる。
[0014]図1は、本発明の方法を実施することができる電気化学処理システム(ECPS)100の実施形態の正面図である。ECPS100は、通常は、ロボット120がその上に中央に配置された処理ベース113を含んでいる。ロボット120は、通常は、その上に基板を支持するように構成された1つ以上のロボットアーム122及び124を含んでいる。更に、ロボット120とロボットアーム122及び124は、通常は、伸長し、回転し、垂直に移動するように構成され、ロボット120はベース113上に配置された複数の処理位置102、104、106、108、110、112、114、116へ、また、それらから基板を挿入し取り出すことができる。処理位置は、無電解めっきセル、電気化学めっきセル、基板洗浄及び/又は乾燥セル、基板ベベル洗浄セル、基板表面洗浄又は前洗浄セル及び/又はめっきプロセスに有利な他の処理セルとして構成することができる。好ましくは、本発明の実施形態は、処理位置102、104、110、112の少なくとも1つの中で行われる。
[0015]ECPS100は、更に、ファクトリインタフェース(FI)130を含んでいる。FI130は、通常は、処理ベース113に隣接しているFI130の側面に隣接して配置された少なくとも1つのFIロボット132を含んでいる。FIロボット132は、基板カセット134から基板126を接続するように配置されている。FIロボット132は、処理セル114及び116の1つに基板126を分配して処理シーケンスを開始させる。同様に、FIロボット132は、基板処理シーケンスが完了した後、処理セル114及び116の1つから基板を回収するために用いることができる。この状況において、FIロボット132は、システム110から除去するためのカセット134の1つに基板126逆に分配することができる。更に、ロボット132はファクトリインタフェース130を処理メインフレーム又はプラットフォーム134に接続するリンクトンネル115にも伸長する。更に、FIロボット132は、FI130と連通して配置されたアニールチャンバ135に接続するように構成されている。アニールチャンバ135は、通常は、二位置アニールチャンバを含み、冷却板又は位置136と加熱板又は位置137は、例えば、2つのステーション間でそれに接近した位置に配置された基板輸送ロボット140と隣接して配置されている。ロボット140は、通常は、それぞれの加熱板137と冷却板136との間で基板を移動させるように構成されている。
[0016]本発明の実施形態は、銅シード層のECPのめっき溶液内に含まれた錯体形成銅供給源の使用を教示している。錯体形成銅供給源を含有するめっき溶液は、遊離銅イオンを含有するめっき溶液より著しい負の析出電位を有する。通常は、標準水素電極に対して電圧が0.235VのAg/AgCl(1M KCl)に示された場合、錯体形成銅イオンの析出電位は約-0.9V〜約-0.3Vであるが、遊離銅イオンの析出電位は約-0.3V〜約-0.1Vの範囲にある。例えば:
Figure 0004771945
[0017]コバルト又はニッケルのようなバリヤ層は、遊離銅イオンの析出電位と同じ電位の溶解電位を有する。例えば:
Figure 0004771945
それ故、遊離銅イオンは還元して銅シード層を形成するが、コバルト又はニッケルバリヤ層は酸化され溶液に溶解される。一旦バリヤ層の品質が悪くなると、銅はバリヤ層のボイドを通って移動するとともに基板の他の物質を汚染し得る。
[0018]図2は、遊離銅イオン(例えば、CuSO4)と比較した錯体形成銅イオン(例えば、クエン酸Cu)のECPを示す一例のグラフである。グラフは、めっきプロセスの電位(V)に対する電流密度(A/cm2)をプロットしている。錯体形成銅イオンを含有する溶液は、クエン酸Cu(1)とクエン酸Cu(2)として標識されている。クエン酸Cu(1)溶液は0.25Mクエン酸銅(II)と0.25Mの酢酸ナトリウムを含有し、クエン酸Cu(2)溶液は0.25MのCuSO4と0.5Mのクエン酸ナトリウムを含有する。遊離銅イオンを含有する溶液は、CuSO4(1)とCuSO4(2)として標識されている。CuSO4(1)溶液は0.8MのCuSO4とサプレッサを含有し、CuSO4(2)溶液は0.8MのCuSO4、サプレッサ、アクセレータを含有する。グラフは、錯体槽を用いることにより、銅析出電位が1mA/cm2以上のあらゆる実施電流密度下で、これら金属の溶解電位がその範囲外であるので、コバルト又はニッケル溶解/腐食を生じないより負の値に著しく移動したことを示している。銅の析出電位のより負でない値が用いられる場合には、シード層形成の前にバリヤ層酸化が起こり始める。従って、より負の値の電位を用いた銅シード層によって錯体槽における銅析出の間、バリヤ金属は保護されている。
[0019]一方、遊離銅イオンを有する槽と比較した場合、錯体槽の電位に対する電流依存は実質的に減少する。それ故、基板表面全体に局所的な電流密度変化は、薄いバリヤ金属の低い導電性のために基板表面全体に大きな電位勾配の存在下でさえも改善される。このことにより、基板表面全体に析出均一性が良好になる。
[0020]金属シード層(例えば、銅)を上に堆積するのに適したバリヤ層は、コバルト、ルテニウム、ニッケル、タングステン、窒化タングステン、チタン、窒化チタン、銀を含んでいる。バリヤ層は、通常は、化学気相堆積(CVD)、プラズマ増強型CVD(PECVD)、高密度プラズマCVD(HDP-CVD)、原子層堆積(ALD)、物理気相堆積(PVD)、電気めっき又は無電解めっき堆積技術、又はその組み合わせた技術によって堆積される。
[0021]めっき溶液は錯体形成銅イオンを含むので、堆積プロセスは遊離銅イオンから銅を堆積するために必要とされるより負の電位(例えば、-0.5V〜-0.3V)のバイアスで開始する。また、バイアスはバリヤ層を酸化するのに必要とされるより負の電位を有する。バイアスが掛けられるにつれて、錯体形成銅イオンは化学的に還元され、銅金属がめっき溶液から沈殿する。銅の沈殿物は、バリヤ層を堆積又は被覆して銅シード層を形成する。一旦バリヤ層が銅シード層がその上に堆積されると、バリヤ層はより負でない電位で金属溶解プロセスから保護又は遮蔽される。堆積バイアスは、通常は、電流密度が約10mA/cm2以下、好ましくは約5mA/cm2以下、更に好ましくは約3mA/cm2以下である。一実施形態においては、堆積バイアスの電流密度は約0.5mA/cm2〜約3.0mA/cm2の範囲にある。
[0022]銅をめっきするのに本明細書で記載されるプロセスで用いることができる適切なめっき溶液は、少なくとも1つの銅供給源化合物と、少なくとも1つのキレート化又は錯体形成化合物と、任意の湿潤剤又はサプレッサと、任意の1つ以上のpH調整剤と、溶媒を含むことができる。
[0023]めっき溶液は、少なくとも1つの種々のリガンドで錯体形成又はキレート化された少なくとも1つの銅供給源化合物を含有する。錯体形成銅は核内に銅原子を含み、リガンド、官能基、分子又はイオンによって、もしあるならばリガンド(例えば、水)に対してかなり弱い有限性を備えた遊離銅イオンに相容れないように、銅に対して強力な有限性で囲まれている。錯体形成銅供給源は、めっき溶液(例えば、クエン酸銅)に加えられる前にキレート化されるか又は遊離銅イオン供給源(例えば、硫酸銅)を錯化剤(例えば、クエン酸又はクエン酸ナトリウム)と合わせることによってその場で形成される。銅原子は、リガンドで錯体形成前に、間に又は後に、0、1又は2のような任意の酸化状態であり得る。それ故、開示内容全体に、銅又は元素記号Cuという用語の使用は、特に区別又は留意されない限り、銅金属(Cu0)、第二銅(Cu+1)又は第一銅(Cu+2)を含んでいる。
[0024]適切な銅供給源化合物の例は、硫酸銅、リン酸銅、硝酸銅、クエン酸銅、酒石酸銅、シュウ酸銅、EDTA銅、酢酸銅、ピロリン酸銅、その組合わせ、好ましくは硫酸銅及び/又はクエン酸銅を含んでいる。具体的な銅供給源化合物はライゲートされた種類を有してもよい。例えば、クエン酸銅は少なくとも1つの第二銅原子、第一銅原子又はその組合わせや少なくとも1つのクエン酸リガンドを含むことができ、Cu(C677)、Cu2(C647)、Cu3(C657)又はCu(C677)2を含んでいる。他の例においては、EDTA銅は少なくとも1つの第二銅原子、第一銅原子又はその化合物、少なくとも1つのEDTAリガンドを含むことができ、Cu(C101582)、Cu2(C101482)、Cu3(C101382)、Cu4(C101282)、Cu(C101482)又はCu2(C101282)を含んでいる。めっき溶液は、約0.02M〜約0.8Mの範囲の濃度、好ましくは約0.1M〜約0.5Mの範囲の濃度で1つ以上の銅供給源化合物又は錯体形成金属化合物を含むことができる。例えば、約0.25Mの硫酸銅は銅供給源化合物として用いることができる。
[0025]めっき溶液は1つ以上のキレート化又は錯体形成化合物を含有し、カルボキシレート基、ヒドロキシル基、アルコキシル、オキソ酸基、ヒドロキシル基とカルボキシレート基の混合物、その組合わせより選ばれた1つ以上の官能基を有する化合物を含んでいる。1つ以上のカルボキシレート基を有する適切なキレート化合物の例は、クエン酸、酒石酸、ピロリン酸、コハク酸、シュウ酸、その組合わせを含んでいる。1つ以上のカルボキシレート基を有する他の適切な酸は、酢酸、アジピン酸、酪酸、カプリン酸、カプロン酸、カプリル酸、グルタル酸、グリコール酸、ギ酸、フマル酸、乳酸、ラウリン酸、リンゴ酸、マレイン酸、マロン酸、ミリスチン酸、パルミチン酸、フタル酸、プロピオン酸、ピルビン酸、ステアリン酸、吉草酸、キナルジン酸、グリシン、アントラニル酸、フェニルアラニン、その組合わせを含んでいる。適切なキレート化合物は、更に、エチレンジアミン、ジエチレントリアミン、ジエチレントリアミン誘導体、ヘキサジアミン、アミノ酸、エチレンジアミン四酢酸、メチルホルムアミド又はその組合わせのような1つ以上のアミン官能基やアミド官能基を有する化合物を含んでいる。めっき溶液は、約0.02M〜約1.6Mの範囲、好ましくは約0.2M〜約1.0Mの範囲にある濃度で1つ以上のキレート化剤を含むことができる。例えば、約0.5Mのクエン酸がキレート化剤として用いられてもよい。
[0026]1つ以上のキレート化合物は、また、リチウム、ナトリウム、カリウム、セシウム、カルシウム、マグネシウム、アンモニウム、その化合物のような本明細書に記載されるキレート化合物の塩を含むことができる。キレート化合物の塩は、完全に又は部分的にのみ、上記陽イオン(例えば、ナトリウム)と酸性プロトン、例えば、Nax(C68-x7)又はNaxEDTA、X=1-4を含有することができる。このような塩は、銅供給源と合わせてNaCu(C657)を生成する。適切な無機酸塩又は有機酸塩の例は、アンモニウム塩やカリウム塩、又は有機酸、例えば、シュウ酸アンモニウム、クエン酸アンモニウム、コハク酸アンモニウム、一塩基性クエン酸カリウム、二塩基性クエン酸カリウム、三塩基性クエン酸カリウム、酒石酸カリウム、酒石酸アンモニウム、コハク酸カリウム、シュウ酸カリウム、及びその組合わせを含んでいる。1つ以上のキレート化合物は、錯体形成塩、例えば、水和物(例えば、クエン酸ナトリウム2水和物)を含むことができる。
[0027]めっき溶液は特に銅をめっきするのに有効であるが、その溶液は、プラチナ、タングステン、チタン、コバルト、金、銀、ルテニウム、その組合わせのような他の導電性物質を堆積するのに用いることもできると考えられる。銅前駆物質は、前述の金属と少なくとも1つのリガンド、例えば、クエン酸コバルト、硫酸コバルト又はリン酸コバルトを含有する前駆物質によって代用される。
[0028]めっき溶液の導電性を減少させる電気抵抗添加物のような湿潤剤又はサプレッサは、約10ppm〜約2,000ppmの範囲、好ましくは約50ppm〜約1,000ppmの範囲で溶液に加えることができる。サプレッサは、ポリアクリルアミド、ポリアクリル酸ポリマー、ポリカルボキシレートコポリマー、エチレンオキサイド及び/又はプロピレンオキサイド(EO/PO)のポリエーテル又はポリエステル、ココナッツジエタノールアミド、オレイン酸ジエタノールアミド、エタノールアミド誘導体又はその組合わせを含んでいる。
[0029]1つ以上のpH調整剤は、7未満、好ましくは約3〜約7、更に好ましくは約4.5〜約6.5のpHを達成するためにめっき溶液に任意に加えられる。その他の成分の濃度が異なる配合物に変わるにつれて、pH調整剤の量は変動し得る。異なる化合物は、或る濃度の異なるpHレベルを与えることができ、例えば、組成物は、希望のpHレベルを与えるために、約0.1%〜約10容量%の塩基、水酸化カリウム、水酸化アンモニウム又はその組合わせを含むことができる。1つ以上のpH調整剤は、酢酸、クエン酸、シュウ酸のようなカルボン酸、リン酸、リン酸アンモニウム、リン酸カリウムを含むリン含有成分、硫酸、硝酸、塩酸のような無機酸及びその組合わせを含む酸の種類より選ぶことができる。
[0030]本明細書に記載されるめっき溶液の残量又は残部は、極性溶媒のような溶媒である。水が好ましい溶媒、好ましくは脱イオン水である。有機溶媒は、例えば、アルコール又はグリコールを用いることもできるが、通常は水溶液で含まれる。
[0031]めっき溶液は1つ以上の添加化合物を含むことができる。添加剤化合物は、基板表面に対して金属、即ち、銅を堆積させるめっき溶液の有効性を改善するためのサプレッサ、エンハンサ、レベラ、光沢剤、安定剤を含む電解質添加物を含むが、これらに限定されない。例えば、ある種の添加剤は金属原子のイオン化割合を減少させることができ、それにより溶解プロセスを阻止するが、他の添加物は仕上がった光沢のある基板表面を与える。添加剤は、約15質量又は容量%までの濃度のめっき溶液に存在することができ、めっき後の望ましい結果に基づいて変えることができる。
[0032]一実施形態においては、めっき溶液は少なくとも1つの銅供給源化合物と、少なくとも1つのキレート化合物又は錯体形成化合物と、溶媒を含んでいる。一態様においては、少なくとも1つの銅供給源化合物は硫酸銅を含み、キレート化合物はクエン酸塩を含み、溶媒は脱イオン水である。硫酸銅は、脱イオン水に溶解されて濃度が約0.25Mの硫酸銅溶液を生成する。同様に、クエン酸ナトリウム2水和物は、濃度が約0.5Mの溶液に脱イオン水に溶解される。前述の2つの溶液は、pHが約5〜約6の範囲のめっき溶液を形成するために合わせられる。他の態様においては、銅源(例えば、硫酸銅)とキレート化合物(例えば、クエン酸ナトリウム2水和物)は固体として合わせることができ、次に水で許容しうる濃度に溶解される。
[0033]他の実施形態においては、めっき溶液は、少なくとも1つの銅供給源化合物と、少なくとも1つのキレート化合物又は錯体形成化合物、少なくとも1つの湿潤剤と溶媒を含んでいる。一態様においては、少なくとも1つの銅供給源化合物は硫酸銅を含み、キレート化合物はクエン酸塩を含み、湿潤剤はエチレンオキシドとプロピレンオキシドのコポリマーを含み、溶媒は脱イオン水である。硫酸銅と上記のクエン酸溶液は、約200ppmのコポリマー(エチレンオキシドとプロピレンオキシド)と合わせて約5〜約6の範囲のpHを有するめっき溶液を形成する。
[0034]他の実施形態においては、めっき溶液は、少なくとも1つの銅供給源化合物と、少なくとも1つのキレート化合物又は錯体形成化合物と、溶媒を含んでいる。一態様においては、少なくとも1つの銅供給源化合物は硫酸銅を含み、キレート化合物はホウ酸を含み、溶媒は脱イオン水である。硫酸銅をホウ酸に溶解して約5〜約6の範囲のpHを有するめっき溶液を形成する。硫酸銅の濃度は約0.25Mであり、ホウ酸の濃度は約0.40Mである。
[0035]他の実施形態においては、めっき溶液は、少なくとも1つの銅源化合物と、少なくとも1つのキレート化合物又は錯体形成化合物と、少なくとも1つの湿潤剤と、溶媒を含んでいる。一態様においては、少なくとも1つの銅供給源化合物は硫酸銅を含み、キレート化合物はクエン酸塩を含み、湿潤剤はエチレンオキシドとプロピレンオキシドのコポリマーを含み、溶媒は脱イオン水である。硫酸銅及び上記のクエン酸溶液は、コポリマー(エチレンオキシドとプロピレンオキシド)と合わせてpHが約5〜約6の範囲のめっき溶液を形成する。
[0036]銅シードは、いずれもカリフォルニア州サンタクララのアプライドマテリアルズ社から入手できる、Electra Cu ECP(登録商標)システム又はSlimCell Copper Platingシステムのセル内に上述のめっき溶液のいずれかを用いて堆積される。これらシステムのめっきセル、又は用いられる他のめっきシステムは、標準的なセルから製造されたものより更に均一な電場を可能にするように修正されてもよい。一調整としては、固体のアノードをセグメントアノードで置き換えることが含まれる。他態様においては、シャッタ又はシールドにより基板表面の周りにより均一な電場で直流電流がセルに加えられる。
[0037]バリヤ層を含む基板表面はめっき溶液に晒される。バイアスは、アノードから、セルの底面に、めっき溶液を通って、基板表面全体に始まる。電圧は通常は、約-0.9V〜約-0.3Vの範囲でプロセスを通って一定に維持され、基板表面全体の電流密度は、約10mA/cm2以下、好ましくは約3mA/cm2以下である。銅シード層は、電圧又は電流がめっき溶液内の錯体形成銅イオンを還元するにつれて堆積される。銅シード層は、約50オングストローム〜約300オングストロームの範囲の厚さに堆積される。一態様においては、厚さは約300オングストローム以下、好ましくは約200オングストローム以下、更に好ましくは約100オングストローム以下である。
[0038]銅シード層が堆積された後、基板は銅めっき溶液による続いてのめっき溶液の汚染を除去するために洗浄される。基板は、約20rpm〜約400rpmの速度で回転しつつ、約5秒〜約30秒の間で水溶液、好ましくは脱イオン水で洗浄される。続いて、基板は、窒素、アルゴン、ヘリウム、水素又はその組合わせのようなガスフローによって乾燥される。
[0039]洗浄/乾燥ステップ後、基板は、良好な結晶配向を得るためにアニール、好ましくは水素ガスを含有する環境で熱アニールされる。良好な結晶配向は、続いての銅マイグレーションのエレクトロマイグレーション耐性を改善する。基板は、いずれもカリフォルニア州サンタクララのアプライドマテリアルズ社から入手できる、RTP XEplus Centura(登録商標)のような高速熱プロセス(RTP)チャンバ又はElectra iECP(登録商標)のアニールチャンバ又はSlimCellめっきシステムに配置される。チャンバは、一般的には酸素を含まない環境であり、通常は、窒素、アルゴン、ヘリウム、水素又はその組合わせのようなガスを含有している。基板は、約150℃〜約350℃の範囲の温度で、約5秒〜約180秒間アニールされる。アニーリング時間は約5秒〜約20秒間であってもよい。
[0040]アニールステップ後、第二銅堆積ステップ、間隙充填ステップが行われる。間隙充填ステップは、約0.05-0.5MのH2SO4、約20-100ppmレベルのCl、約8-24ppmのSPS(アクセレータ)、約50-500ppmのエチレンオキシドとプロピレンオキシドのコポリマー(湿潤剤としてEO/POコポリマー)、レベラとして約100ppm未満のポリアミンを含有する溶液を含んでいる。
[0041]続いて、第二アニーリングステップ、次にバルク充填ステップである第三銅堆積ステップが行われる。バルク充填ステップは、ギャップフィル堆積の間に用いられる溶液に少なくとも1つのレベリング剤(例えば、ポリアミン又はポリイミダゾール)を加えることによって調製された堆積溶液を含んでいる。レベリング剤は良好な平坦さを達成するために用いられる。また、パルスされた逆の電流は、最終的な銅堆積の平坦さを微調整するために導入され得る。
[0042]下記の制限されない実施例は、本発明の実施形態を更に説明するために示される。しかしながら、実施例は全て包含するものではなく、本明細書に記載された本発明の範囲を制限するものではない。
実施例1
[0043]銅シード層を、バリヤ層(コバルト)を有する基板上に堆積した。銅シードを、Electra Cu ECP(登録商標)システムの変更されたセル内に以下のめっき溶液を用いて堆積した。基板を以下のめっき溶液を含有する槽内に配置した。
脱イオン水中約0.25Mの硫酸銅と、
脱イオン水中約0.5Mクエン酸ナトリウム2水和物。
それ故、めっき溶液のpHは約6であった。電気を約2mA/cm2の電流密度で印加した。めっきプロセスは、シード層が約100オングストロームの厚さに堆積されるまで続けた。
基板を、約100rpmで回転しつつ、約30秒間脱イオン水で洗浄し、次にアルゴンガスフローによって乾燥した。基板は、Electra iECPシステムのアニーリングチャンバにおいて、30秒間O2を含まない環境でアニールした。
アニーリングステップ後、間隙充填堆積ステップが行われる。間隙充填ステップは、CuSO4(0.25M)、H2SO4(0.3M)、50ppmレベルのCl、15ppmのSPS(アクセレータ)、平均分子量が5,000の200ppmのEO/POコポリマーを含有する溶液を含んでいる。
続いて、他のアニーリングステップがバルク充填堆積ステップに続いて行われる。バルク充填ステップは、間隙充填の間に用いられる溶液にポリアミン(レベリング剤)を加えることによって調製された堆積溶液を含んでいる。
実施例2
[0044]銅シード層を、バリヤ層(コバルト)を含む基板上に堆積した。銅シードを、Electra Cu ECP(登録商標)システムの変更されたセル内で以下のめっき溶液を用いて堆積した。基板は以下のめっき溶液を含有する槽内に配置した。
脱イオン水中約0.25Mの硫酸銅と、
脱イオン水中約0.5Mのクエン酸ナトリウム2水和物と、
約200ppmのポリカルボン酸(EO/PO)コポリマー。
めっき溶液のpHは約5.8であった。電気を約2.0mA/cm2の電流密度で印加した。めっきプロセスはシード層が約100オングストロームの厚さに堆積されるまで続けた。
実施例3
[0045]銅シード層を、バリヤ層(ルテニウム)を含む基板上に堆積した。銅シードを、Electra Cu ECP(登録商標)システムの変更されたセル内に以下のめっき溶液を用いて堆積した。基板を以下のめっき溶液を含有する槽内に配置した。
脱イオン水中の約0.3Mの硫酸銅と、
脱イオン水中の約0.5Mのホウ酸。
めっき溶液のpHは約5であった。電気を約2.0mA/cm2の電流密度で印加した。めっきプロセスはシード層が約100オングストロームの厚さに堆積されるまで続けた。
実施例4
[0046]銅シード層を、バリヤ層(ルテニウム)を含む基板上に堆積した。銅シードを、Electra Cu ECP(登録商標)システムの変更されたセル内に以下のめっき溶液を用いて堆積した。基板を以下のめっき溶液を含有する槽内に配置した。
脱イオン水中の約0.3Mの硫酸銅と、
脱イオン水中の約0.5Mのホウ酸と、
約200ppmのEO/POコポリマー。
[0047]めっき溶液のpHは約5であった。電気を約2.0mA/cm2の電流密度で印加した。めっきプロセスはシード層が約100オングストロームの厚さに堆積されるまで続けた。
実施例5(推測的実施例)
[0048]銅シード層を、実施例1の手順と一致したコバルトバリヤ層を含むいくつかの基板に堆積した。基板を厚さが約100オングストロームのシード層でめっきを始める際に種々の手段で調べた。テープテストによって、バリヤ層と銅シード層間の強力な接着を求めた。銅シード層の導電性は定性的に高かった。更に、シード層の堆積の間バリヤ層にほとんど又は全く酸化が生じなかった。
[0049]上記は本発明の実施形態に関するが、本発明の更に多くの実施形態が本発明の基本的な範囲から逸脱することなく構成されてもよく、本発明の範囲は以下の特許請求の範囲によって決定される。
図1は、本発明の方法を実施することができる電気化学処理システムの実施形態の正面図である。 図2は、電流密度と電位のグラフである。
符号の説明
100…電気化学処理システム、113…処理ベース、114…処理セル、115…リンクトンネル、116…処理セル、120…ロボット、122、124…ロボットアーム、126…基板、130…ファクトリインタフェース、132…ロボット、134…基板カセット、135…アニールチャンバ、136…冷却板、137…加熱板、140…基板搬送ロボット。

Claims (19)

  1. 基板表面に配置されたバリヤ層に銅シード層を堆積させる方法であって、
    7未満のpH値を有する錯体形成銅イオンを含む銅溶液に該基板を入れるステップであって、該錯体形成銅イオンが、クエン酸銅、ホウ酸銅、酒石酸銅、シュウ酸銅、ピロリン酸銅、酢酸銅、EDTA銅錯体及びその組合せからなる群より選ばれる、前記ステップと、
    該基板表面に電気的バイアスを印加するステップと、
    該錯体形成銅イオンを該バイアスで還元して該銅シード層を該バリヤ層に堆積させるステップであって、該バリヤ層が、コバルト、ルテニウム、ニッケル、タングステン、窒化タングステン、チタン、窒化チタン及び銀及びその組合せからなる群より選ばれる物質を含む、前記ステップと、
    を含む前記方法。
  2. 基板表面上に配置されたバリヤ層に金属シード層を堆積させる方法であって、
    7未満のpH値を有する第一銅溶液に該基板を晒すステップであって、該第一銅溶液は、酸銅、リン酸銅、硝酸銅、ホウ酸銅、クエン酸銅、酒石酸銅、シュウ酸銅、EDTA銅、酢酸銅、ピロリン酸銅及びその組合せからなる群より選ばれる銅源から得られる錯体形成銅イオンを含む、前記ステップと、
    該錯体形成銅イオンを電気めっき技術で還元してシード層を該バリヤ層の表面に直接形成するステップと、
    を含む、前記方法。
  3. 該バリヤ層が、コバルト、ルテニウム、ニッケル、タングステン、窒化タングステン、チタン、窒化チタン及び銀及びその組合せからなる群より選ばれる物質を含む、請求項2記載の方法。
  4. 該第一銅溶液の銅濃度が0.02M〜0.8Mの範囲にある、請求項2記載の方法。
  5. 第一の電気的バイアスが、電気めっき技術中に該基板表面全体に10mA/cm2未満の電流密度を生成するように構成されている、請求項2記載の方法。
  6. 該電流密度が、0.5mA/cm2〜3mA/cm2の範囲にある、請求項5記載の方法。
  7. 遊離銅イオンを含む第二銅溶液に該基板を晒す工程、該基板表面全体に第二電気的バイアスを印加して該銅シード層に銅間隙充填層を堆積させる工程により、該銅間隙充填層を堆積させるステップを更に含む、請求項2記載の方法。
  8. 遊離銅イオンを含む第三銅溶液に該基板を晒す工程、該基板表面全体に第三電気的バイアスを印加して該銅間隙充填層に銅バルク充填層を堆積させる工程により、該バルク充填銅層を堆積させるステップを更に含む、請求項7記載の方法。
  9. 少なくとも1つのレベリング剤を該第一銅溶液に添加して該第二銅溶液を形成する、請求項8記載の方法。
  10. 銅含有シード層をバリヤ層に堆積する方法であって、
    基板を準備するステップであって、該基板はその表面上に堆積されたバリヤ層を含み、該バリヤ層はバリヤ表面を有し、該バリヤ表面は、タングステン表面、窒化タングステン表面、チタン表面、窒化チタン表面、コバルト表面、ルテニウム表面、ニッケル表面及び銀表面からなる群より選ばれる、前記ステップと、
    錯体形成銅イオンを含む7未満のpH値を有する電気めっき溶液に該基板を晒すステップであって、該錯体形成銅イオンは、間に介在層を堆積することなく該バリヤ層の該バリヤ表面上に銅シード層を直接堆積する為に、遊離銅イオンに対して、より負の堆積電位を有する、前記ステップと、
    を含む、前記方法。
  11. 該電気めっき溶液は、pH値が3〜7の範囲である、請求項10記載の方法。
  12. 該電気めっき溶液に該基板を晒すステップは、
    該基板の該表面全体に第一電気的バイアスを印加し、該錯体形成銅イオンを該第一電気的バイアスで化学的に還元する工程を更に含む、請求項10記載の方法。
  13. 該錯体形成銅イオンは、硫酸銅、リン酸銅、硝酸銅、ホウ酸銅、クエン酸銅、酒石酸銅、シュウ酸銅、EDTA銅、酢酸銅、ピロリン酸銅及びその組合せからなる群より選ばれる銅源から得られる、請求項10記載の方法。
  14. 該基板表面全体に第二電気的バイアスを印加して該銅シード層に銅間隙充填層を堆積させるステップを更に含む、請求項10記載の方法。
  15. 該基板表面全体に第三電気的バイアスを印加して該銅間隙充填層に銅バルク充填層を堆積させるステップを更に含む、請求項14記載の方法。
  16. 該第一電気的バイアスは、該基板表面全体に10mA/cm2未満の電流密度を有し、該第一電気めっき溶液は、0.02M〜0.8Mの範囲にある銅濃度を含む、請求項12記載の方法。
  17. 該第一電気的バイアスは、該基板表面全体に0.5mA/cm2〜3mA/cm2の範囲にある電流密度を有する、請求項16記載の方法。
  18. 銅間隙充填層をアニールするステップを更に有する請求項15記載の方法。
  19. 該アニールするステップは、酸素を含まない環境で実施される、請求項18記載の方法。
JP2006518879A 2003-07-08 2004-07-08 バリヤ金属上に直接銅めっきするマルチステップ電着法 Expired - Fee Related JP4771945B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US10/616,097 2003-07-08
US10/616,097 US20050006245A1 (en) 2003-07-08 2003-07-08 Multiple-step electrodeposition process for direct copper plating on barrier metals
PCT/US2004/021771 WO2005008759A1 (en) 2003-07-08 2004-07-08 Multiple-step electrodeposition process for direct copper plating on barrier metals

Publications (2)

Publication Number Publication Date
JP2007528932A JP2007528932A (ja) 2007-10-18
JP4771945B2 true JP4771945B2 (ja) 2011-09-14

Family

ID=33564699

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2006518879A Expired - Fee Related JP4771945B2 (ja) 2003-07-08 2004-07-08 バリヤ金属上に直接銅めっきするマルチステップ電着法

Country Status (5)

Country Link
US (2) US20050006245A1 (ja)
EP (1) EP1649502A1 (ja)
JP (1) JP4771945B2 (ja)
TW (1) TW200506107A (ja)
WO (1) WO2005008759A1 (ja)

Families Citing this family (66)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8852417B2 (en) 1999-04-13 2014-10-07 Applied Materials, Inc. Electrolytic process using anion permeable barrier
US8236159B2 (en) 1999-04-13 2012-08-07 Applied Materials Inc. Electrolytic process using cation permeable barrier
US20060157355A1 (en) * 2000-03-21 2006-07-20 Semitool, Inc. Electrolytic process using anion permeable barrier
US20060189129A1 (en) * 2000-03-21 2006-08-24 Semitool, Inc. Method for applying metal features onto barrier layers using ion permeable barriers
US20030207206A1 (en) * 2002-04-22 2003-11-06 General Electric Company Limited play data storage media and method for limiting access to data thereon
US20040154926A1 (en) * 2002-12-24 2004-08-12 Zhi-Wen Sun Multiple chemistry electrochemical plating method
US20060283716A1 (en) * 2003-07-08 2006-12-21 Hooman Hafezi Method of direct plating of copper on a ruthenium alloy
US20050085031A1 (en) * 2003-10-15 2005-04-21 Applied Materials, Inc. Heterogeneous activation layers formed by ionic and electroless reactions used for IC interconnect capping layers
US20050095830A1 (en) * 2003-10-17 2005-05-05 Applied Materials, Inc. Selective self-initiating electroless capping of copper with cobalt-containing alloys
US7205233B2 (en) * 2003-11-07 2007-04-17 Applied Materials, Inc. Method for forming CoWRe alloys by electroless deposition
US20050170650A1 (en) * 2004-01-26 2005-08-04 Hongbin Fang Electroless palladium nitrate activation prior to cobalt-alloy deposition
US20050161338A1 (en) * 2004-01-26 2005-07-28 Applied Materials, Inc. Electroless cobalt alloy deposition process
US20060033678A1 (en) * 2004-01-26 2006-02-16 Applied Materials, Inc. Integrated electroless deposition system
US20060240187A1 (en) * 2005-01-27 2006-10-26 Applied Materials, Inc. Deposition of an intermediate catalytic layer on a barrier layer for copper metallization
US7651934B2 (en) 2005-03-18 2010-01-26 Applied Materials, Inc. Process for electroless copper deposition
WO2006102318A2 (en) * 2005-03-18 2006-09-28 Applied Materials, Inc. Electroless deposition process on a contact containing silicon or silicide
US20060251801A1 (en) * 2005-03-18 2006-11-09 Weidman Timothy W In-situ silicidation metallization process
US20060246699A1 (en) * 2005-03-18 2006-11-02 Weidman Timothy W Process for electroless copper deposition on a ruthenium seed
US20060231409A1 (en) * 2005-03-31 2006-10-19 Tdk Corporation Plating solution, conductive material, and surface treatment method of conductive material
ES2369482T3 (es) * 2005-04-27 2011-12-01 Comecer S.P.A. Sistema para producir radiosótopos automáticamente.
FR2890983B1 (fr) * 2005-09-20 2007-12-14 Alchimer Sa Composition d'electrodeposition destinee au revetement d'une surface d'un substrat par un metal.
FR2890984B1 (fr) * 2005-09-20 2009-03-27 Alchimer Sa Procede d'electrodeposition destine au revetement d'une surface d'un substrat par un metal.
US20070071888A1 (en) * 2005-09-21 2007-03-29 Arulkumar Shanmugasundram Method and apparatus for forming device features in an integrated electroless deposition system
US20070099422A1 (en) * 2005-10-28 2007-05-03 Kapila Wijekoon Process for electroless copper deposition
US20070099806A1 (en) * 2005-10-28 2007-05-03 Stewart Michael P Composition and method for selectively removing native oxide from silicon-containing surfaces
JP4816901B2 (ja) * 2005-11-21 2011-11-16 上村工業株式会社 電気銅めっき浴
US7579274B2 (en) * 2006-02-21 2009-08-25 Alchimer Method and compositions for direct copper plating and filing to form interconnects in the fabrication of semiconductor devices
US7799684B1 (en) 2007-03-05 2010-09-21 Novellus Systems, Inc. Two step process for uniform across wafer deposition and void free filling on ruthenium coated wafers
TWI441955B (zh) 2007-05-21 2014-06-21 Uyemura C & Co Ltd Electroplating copper bath
JP2010192467A (ja) * 2007-06-28 2010-09-02 Tokyo Electron Ltd 被処理体の成膜方法及び処理システム
JP2009030167A (ja) * 2007-07-02 2009-02-12 Ebara Corp 基板処理方法及び基板処理装置
US7905994B2 (en) * 2007-10-03 2011-03-15 Moses Lake Industries, Inc. Substrate holder and electroplating system
US20090188553A1 (en) * 2008-01-25 2009-07-30 Emat Technology, Llc Methods of fabricating solar-cell structures and resulting solar-cell structures
US8703615B1 (en) 2008-03-06 2014-04-22 Novellus Systems, Inc. Copper electroplating process for uniform across wafer deposition and void free filling on ruthenium coated wafers
US7964506B1 (en) * 2008-03-06 2011-06-21 Novellus Systems, Inc. Two step copper electroplating process with anneal for uniform across wafer deposition and void free filling on ruthenium coated wafers
US8513124B1 (en) 2008-03-06 2013-08-20 Novellus Systems, Inc. Copper electroplating process for uniform across wafer deposition and void free filling on semi-noble metal coated wafers
FR2930785B1 (fr) * 2008-05-05 2010-06-11 Alchimer Composition d'electrodeposition et procede de revetement d'un substrat semi-conducteur utilisant ladite composition
US20100059385A1 (en) * 2008-09-06 2010-03-11 Delin Li Methods for fabricating thin film solar cells
US20120261254A1 (en) 2011-04-15 2012-10-18 Reid Jonathan D Method and apparatus for filling interconnect structures
TWI398402B (zh) * 2008-11-28 2013-06-11 Nat Univ Tsing Hua 製備鉑及鉑基合金奈米顆粒之電鍍液與其方法
US8206569B2 (en) 2009-02-04 2012-06-26 Applied Materials, Inc. Porous three dimensional copper, tin, copper-tin, copper-tin-cobalt, and copper-tin-cobalt-titanium electrodes for batteries and ultra capacitors
US20100203391A1 (en) * 2009-02-09 2010-08-12 Applied Materials, Inc. Mesoporous carbon material for energy storage
US8262894B2 (en) 2009-04-30 2012-09-11 Moses Lake Industries, Inc. High speed copper plating bath
JP5388191B2 (ja) * 2009-05-26 2014-01-15 Jx日鉱日石金属株式会社 貫通シリコンビアを有するめっき物及びその形成方法
DE102009041250B4 (de) * 2009-09-11 2011-09-01 Umicore Galvanotechnik Gmbh Verfahren zur elektrolytischen Verkupferung von Zinkdruckguss mit verringerter Neigung zur Blasenbildung
JP2011063849A (ja) * 2009-09-17 2011-03-31 Tokyo Electron Ltd 成膜方法および記憶媒体
JP5653743B2 (ja) * 2009-12-25 2015-01-14 株式会社荏原製作所 金属膜形成方法および装置
WO2011082379A1 (en) * 2010-01-03 2011-07-07 Alchimer, S.A. Solar cells
US20110162701A1 (en) * 2010-01-03 2011-07-07 Claudio Truzzi Photovoltaic Cells
SG185736A1 (en) * 2010-06-01 2012-12-28 Basf Se Composition for metal electroplating comprising leveling agent
CN102738071B (zh) * 2011-04-15 2018-04-03 诺发***有限公司 用于填充互连结构的方法及设备
US8435887B2 (en) * 2011-06-02 2013-05-07 International Business Machines Corporation Copper interconnect formation
US9245798B2 (en) 2012-04-26 2016-01-26 Applied Matrials, Inc. Semiconductor reflow processing for high aspect ratio fill
RU2510631C1 (ru) * 2012-12-25 2014-04-10 Открытое акционерное общество "Научно-исследовательский институт молекулярной электроники" (ОАО "НИИМЭ") Электролит и способ осаждения меди на тонкий проводящий подслой на поверхности кремниевых пластин
US9865501B2 (en) * 2013-03-06 2018-01-09 Lam Research Corporation Method and apparatus for remote plasma treatment for reducing metal oxides on a metal seed layer
US9496145B2 (en) * 2014-03-19 2016-11-15 Applied Materials, Inc. Electrochemical plating methods
US9828687B2 (en) 2014-05-30 2017-11-28 Applied Materials, Inc. Method for electrochemically depositing metal on a reactive metal film
US9840788B2 (en) * 2014-05-30 2017-12-12 Applied Materials, Inc. Method for electrochemically depositing metal on a reactive metal film
CN104499018A (zh) * 2014-11-28 2015-04-08 广东致卓精密金属科技有限公司 一种碱性无氰预镀铜镀液和工艺
US9870995B2 (en) * 2015-06-18 2018-01-16 Taiwan Semiconductor Manufacturing Co., Ltd. Formation of copper layer structure with self anneal strain improvement
CN105154929A (zh) * 2015-09-24 2015-12-16 钱宏彬 一种碱性无氰镀铜剂及其使用方法
US10249532B2 (en) 2017-02-27 2019-04-02 International Business Machines Corporation Modulating the microstructure of metallic interconnect structures
US10443146B2 (en) 2017-03-30 2019-10-15 Lam Research Corporation Monitoring surface oxide on seed layers during electroplating
US20180350604A1 (en) * 2017-05-30 2018-12-06 Applied Materials, Inc. Selective Deposition And Etching Of Metal Pillars Using AACVD And An Electrical Bias
CN109402709B (zh) * 2018-12-12 2020-09-25 莱芜职业技术学院 一种用于制备Cu-纳米TiC/SiO2梯度层的方法
CN113430595A (zh) * 2021-06-24 2021-09-24 惠州市安泰普表面处理科技有限公司 一种在黄铜铸件表面镀铜的方法

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH11269693A (ja) * 1998-03-24 1999-10-05 Japan Energy Corp 銅の成膜方法及び銅めっき液
JP2001023989A (ja) * 1999-03-09 2001-01-26 Applied Materials Inc 化学気相堆積により堆積した銅の密着性を高める方法
JP2002506927A (ja) * 1998-03-20 2002-03-05 セミトウール・インコーポレーテツド 作業部材の上に金属を電解により沈着させる装置および方法
JP2002076000A (ja) * 2000-09-05 2002-03-15 Sony Corp 半導体装置の製造方法
JP2002285376A (ja) * 2000-12-13 2002-10-03 Interuniv Micro Electronica Centrum Vzw 電気めっき浴を準備する方法および関連した銅めっきプロセス

Family Cites Families (33)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4366035A (en) * 1979-04-24 1982-12-28 Engelhard Corporation Electrodeposition of gold alloys
US4867882A (en) * 1987-11-09 1989-09-19 Aluminum Company Of America Method for reducing the amount of anionic metal ligand complex in a solution
CA1338346C (en) * 1989-08-23 1996-05-28 Chanakya Misra Method for reducing the amount of anionic metal-ligand complex in a solution
DE3839602A1 (de) * 1988-11-24 1990-05-31 Henkel Kgaa Pastoeses, phosphatfreies waschmittel mit verringerter schaumneigung
US5200048A (en) * 1989-11-30 1993-04-06 Daido Metal Company Ltd. Electroplating apparatus for plating half bearings
JPH0781199B2 (ja) * 1989-11-30 1995-08-30 大同メタル工業株式会社 半割型すべり軸受中間製品の表面処理方法およびその装置
US6197181B1 (en) * 1998-03-20 2001-03-06 Semitool, Inc. Apparatus and method for electrolytically depositing a metal on a microelectronic workpiece
KR100654413B1 (ko) * 1998-04-30 2006-12-05 가부시키가이샤 에바라 세이사꾸쇼 기판의 도금방법
US6309969B1 (en) * 1998-11-03 2001-10-30 The John Hopkins University Copper metallization structure and method of construction
US6242349B1 (en) * 1998-12-09 2001-06-05 Advanced Micro Devices, Inc. Method of forming copper/copper alloy interconnection with reduced electromigration
US6544399B1 (en) * 1999-01-11 2003-04-08 Applied Materials, Inc. Electrodeposition chemistry for filling apertures with reflective metal
US7192494B2 (en) * 1999-03-05 2007-03-20 Applied Materials, Inc. Method and apparatus for annealing copper films
US6360364B1 (en) * 1999-03-17 2002-03-19 Microsoft Corporation System and method for installing an application on a portable computer
EP1111096A3 (en) * 1999-12-15 2004-02-11 Shipley Company LLC Seed layer repair method
US6350364B1 (en) * 2000-02-18 2002-02-26 Taiwan Semiconductor Manufacturing Company Method for improvement of planarity of electroplated copper
US6551483B1 (en) * 2000-02-29 2003-04-22 Novellus Systems, Inc. Method for potential controlled electroplating of fine patterns on semiconductor wafers
US6344125B1 (en) * 2000-04-06 2002-02-05 International Business Machines Corporation Pattern-sensitive electrolytic metal plating
US6709563B2 (en) * 2000-06-30 2004-03-23 Ebara Corporation Copper-plating liquid, plating method and plating apparatus
US6428673B1 (en) * 2000-07-08 2002-08-06 Semitool, Inc. Apparatus and method for electrochemical processing of a microelectronic workpiece, capable of modifying processing based on metrology
ATE466975T1 (de) * 2000-12-13 2010-05-15 Imec Verfahren zur herstellung eines elektroplattierungsbad und zugehöriges kupfer- plattierungsverfahren
US6432821B1 (en) * 2000-12-18 2002-08-13 Intel Corporation Method of copper electroplating
US6528412B1 (en) * 2001-04-30 2003-03-04 Advanced Micro Devices, Inc. Depositing an adhesion skin layer and a conformal seed layer to fill an interconnect opening
WO2003060959A2 (en) * 2002-01-10 2003-07-24 Semitool, Inc. Method for applying metal features onto barrier layers using electrochemical deposition
US20030155247A1 (en) * 2002-02-19 2003-08-21 Shipley Company, L.L.C. Process for electroplating silicon wafers
US6974531B2 (en) * 2002-10-15 2005-12-13 International Business Machines Corporation Method for electroplating on resistive substrates
US6709561B1 (en) * 2002-11-06 2004-03-23 Eci Technology, Inc. Measurement of the concentration of a reducing agent in an electroless plating bath
US20040108217A1 (en) * 2002-12-05 2004-06-10 Dubin Valery M. Methods for forming copper interconnect structures by co-plating of noble metals and structures formed thereby
JP2004346422A (ja) * 2003-05-23 2004-12-09 Rohm & Haas Electronic Materials Llc めっき方法
US7211508B2 (en) * 2003-06-18 2007-05-01 Applied Materials, Inc. Atomic layer deposition of tantalum based barrier materials
US20050072682A1 (en) * 2003-10-07 2005-04-07 Kenneth Lore Process and apparatus for coating components of a shopping cart and a product
US7341946B2 (en) * 2003-11-10 2008-03-11 Novellus Systems, Inc. Methods for the electrochemical deposition of copper onto a barrier layer of a work piece
US7300869B2 (en) * 2004-09-20 2007-11-27 Lsi Corporation Integrated barrier and seed layer for copper interconnect technology
US20060251872A1 (en) * 2005-05-05 2006-11-09 Wang Jenn Y Conductive barrier layer, especially an alloy of ruthenium and tantalum and sputter deposition thereof

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002506927A (ja) * 1998-03-20 2002-03-05 セミトウール・インコーポレーテツド 作業部材の上に金属を電解により沈着させる装置および方法
JPH11269693A (ja) * 1998-03-24 1999-10-05 Japan Energy Corp 銅の成膜方法及び銅めっき液
JP2001023989A (ja) * 1999-03-09 2001-01-26 Applied Materials Inc 化学気相堆積により堆積した銅の密着性を高める方法
JP2002076000A (ja) * 2000-09-05 2002-03-15 Sony Corp 半導体装置の製造方法
JP2002285376A (ja) * 2000-12-13 2002-10-03 Interuniv Micro Electronica Centrum Vzw 電気めっき浴を準備する方法および関連した銅めっきプロセス

Also Published As

Publication number Publication date
JP2007528932A (ja) 2007-10-18
US20090120799A1 (en) 2009-05-14
WO2005008759A1 (en) 2005-01-27
US20050006245A1 (en) 2005-01-13
EP1649502A1 (en) 2006-04-26
TW200506107A (en) 2005-02-16

Similar Documents

Publication Publication Date Title
JP4771945B2 (ja) バリヤ金属上に直接銅めっきするマルチステップ電着法
JP4598945B2 (ja) シード層修復法
US20110259750A1 (en) Method of direct plating of copper on a ruthenium alloy
US6824665B2 (en) Seed layer deposition
US6908504B2 (en) Electroless plating bath composition and method of using
US20070125657A1 (en) Method of direct plating of copper on a substrate structure
US8513124B1 (en) Copper electroplating process for uniform across wafer deposition and void free filling on semi-noble metal coated wafers
US8766342B2 (en) Electroless Cu plating for enhanced self-forming barrier layers
US6585811B2 (en) Method for depositing copper or a copper alloy
KR20170002606A (ko) 수퍼 컨포멀 도금
KR101170560B1 (ko) 반도체 산업에서 사용하기 위한 3성분 물질의 무전해석출용 조성물
US7064065B2 (en) Silver under-layers for electroless cobalt alloys
US6660154B2 (en) Seed layer
Dubin Copper Electroplating for On‐Chip Metallization
JP3864138B2 (ja) 基板の銅配線形成方法
EP1022355B1 (en) Deposition of copper on an activated surface of a substrate
JP4343366B2 (ja) 基質活性面上の銅析出
CN110952081A (zh) 用于形成互连部的方法和溶液
JP2004218003A (ja) 無電解めっき浴及び該無電解めっき浴を用いた金属保護膜の形成方法

Legal Events

Date Code Title Description
A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20090824

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20100420

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20100720

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20100727

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20100820

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20100827

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20100921

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20100929

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20101020

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20101116

RD03 Notification of appointment of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7423

Effective date: 20101130

RD04 Notification of resignation of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7424

Effective date: 20101210

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20110315

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20110413

A911 Transfer to examiner for re-examination before appeal (zenchi)

Free format text: JAPANESE INTERMEDIATE CODE: A911

Effective date: 20110420

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20110607

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20110621

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20140701

Year of fee payment: 3

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

LAPS Cancellation because of no payment of annual fees