JP4698222B2 - プラズマを径方向に均一に分布する容量結合プラズマリアクタ - Google Patents

プラズマを径方向に均一に分布する容量結合プラズマリアクタ Download PDF

Info

Publication number
JP4698222B2
JP4698222B2 JP2004534479A JP2004534479A JP4698222B2 JP 4698222 B2 JP4698222 B2 JP 4698222B2 JP 2004534479 A JP2004534479 A JP 2004534479A JP 2004534479 A JP2004534479 A JP 2004534479A JP 4698222 B2 JP4698222 B2 JP 4698222B2
Authority
JP
Japan
Prior art keywords
plasma
reactor
frequency
overhead
ceiling
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP2004534479A
Other languages
English (en)
Other versions
JP2006507665A (ja
Inventor
ジャン, ギョー ヤン,
ダニエル, ジェー. ホフマン,
ジェイムス, ディー. カルドゥッチ,
ダグラス, エー., ジュニア バックバーガー,
ロバート, ビー. ヘイガン,
マシュー, エル. ミラー,
カン−リー チャン,
ゲラード, エー. デルガディーノ,
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2006507665A publication Critical patent/JP2006507665A/ja
Application granted granted Critical
Publication of JP4698222B2 publication Critical patent/JP4698222B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32091Radio frequency generated discharge the radio frequency energy being capacitively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32623Mechanical discharge control means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3266Magnetic control means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J7/00Details not provided for in the preceding groups and common to two or more basic types of discharge tubes or lamps
    • H01J7/24Cooling arrangements; Heating arrangements; Means for circulating gas or vapour within the discharge space

Landscapes

  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Plasma Technology (AREA)

Description

発明の内容
(発明者ら)
Jang Gyoo Yang,Daniel J.Hoffman,James D.Carducci,Douglas A,Buchberger Jr., Robert B.Hagen,Matthew L.Miller,Kang−Lie Chiang,およびGerado Delgadino
関連出願の相互参照
本願は、2002年7月9日に出願されたDaniel Hoffmanらによる「CAPACITIVELY COUPLED PLASMA REACTOR WITH MAGNETIC CONTROL」という発明の名称の同時係属中の米国特許出願第10/192,271号の一部継続出願である。
また、本願は、以下の出願に関する主題も含む。2000年3月17日に出願されたDaniel Hoffmanらによる「PLASMA REACTOR WITH OVERHEAD RF ELECTRODE TUNED TO THE PLASMA」という発明の名称の米国特許出願第09/527,342号、2000年3月17日に出願された米国特許出願第09/527,342号の一部継続出願である、2001年10月22日に出願されたDaniel Hoffmanらによる「MERIE PLASMA REACTOR WITH OVERHEAD RF ELECTRODE TUNED TO THE PLASMA WITH ARCING SUPPRESSION」という発明の名称の米国特許出願第10/007,367号、および2000年3月17日に出願された米国特許出願第09/527,342号の一部継続出願である、2001年12月19日に出願されたDaniel Hoffmanらによる「PLASMA REACTOR WITH OVERHEAD RF ELECTRODE TUNED TO THE PLASMA WITH ARCING SUPPRESSION」という発明の名称の米国特許出願第10/028,922号。
背景
上記に引用した出願には、半導体ワークピースを支持するペデスタルにあるカソードを介して、HFプラズマバイアスパワーが連結されている間、VHFプラズマソースパワーが、オーバーヘッド電極によって適用されるプラズマリアクタが記述されている。VHFオーバーヘッド電極は、プラズマイオンの密度分布の中央部が高くなりやすい傾向がある。バイアスパワーによって生じる電場は、不均一になる傾向があり、そのため、ウェハまたはワークピース表面でのプラズマイオン密度の分布も不均一になってしまう。
均一なプラズマイオン密度分布が非常に望ましく、その理由として、全ワークピース表面にわたって形状、エッチングプロファイル、およびエッチング速度を均一に制御しやすくなることで、プロセスウィンドウがより広くなるということが挙げられる。したがって、不均一なプラズマイオン密度径分布を補正可能な特徴が有益である。このような特徴を見出すにあたり、本発明者らは、このような特徴を作る際に、本願明細者等が解決を提案する不均一なプラズマイオン密度径分布の特定の原因があることが分かった。特に、1つの原因は、ワークピース支持体を介して垂直方向上向きに延在する導体が存在することから生じる。この中央の導体は、HFプラズマバイアスパワーをワークピースに伝える。第1に、中央の導体は、オーバーヘッドVHF電極によって、パワー投入の均一性に影響を与える。すなわち、ウェハ支持ペデスタルを介してVHFパワーの接地リターンパスにインピーダンスの不均一な径分布を生じてしまう。第2に、中央の導体は、ワークピース支持体に適用されたHFプラズマバイアスパワーによって発生する電場の径分布に影響を与える。本発明者らは、VHF接地パスウの不均一性およびHFバイアス電場の不均一性の両方を同時に解消するか、または、VHFソースパワーがない場合、HFバイアス電場の不均一性のみを解消する特徴の構築を提案する。
開示の概要
半導体ウェハを処理するためのプラズマリアクタが、チャンバを画成する側壁およびオーバーヘッド天井と、半導体ワークピースを支持するための天井と対面する作業面と、ウェハを静電チャックするための作業面の下にある伝導性メッシュとを有するチャンバ内のワークピース支持カソードと、プロセスガスをチャンバに導入するためのプロセスガス入口と、バイアスパワー周波数を有するRFバイアスパワー発生器とを含む。伝導性メッシュには、バイアスパワー供給点があり、RFバイアスパワー発生器と伝導性メッシュのバイアスパワー供給点との間には、RF導体が接続される。誘電スリーブが、RF導体の部分を囲み、このスリーブは、RF導体に沿った軸方向の長さと、RF導体に沿った誘電率および軸方向の位置と、作業面にわたってプラズマイオン密度の均一性を高めるリアクタンスをスリーブが与えるようなスリーブの長さ、誘電率、および位置とを有する。
一態様によれば、誘電スリーブによって発生するリアクタンスは、バイアスパワー周波数での供給点のインピーダンスを、RF導体およびスリーブの周りにあるワークピース支持ペデスタルの部分のインピーダンスに近い値までもたらす。別の態様によれば、天井は、オーバーヘッド電極であり、リアクタは、ソース周波数を有するソースパワー発生器と、ソースパワー発生器とオーバーヘッド電極との間に接続されたインピーダンス整合要素とを更に含み、供給点は、ソースパワー周波数でインピーダンスを有し、スリーブのリアクタンスは、この供給点インピーダンスをほぼゼロまで下げる。これらの態様の両方は、組み合わせて実現されてもよい。
更なる態様によれば、リアクタは、一般的に、ワークピースの周囲に対応する内径を有する環状のRF結合リングを含むことができ、RF結合リングは、作業面とオーバーヘッド電極との間の距離の十分な部分を延長して、ワークピースの周囲付近のプラズマイオン密度を高める。RF結合リングは、作業面に取り付けられてもよく、結合リングの厚み分、作業面上方に延在する最上面を有する。または、RF結合リングは、天井に取り付けられてもよく、結合リングの厚み分、天井の下方に延在する底面を有してもよい。または、作業面上のリングは、天井上のリングと組み合わせられてもよい。RF結合リング(または複数のリング)は、誘電スリーブと組み合わせられてもよい。
詳細な記載
特定のプラズマリアクタによって示されるプラズマイオン密度分布は、チャンバ圧力、ガス混合および拡散、およびソースパワー放射パターンに応じる。本発明において、この分布は、プロセスの均一性を高めるために予め決定された選択分布または理想分布に近付くように磁気的に変更される。プラズマイオン密度分布が磁気的に変更または補正されることにより、ウェハまたはワークピースの表面にわたったプロセスの均一性が高められる。この目的のために、ユーザが決定する需要に応じて、磁気的に補正されたプラズマ分布は、不均一であってもよく、または均一であってもよい。本発明者らは、平均的な磁場強度がプラズマに圧力をかけて、プラズマの分布を所望のものに変化させる効率を高めることができることを発見した。この驚くべき結果は、磁場の勾配の半径方向成分を増大させることによって、本発明により達成可能である。半径方向は、円筒状のチャンバの対称軸の周りのものとして理解される。したがって、必要なものは、大きな半径方向の勾配と、他の方向の小さな磁場強度を有する磁場構成である。このような磁場は、対称軸が円筒状のリアクタチャンバの軸と一致するカプス状である。カスプ状の磁場を発生させる1つの方法は、円筒状のチャンバの上方および下方にコイルを設け、これらのコイルに反対方向にDC電流を流すことである。
チャンバのデザインによっては、ウェハペデスタルの下方にコイルを設けることが実現不可能な場合があり、したがって、最初のケースの場合、上部コイルがこれらの目的を満たす。更に、所与のプラズマリアクタチャンバに固有のプラズマイオン分布(「周囲の」プラズマイオン分布)を正確に制御または変更するために、カスプ状の磁場を構成または調節可能であることが要求されている。異なる容量結合リアクタに与えられたプラズマイオン分布は大幅に変動する可能性があるため、場合によっては、このような調節が必須なことがある。磁場勾配の半径方向成分は、周囲分布を所望の分布に変更するように要求された磁気圧力を印加するように選択される。例えば、所望の分布が均一な分布であれば、印加された磁場は、磁場がない場合にリアクタによって示されるプラズマイオン密度の径分布の不均一性を打ち消すように選択される。この場合、例えば、リアクタが、プラズマイオン密度の分布の中央が高くなる傾向にあれば、磁場勾配は、ウェハ支持ペデスタルの中心にわたってプラズマ密度を維持し、周囲付近で密度を高めて、均一性を高めるように選択される。
カスプ状の磁場のこのような調節は、第1のコイルと異なる(例えば、小径)直径の少なくとも第2のオーバーヘッドコイルを設けることによって、本発明により達成される。それぞれのコイルのDC電流は、ある所望のプラズマイオン分布に近付くように任意の周囲プラズマイオン分布を仮想的に変更するために、カスプ状の磁場を高度に柔軟に構成できるように個別に調節可能である。磁場構成のこのような選択は、中心が高いか、または中心が低いプラズマイオン密度分布を修正するようにデザインされ得る。
カスプ状の磁場が、磁場強度に対して大きな半径方向勾配を有し(上述したように)、したがって、プラズマに補正圧力をかける際に非常に効率的であるが、磁場は時間が経過しても一定であるため、アークを発生する傾向がほとんどないため、必要に応じて、補正容量がより大きい場合であっても、ある程度強い磁場が用いられてもよいという点で、実現可能な1つの利点に二重の利点がある。以下、本願明細書に記載するように、この特徴は、チャンバ圧力が高圧の場合に非常に役に立ち得る。
図1Aは、調節可能なカスプ状の磁場を与えることが可能な容量結合プラズマリアクタを示す。図1Aのリアクタは、円筒状の側壁5と、ガス分配プレートである天井10と、半導体ワークピース20を保持するウェハ支持ペデスタル15とを含む。天井10またはガス分配プレートは、アノードとして働くことができるように伝導性のものであってもよく、または、アノードを取り付けてもよい。天井10またはガス分配プレートは、典型的に、アルミニウム製のものであり、チャンバに面する内面に、内部ガスマニホールドおよびガス注入口を有する。プロセスガス供給部25が、プロセスガスをガス分配プレート10に供給する。真空ポンプ30が、リアクタチャンバ内の圧力を制御する。リアクタチャンバ内にプラズマを点火し維持するためのプラズマソースパワーは、ウェハ支持ペデスタルがRF電極として働くように、インピーダンス整合回路45を介してウェハ支持ペデスタル15に接続されたRF発生器40によって発生する。アノード(導体材料から形成された天井10であってもよい)は、対極として働くRF接地に接続される。このようなリアクタのプラズマイオン密度分布は、非常に不均一になる傾向があり、典型的に、中心が高い。
図1Bは、天井10が、図1Aのように接地に直接接続されてというより、RFインピーダンス整合要素11(略図的にのみ図示)を介して、プラズマソースパワーを供給するVHF信号発生器12に接続されている特徴を示す。この場合、RF発生器40は、半導体ウェハまたはワークピース20でのRFバイアスを制御するにすぎない。(RFインピーダンス整合要素11は、同軸調整スタブまたはストリップライン回路などの固定調整要素であってもよい。)このような特徴については、以下の本願明細書の部分において更に詳細に記載する。
プラズマイオン密度の分布を制御するために、天井10の上方に誘導コイルのセットが設けられる。図1Aの場合、コイルセットは、円筒状のチャンバと同軸である内側コイル60および外側コイル65を含み、各々は、導体からなる単一の巻線を構成する。図1Aに一巻として巻線60、65を示しているが、これらは、各々、例えば、図1Bに示すように、垂直方向に配設された複数巻からなるものであってもよい。または、図1Cに示すように、巻線60、65は、垂直方向と水平方向の両方に延在してもよい。図1Aの場合、内側コイル60は、外側コイル65より更に天井10の上方に離れた位置にある。しかしながら、他の場合、この配置は逆転されてもよく、または、2つのコイル60、65は、天井10の上方の同じ高さに位置してもよい。
図1Aおよび図1Bの場合、コントローラ90が、コイル60、65のそれぞれに接続された独立したDC電流供給部70、75をそれぞれ制御することによって、オーバーヘッドコイル60、65のそれぞれに流れる電流の大きさおよび極性を決定する。以下、図2を参照すると、コントローラ90が、コントローラ90を介して電流を供給するDC電流供給部76からコイル60、65へのDC電流を制御する場合が示されており、コントローラ90は、コイル60、65のそれぞれに接続されている。いずれの場合においても、コントローラ90は、異なる極性および大きさのDC電流を、コイル60、65の異なるものに流すことが可能である。図2の場合、コントローラ90は、コイル60、65のそれぞれに適用されるDC電流を調節する一対のポテンショメータ82a、82bと、コイル60、65の各々に適用されたDC電流の極性を独立して決定する一対の連結されたスイッチ84a、84bとを含む。ポテンショメータ82a、82bおよび連結されたスイッチ84a、84bをインテリジェント管理するために、コントローラ90にマイクロプロセッサ91などのプログラム可能なデバイスを含むことができる。
外側コイル65より天井10の上方の高い位置に内側コイル60が配置された、図1A、図1B、および図1Cに示す2つのコイル60、65の配置により、特定の利点が得られる。詳しく言えば、いずれかのコイルによって与えられる磁場勾配の半径方向成分は、少なくとも概略で、コイルの半径に比例し、コイルからの軸変位に反比例する。したがって、内側および外側コイル60、65は、サイズと変位が異なるため、異なる役割を果たすことになる。すなわち、外側コイル65は、半径がより大きく、ウェハ20により近接しているため、ウェハ20の表面全体にわたって優位を占めるのに対して、内側コイル60は、ウェハの中心付近に最大の影響を有し、磁場を微調整したり形作ったりするためのトリムコイルとして見なし得る。半径が異なり、プラズマからの変位が異なる位置に配置された異なるコイルによって、このような差動制御を実現するために、他の配置も可能な場合もある。以下、作業例を参照しながら、本願明細書において記載するように、周囲プラズマイオン密度分布の異なる変化は、それぞれのオーバーヘッドコイル(60、65)に流れる大きさの異なる電流を選択することによってだけではなく、異なるオーバーヘッドコイルの電流の異なる極性または方向を選択することによって得られる。
図3Aは、図1Aの場合の、ウェハ20上での半径方向の位置と、内側コイル60によって発生する磁場の半径方向(実線)成分および方位角(点線)成分との関係を示す。図3Bは、ウェハ20上での半径方向の位置と、外側コイル65によって発生する磁場の半径方向(実線)成分と方位角(点線)成分との関係を示す。図3Aおよび図3Bに示すデータは、ウェハ20が直径300mmであり、内側コイル60が直径12インチであり、プラズマ上方の約10インチに配置され、外側コイル65が直径22インチであり、プラズマ上方の約6インチに配置された実施例において得られたものである。図3Cは、内側および外側オーバーヘッドコイル60、65によって発生する半カスプ状の磁力線パターンの簡易図である。
図2のコントローラ90は、ウェハ表面での磁場を調節することによって、プラズマイオン密度の空間分布を変化させるために、コイル60、65のそれぞれに適用される電流を変化させ得る。以下に示すものは、コイル60、65の異なるコイルによって適用される異なる磁場の影響であり、コントローラ90が、これらの磁場を変化させることによって、チャンバでのプラズマイオン分布にどの程度大きく影響を与え、向上を図ることができるかを示す。以下の例において、プラズマイオン分布ではなくウェハ表面にわたったエッチング速度の空間分布は、直接測定される。エッチング速度分布は、プラズマイオン分布の変化とともに直接変化し、したがって、一方の変化は、他方の変化によって反映される。
図4A、図4B、図4C、および図4Dは、低チャンバ圧力(30mT)でのみ内側コイル60を用いて実現された有益な結果を示す。図4Aは、ウェハ20の表面上の位置(横軸XおよびY)と、測定されたエッチング速度(縦軸Z)との関係を示す。したがって、図4Aは、ウェハ表面の平面におけるエッチング速度の空間分布を示す。図4Aに、エッチング速度の分布の中心が高い不均一性が明確に見て取れる。図4は、磁場が適用されていない場合に相当し、リアクターに固有であり、補正が必要である不均一なエッチング速度の分布を示す。エッチング速度の標準偏差は、この場合、5.7%である。図4および図5において、磁場強度は、ウェハの中心付近の軸方向の場として記載されているが、均一性を高めるために、プラズマイオン密度の径分布に作用するものであると理解される。軸方向の場を選択した理由は、容易に測定されるためである。ウェハの縁での半径方向の場は、典型的に、この場所での軸方向の場の約3分の1である。
図4Bは、内側コイル60が、9ガウスの磁場を生成するように励起されたとき、どのようにエッチング速度分布が変化するかを示す。不均一性は、4.7%の標準偏差まで低減する。
図4Cにおいて、内側コイル60の磁場は、18ガウスまで上げられ、中央にある頂点が大幅に下がったことが分かり、その結果として、ウェハにわたったエッチング速度の標準偏差は、2.1%までに低減する。
図4Dにおいて、内側コイル60の磁場は、27ガウスまで更に上げられるため、図4Aの中心が高いパターンがほぼ反転して、中心が低いパターンになっている。図4Dの場合のウェハ表面にわたったエッチング速度の標準偏差は、5.0%である。
図5A、図5B、図5C、および図5Dは、より高いチャンバ圧力(200mT)でコイル60、65の両方を使用する有益な結果を示す。図5Aは、図4Aに対応し、磁場によって補正されていないリアクタの中心が高いエッチング速度の不均一性を示す。この場合、ウェハ表面にわたったエッチング速度の標準偏差は、5.2%である。
図5Bにおいて、外側コイル65は、22ガウスの磁場を発生させるように励起され、この磁場は、エッチング速度分布の中央の頂点をある程度低減させる。この場合、エッチング速度の標準偏差は、3.5%まで下がる。
図5Cにおいて、コイル60、65の両方は、24ガウスの磁場を発生するように励起される。図5Cに見られる結果は、エッチング速度分布の中央の頂点が著しく低減しているのに対して、周囲付近のエッチング速度は高くなっている。全体的な結果は、標準偏差が3.2%と低いより均一なエッチング速度の分布である。
図5Dにおいて、両方のコイルが、過剰補正を発生する40ガウスの磁場を発生するように励起されることによって、ウェハ表面にわたったエッチング速度の分布は、中央が低い分布に変化する。後者の場合のエッチング速度の標準偏差は、(図5Cの場合に対して)3.5%へとわずかに上昇する。
図4A〜図4Dの低圧力テストで得られた結果と、図5A〜図5Dの高圧力テストで得られた結果とを比較すると、より高いチャンバ圧力の場合、エッチング速度の不均一な分布に同様の補正を達成するには、非常に強い磁場が要求されることが分かる。例えば、30mTでは、18ガウスで内側コイル60のみを使用するだけで最適な補正が得られているのに対して、300mTでは、最適な補正を達成するためには、コイル60、65の両方のコイルを用いた24ガウスの磁場が要求される。
図6は、オーバーヘッドコイルの磁場が、プラズマイオン密度またはエッチング速度分布の均一性には多大な影響を与えるが、エッチング速度そのものにはあまり影響を与えないことを示す。このことは、エッチング速度分布の均一性を高めることは望ましいが、特定の半導体プロセスに対して選択されたエッチング速度を変化させないことが好ましいため、利点である。図6において、ひし形の記号は、磁場(横軸)と測定されたエッチング速度(左側の縦軸)との関係を示すのに対して、正方形の記号は、磁場とエッチング速度の標準偏差(不均一性)(右側の縦軸)との関係を示す。図示した範囲における不均一性の変化は、ほぼ一桁の大きさであり、エッチング速度の変化は、約25%だけである。
図1A、図1B、および図1Cのオーバーヘッドコイルインダクタ60、65は、従来のMERIEリアクタとともに用いられてもよい。図7および図8は、4つの従来のMERIE電磁石92、94、96、98およびMERIE電流コントローラ99の追加の特徴を備えた図1Aに対応する場合を示す。電流コントローラ99は、AC電流をMERIE電磁石92、94、96、98のそれぞれに供給する。それぞれの電流は、同じ低周波数のものであるが、従来のようにチャンバ内で磁場を低速回転するように、90度だけ位相ずれがある。
オーバーヘッドコイル用いたプラズマ分布の制御
本発明の方法によれば、特定のリアクタに固有のウェハ表面にわたったプラズマイオン密度分布は、オーバーヘッドコイル60、65によって発生する特定の磁場を選択することによって、特定の方法で適応される。例えば、プラズマ分布は、ウェハ表面にわたってより均一のエッチング速度分布を発生させるように適応されてもよい。このような適応処理は、例えば、オーバーヘッドコイルにおけるDC電流の流れの最適な極性および振幅を選択するようにコントローラ90をプログラミングすることによって達成される。この例は、2つの同心オーバーヘッドコイル(すなわち、コイル60および65)のみを備えたリアクタに関するが、この方法は、3つ以上のコイルを用いて実行可能であり、より多くの数のオーバーヘッドコイルを用いてより正確な結果を出すことができる。磁場は、ウェハ表面にわたってプラズマイオン密度分布を変化させるようにコントローラ90によって適応され、これは、エッチング速度分布に影響を与える。
第1の工程は、オーバーヘッドコイル60、65からのいずれの補正磁場もない場合に、ウェハ表面にわたったエッチング速度分布を測定することである。次の工程は、エッチング速度分布をより均一にするプラズマイオン密度分布の変化を決定することである。最後の工程は、プラズマイオン密度分布の所望の変化を起こす磁場を決定することである。この磁場から、このような場を発生するのに必要なオーバーヘッドコイル60、65における電流の大きさおよび方向を、周知の静電磁場の方程式から計算できる。
本発明者らは、磁場から、オーバーヘッドコイル60、65の磁場によってプラズマにかけられる圧力(いわゆる、「磁気圧力」)を計算する方法を見出した。以下、これについて記載する。プラズマに磁気圧力がかかると、プラズマイオン密度分布に変化が生じる。このようにプラズマイオン密度分布が変化すると、ウェハ表面にわたったエッチング速度分布に比例変化が生じ、これは、直接観察可能である。したがって、ウェハ表面にわたったプラズマイオン密度分布およびエッチング速度分布は、比例因子によって少なくとも概略的に関連付けられる。
まず、ウェハ表面にわたったエッチング速度の空間分布は、オーバーヘッドコイル60、65からの磁場を適用する前に測定される。この磁場から、エッチング速度分布の所望の変化(均一の分布を達成するために)を決定できる。次に、チャンバ内の位置およびコイルでの電流の流れに関係する、各オーバーヘッドコイル60、65によって発生する磁場の空間分布が、各コイルの幾何学的形状から解析的に決定される。その後、既知の電流セットをコイルに適用した後、ウェハ表面にわたったエッチング速度分布の結果的な変化を測定することによって、ウェハ表面でのすべてのコイルからの磁場のベクトル和を、ウェハ表面でのエッチング速度分布の変化に関係させるリニアスケール因子を推定できる。(このスケール因子は、一般的に、プラズマの中立圧力の関数であり、最大約500mTのチャンバ圧力まで有効である。)したがって、エッチング速度分布の所望の変化または補正が与えられると(より良好な均一性を達成するために)、必要な磁場を見つけることができ(本願明細書において以下に記載する方法で)、予め解析的に決定された磁場空間分布関数を用いて、その磁場から対応するコイル電流を推測できる。
エッチング速度分布における不均一性への所望の補正は、種々の方法で確立できる。例えば、「差」分布を生じさせるために、ウェハ表面にわたった二次元エッチング速度分布を、均一のまたは平均的なエッチング速度から差し引くことができる。この方法で補正されるエッチング速度分布の不均一性は、容量結合ソースパワーの不均一な適用、不均一なプロセスガス分布とともに、不均一なプラズマイオン密度分布を含む、リアクタチャンバにおける種々の要因の結果である。前述した方法において、不均一性は、磁気圧力でプラズマイオン密度分布を変化させることによって補正される。
また、何らかの所望の方法で、不均一である「補正された」プラズマ分布を確立するために、以下の方法を用いることもできる。この場合、施される補正は、「補正されていない」または周囲のプラズマイオン密度分布と、所望の分布との差(不均一である)である。このように、この方法は、プラズマ密度分布をより均一にするか、または必ずしも均一でない特定の選択された密度分布パターンにするために有益である。
以下、図9を参照しながら、前述した方法を実行するための一連の工程について記載する。
第1の工程(図9のブロック910)は、オーバーヘッドコイル60、65の各々に対して、コイルでの電流の流れおよびウェハ表面上での半径方向の位置の関数として、ウェハ表面での磁場の表示を解析的に決定することである。円筒座標を用いて、この表現は、i番目のコイルに対して、B(r,z=ウェハ,I)として書き表されてもよい。これは、ビオ・サバールの法則から非常に容易な方法で求められる。
次の工程(図9のブロック920)は、オーバーヘッドコイル60、65に電流が流れない場合に実行される。この工程において、ウェハ表面にわたったプラズマイオン密度の空間分布が測定される。この空間分布は、n(r,z=ウェハ)として書き表されてもよい。この工程において、プラズマイオン密度分布は、テストウェハの表面にわたったエッチング速度分布を測定することによって間接的に測定され得る。当業者であれば、エッチング速度分布からプラズマイオン密度分布を容易に推測できる。
次に、ブロック930の工程において、前の工程において測定されたプラズマイオン密度空間分布関数n(r,z=ウェハ)への補正値c(r)が求められる。補正値c(r)は、任意の数の適切な方法で定義されてもよい。例えば、補正値は、最大値n(r,z=ウェハ)max−n(r,z=ウェハ)として定義されてもよい。このように、c(r)をn(r,z=ウェハ)に追加することで、均一な振幅がn(r)maxに等しい「補正された」分布が得られる。補正関数c(r)は、異なる均一の振幅を生じるように異なるように定義されてもよいことは言うまでもない。または、簡潔に上述したように、所望の分布が不均一であれば、補正値は、所望の分布とn(r,z=ウェハ)との間の差である。
次の工程(ブロック940)は、オーバーヘッドコイル60、65の各々に対して「テスト」電流Iを選択することであり、適切なコイルに電流をかけ、その結果得られるプラズマイオン分布を測定し、それをn(r,z=ウェハ)testとして書き表してもよい。イオン分布の変化Δn(r)は、磁場がある場合と磁場がない場合のイオン分布を差し引くことによって得られる。
Figure 0004698222
次の工程(ブロック950)は、磁場(すなわち、磁気圧力)によってかけられる圧力勾配をイオン分布Δn(r)の変化に関係させるスケール因子Sを計算することである。この計算は、Δn(r)で磁気圧力勾配を除算することによって実行される。i番目のコイルの磁場B(r,z=ウェハ,I)の磁気圧力勾配は、磁気流体力学の方程式にしたがって、コイルの各々に対して個々に計算される。
Figure 0004698222
式中、下付き文字rは半径方向成分を表す。次に、各コイルに対してこのように個々に得られた結果を合計する。よって、全磁気圧力勾配は、以下の通りである。
Figure 0004698222
よって、スケール因子Sは、以下の通りである。
Figure 0004698222
この除算演算は、異なる値rで実行されてもよく、その結果は、スカラー形式のSを得るために平均化されてもよい。言い換えれば、スケール因子Sは、rの関数であり、適切な方法で用いられる。
ブロック950の工程において得られるスケール因子Sは、磁気圧力を決定するコイル電流Iと、イオン分布の結果的な変化との間のリンクである。詳しく言えば、コイル電流Iのセットが与えられると、イオン分布n(r)の対応する変化が、スケール因子SによってIのセットから求められた磁気圧力を乗算することによって計算し得る。
Figure 0004698222
これにより、以下の工程(ブロック960)の基礎となるものが与えられ、コンピュータ(マイクロプロセッサ91など)は、前述の方程式を用いて、予め特定された、または所望のプラズマイオン密度分布の変化Δn(r)への最良の近似値を与えるコイル電流Iのセットを検索する。この場合、所望の変化は、ブロック930の工程において計算された補正関数c(r)に等しい。言い換えれば、コンピュータは、以下の条件を満たすコイル電流Iのセットを検索する。
Figure 0004698222
この検索は、例えば、最急降下法を伴う周知の最適化技術によって実行されてもよい。このような技術は、当業者によって容易に実行され、本願明細書において記載される必要はない。
この検索によって見つかったコイル電流Iのセットの大きさおよび極性は、コントローラ90に送信され、コントローラ90は、これらの電流をコイル60、65のそれぞれに適用する。
図10は、ウェハ表面での半径方向の位置の関数として、磁気圧力(実線)とプラズマイオン分布の測定した変化(点線)とを比較する。上述したように、磁気圧力は、オーバーヘッドコイルの磁場の2乗の勾配である。図10は、磁場とイオン密度分布の変化との間に良好な相関関係があることを示す。
図11〜図14に、このような方法の応用を示す。図11は、ウェハ表面でのエッチング速度の空間分布における不均一性または標準偏差(縦軸)が、オーバーヘッドコイルの1つのコイル電流とともにどのように変動したかを示す。コイル電流がゼロの場合、標準偏差は約12%であり、イオン分布は、図12に示すように、中心が高い。
コイル電流が約17アンペアの場合、約3%の最小不均一性が達成される。これは、約4倍の改良を示す(すなわち、エッチング速度分布の標準偏差が12%から3%へ)。図13Aに、実際のまたは測定したエッチング速度分布を示すのに対して、図13Bに、図9の技術を用いて予測されたエッチング速度分布を示す。
コイル電流が35アンペアと高い場合、エッチング速度分布の標準偏差は、約14%であった。図14Aに、測定したエッチング速度の空間分布を示すのに対して、図14Bに、予測した分布を示す。
再度、図13Aを参照すると、得られた最も均一のイオン分布は、確実に平坦ではなく、実際には、「ボウル」状であり、周辺付近が凹形で、中心付近が凸形である。個々のオーバーヘッドコイルの数がより多い場合(例えば、3つ以上)、より高い解像度とより良好な結果の均一性で、電流の最適化を実行することが可能である。したがって、本発明は、コイルを2つのみ有する場合に限定されない。本発明は、1つまたは3つ以上のオーバーヘッドコイルを用いて様々な結果で実行されてもよい。
天井表面でのプラズマイオン密度分布またはエッチング速度分布を制御するために、同じ方法が適用されてもよい。このようなアプローチは、例えば、チャンバの洗浄動作中に有益なことがある。図15は、イオン密度(またはエッチング速度)の空間分布の均一性が最適化される、図9の方法の一例を示す。図15の工程、すなわち、ブロック910’、920’、930’、940’、950’、および960’は、ウェハ面ではなく天井面に実行されている点を除き、図9の工程、すなわち、ブロック910、920、930、940、950、および960と同じである。
第1の工程(図15のブロック910’)は、オーバーヘッドコイル60、65の各々に対して、コイルでの電流の流れおよびウェハ表面上での半径方向の位置の関数として、天井表面での磁場の表示を解析的に決定することである。円筒座標を用いて、この表現は、i番目のコイルに対して、B(r,z=天井,I)として書き表されてもよい。これは、単純な静電磁場の方程式から求められ、コイル電流Iおよび天井表面上での半径方向の位置rの関数だけでなく、コイルの半径や、コイルと天井内面との間の距離z=天井などの定数の関数である。
次の工程(図15のブロック920’)は、オーバーヘッドコイル60、65に電流が流れない場合に実行される。この工程において、天井表面にわたったプラズマイオン密度の空間分布が測定される。この空間分布は、n(r,z=天井)として書き表されてもよい。この工程において、プラズマイオン密度分布は、従来のプローブまたは他の間接技術によって測定され得る。
次に、ブロック930’の工程において、前の工程において測定されたプラズマイオン密度空間分布関数n(r,z=天井)への補正値c’(r)が求められる。(上述した図15の計算と図9のものとを区別するために、本願明細書ではプライム符号の表記法が用いられており、本願明細書において使用されているような導関数を意味するものではないことを留意されたい。)補正値c’(r)は、任意の数の適切な方法で定義されてもよい。例えば、補正値は、最大値n(r,z=天井)max−n(r,z=天井)として定義されてもよい。このように、c’(r)をn(r,z=天井)に追加することで、均一な振幅がn(r)maxに等しい「補正された」分布が得られる。補正関数c’(r)は、異なる均一の振幅を生じるように異なるように定義されてもよいことは言うまでもない。また、特定の不均一の分布が望ましければ、補正値は、修正されていないか、または周囲のプラズマ分布n(r,z=天井)と所望の不均一の分布との間の差である。したがって、この方法は、特定の不均一のパターンを有する所望のプラズマイオン分布を確立するか、または均一のプラズマイオンミグ度分布を確立するかのいずれかのために用いることができる。
次の工程(ブロック940’)は、オーバーヘッドコイル60、65の各々に対して「テスト」電流Iを選択することであり、適切なコイルに電流をかけ、その結果得られるプラズマイオン分布を測定し、それをn(r,z=天井)testとして書き表してもよい。イオン分布の変化Δn(r)は、磁場がある場合と磁場がない場合のイオン分布を差し引くことによって得られる。
Figure 0004698222
次の工程(ブロック950’)は、磁場(すなわち、磁気圧力)によってかけられる圧力勾配をイオン分布Δn(r)の変化に関係させるスケール因子S’を計算することである。この計算は、Δn(r)で磁気圧力勾配を除算することによって実行される。i番目のコイルの磁場B(r,z=天井,I)の磁気圧力勾配は、磁気流体力学の方程式にしたがって、コイルの各々に対して個々に計算される。
Figure 0004698222
式中、下付き文字rは半径方向成分を表す。次に、各コイルに対してこのように個々に得られた結果を合計する。よって、全磁気圧力勾配は、以下の通りである。
Figure 0004698222
よって、スケール因子Sは、以下の通りである。
Figure 0004698222
ブロック950’の工程において得られるスケール因子S’は、磁気圧力を決定するコイル電流Iと、イオン分布の結果的な変化との間のリンクである。詳しく言えば、コイル電流Iのセットが与えられると、イオン分布n’(r)の対応する変化が、スケール因子S’によってIのセットから求められた磁気圧力を乗算することによって計算し得る。
Figure 0004698222
これにより、以下の工程(ブロック960’)の基礎となるものが与えられ、コンピュータ(マイクロプロセッサ91など)は、前述の方程式を用いて、予め特定された、または所望のプラズマイオン密度分布の変化Δn’(r)への最良の近似値を与えるコイル電流Iのセットを検索する。この場合、所望の変化は、ブロック930’の工程において計算された補正関数c’(r)に等しい。言い換えれば、コンピュータは、以下の条件を満たすコイル電流Iのセットを検索する。
Figure 0004698222
この検索は、例えば、最急降下法を伴う既知の最適化技術によって実行されてもよい。このような技術は、当業者によって容易に実行され、本願明細書において記載される必要はない。
この検索によって見つかったコイル電流Iのセットの大きさおよび極性は、コントローラ90に送信され、コントローラ90は、これらの電流をコイル60、65のそれぞれに適用する。
オーバーヘッドコイルが1つだけの場合、装置は、両方同時にではなく、ウェハまたは天井のいずれかでプラズマイオン分布の均一性を最適化するために使用され得る。オーバーヘッドコイルが少なくとも2つの場合(例えば、オーバーヘッドコイル60および65)、プラズマイオン分布の均一性は、ウェハと天井の両方で同時に少なくともほぼ最適化され得る。
オーバーヘッドコイルでのプラズマのステアリング
本発明者らは、コイル電流Iを、天井および/または側壁の方へプラズマを向けたり、ウェハ表面へ向けたりするように選択することができることを発見した。また、コイル電流Iは、図9の方法に類似した方法で天井表面でのプラズマ密度分布の均一性を高めるように選択されてもよい。その結果、プラズマは、ウェハでの処理中に集束されてもよく、その後、洗浄中に、天井および/または側壁上に集束されてもよい。天井でプラズマを集束することによって、洗浄時間が短縮されてもよい。
一例において、コントローラ90によってチャンバの側壁にプラズマを向け、−17.5アンペアの電流を内側コイル60にかけ、+12.5アンペアの電流を外側コイル65にかける。図16は、ゼロ半径からチャンバの周囲まで横軸に沿って延在し、ウェハ表面から天井まで縦軸に沿って延在するチャンバ内部の半径部分を示す。図16の小さな矢印は、コントローラ90によってチャンバの側壁にプラズマを向け、−17.5アンペアの電流を内側コイル60にかけ、+12.5アンペアの電流を外側コイル65に向けるとき、チャンバにある様々な位置での磁場の大きさおよび方向を示す。図17は、半径方向の位置の関数として、ウェハ表面での磁場の2乗の対応する勾配を示す。
別の例において、コントローラ90によってチャンバの最高部にプラズマを向け、−12.5アンペアの電流を内側コイル60にかけ、+5アンペアの電流を外側コイル65にかける。図18は、ゼロ半径からチャンバの周囲まで横軸に沿って延在し、ウェハ表面から天井まで縦軸に沿って延在するチャンバ内部の半径方向の部分を示す。図18の小さな矢印は、コントローラ90によってチャンバの側壁にプラズマを向け、−12.5アンペアの電流を内側コイル60にかけ、+5アンペアの電流を外側コイル65に向けるとき、チャンバにある様々な位置での磁場の大きさおよび方向を示す。図19は、半径方向の位置の関数として、ウェハ表面での磁場の2乗の対応する勾配を示す。
更なる例において、コントローラ90によって天井の中心から側壁まで延在する磁力線に沿ってプラズマを向け、−25アンペアの電流を内側コイル60にかけ、+2.75アンペアの電流を外側コイル65にかける。図20は、ゼロ半径からチャンバの周囲まで横軸に沿って延在し、ウェハ表面から天井まで縦軸に沿って延在するチャンバ内部の半径方向の部分を示す。図20の小さな矢印は、コントローラ90によってチャンバの側壁にプラズマを向け、−25アンペアの電流を内側コイル60にかけ、+2.5アンペアの電流を外側コイル65に向けるとき、チャンバにある様々な位置での磁場の大きさおよび方向を示す。図21は、半径方向の位置の関数として、ウェハ表面での磁場の2乗の対応する勾配を示す。
図17は、プラズマが縁部に向けられるとき、チャンバの縁部付近で、プラズマに正の高磁気圧力がかけられることを示す。図19は、天井の縁部にプラズマがかけられるとき、チャンバの縁部付近で、プラズマに低磁気圧力がかけられることを示す。図21は、天井から縁部へ磁力線が延びるとき、チャンバ縁部付近に負の高圧が存在することを示す。
このように、オーバーヘッドコイル60、65の電流は、天井や側壁など、洗浄を要することがあるチャンバの種々の位置にプラズマを向けるように選択されてもよい。または、プラズマは、ウェハにより近い場所に集束されてもよい。プラズマをウェハまたは天井のいずれかに向けるために、または、あるステアリング率SRに応じて、ウェハと天井との間にプラズマを分配するために、図22に示すような方法が実行されてもよい。
以下、図22を参照すると、第1の工程(図22のブロック2210)は、オーバーヘッドコイル(例えば、コイル60、65の対)のすべてのコイル電流の関数として、チャンバ内の磁場の解析モデルを定義することである。これは、当業者であれば、静電磁場の方程式を用いて容易に達成され、本願明細書において記載する必要はない。磁場は、コイルの各々からの個々の磁場の合計である。各個々の磁場は、それぞれのコイルの直径、各コイルの位置、コイルの電流の流れ、およびチャンバの位置に応じる。よって、i番目のコイルによって発生する磁場は、以下のように書き表されてもよい。
Figure 0004698222
よって、全磁場は、以下の通りである。
Figure 0004698222
次の工程((ブロック2220)は、所望のプロセス条件のセットを満たす磁場のセットを選択することである。例えば、天井にプラズマを向けるために、図18の例に示すように、天井の方へプラズマを押すプラズマにかかる磁気圧力を発生する磁場が選択される。側壁の方へプラズマを向けるために、図16に示すように、周囲の方へプラズマを押すプラズマにかかる磁気圧力を発生する磁場が選択される。
特定の条件を満たす上記ブロック2220の工程において定義された各磁場に対して、コンピュータが、所望の磁場を発生するコイル電流のセットのために、ブロック2210の工程で定義されたモデルを検索する。これはブロック2230の次の工程である。ブロック2230の工程において得られた電流の各セットは、対応するプロセス条件に関連付けられたメモリ位置の対応する条件の名前とともに格納される(図22のブロック2240)。特定のプロセス条件が選択されているときはいつでも(例えば、天井にプラズマを向けること)、マイクロプロセッサ91は、対応するメモリ位置から電流値のセットを取り出し(ブロック2250)、適切なコイルに適用される対応する電流を生じさせる。
図23は、マイクロプロセッサ91が、ユーザ入力に応答するようにどのようにプログラムできるかを示す。まず、処理がウェハ表面のエッチングを含むか否かが判定され(ブロック2310)、処理が天井の洗浄(エッチング)を含むか否かが判定される(ブロック2320)。エッチング対象がウェハのみであれば、プラズマは、ウェハに向けられ(ブロック2330)、ウェハ表面でのプラズマ分布の均一性は、図9の方法を用いて最適化される(ブロック2350)。ウェハがエッチングされる一方で、同時に天井が洗浄されれば、プラズマ密度は、天井とウェハとの間で分配され(ブロック2360)、プラズマ密度の均一性は、図9のようなウェハ表面と図15のような天井で最適化される(ブロック2370)。洗浄の対象が天井のみであれば、プラズマは天井に向けられ(ブロック2380)、天井でのプラズマ密度の均一性が最適化される(ブロック2390)。
VHFオーバーヘッド電極との使用
図24は、固定された調整スタブを介してVHFプラズマソースパワー発生器に接続されたオーバーヘッド電極を有する容量結合リアクタが、どのように内側および外側コイル60、65に組み合わせられてもよいかを示す。2001年12月19日に出願され、本願の譲受人に譲渡された、Daniel Hoffmanらによる「Plasma Reactor with Overhead RF Electrode Tuned to the Plasma」という発明の名称の米国特許出願第10/028,922号に、このようなリアクタが記載されており、同出願の開示を、参照として本願明細書に組み入れる。
図24を参照すると、プラズマリアクタが、半導体ウェハ110を支持するチャンバの底部にあるウェハ支持体105を備えたリアクタチャンバ100を含む。例示的な実施例において、プロセスキットが、接地チャンバ本体127上の誘電リング120によって支持された伝導性または半導性のリング115を含んでもよい。チャンバ100の上部は、ディスク状のオーバーヘッド伝導性電極125によって境界がつけられており、この電極125は、誘電シールによって接地されたチャンバ本体127上のウェハ110上方にギャップの長さで支持される。一実施例において、ウェハ支持体105は、ギャップの長さが変化できるように、垂直方向に移動可能である。他の実施例において、ギャップの長さは、固定された所定の長さであってもよい。オーバーヘッド電極125は、内面が半金属材料(例えば、SiまたはSiC)で被覆されてもよい金属(例えば、アルミニウム)であってもよく、または、半金属材料そのものであってもよい。RF発生器150が、RFパワーを電極125に適用する。発生器150からのRFパワーは、発生器150に整合された同軸ケーブル162を介して、電極125に接続された同軸スタブ135内に連結される。以下に更に詳細に記載するように、スタブ135は、特性インピーダンスを有し、共振周波数を有し、および電極125と同軸ケーブル162またはRFパワー発生器150の出力との間にインピーダンス整合を与える。チャンバ本体は、RF発生器150のRFリターン(RF接地)に接続される。オーバーヘッド電極125からRF接地へのRFパスは、誘電シール120の容量および誘電シール130の容量によって影響を与えられる。ウェハ支持体105、ウェハ110、およびプロセスキット伝導性または半導性リング115は、電極125に適用されるRFパワーに主要なRFリターンパスを与える。
図1Aの場合のように、内側コイル60は、外側コイル65の直径の半分より小さく、外側コイル65よりチャンバから離れた面にある。外側コイル65は、電極125の上部の面またはその付近に位置するのに対して、内側コイル60は、電極125より十分に上方に位置する。図1の場合のように、コイル60、65のDC電流は、コイル60、65の電流供給部70、75を管理するプラズマステアリングコントローラ90によって制御される。
RFリターンまたは接地に対して測定された電極125、プロセスキット115、120、および誘電シール130を含むオーバーヘッド電極アセンブリ126の容量は、1つの例示的な場合において、180ピコファラドである。電極アセンブリの容量は、電極の面積、ギャップの長さ(ウェハ支持体とオーバーヘッド電極との間の距離)、および浮遊容量、特に、シール139および誘電リング120の誘電値に影響を与える要因によって影響を与えられ、これらの誘電率は、用いられる材料の誘電率および厚みによって影響を受ける。より一般的には、電極アセンブリ126の容量(符号なし数値またはスケーラ)は、以下に記載するように、特定のソースパワー周波数、プラズマ密度、および動作圧力で、プラズマの負の容量(複素数)の大きさに等しいか、またはほぼ等しい。
前述した関係に影響を与える要因の多くは、リアクタによって実行される必要があるプラズマプロセス要求、ウェハのサイズ、およびウェハにわたって均一に処理が実行される要求が存在することにより、多くの部分で予め決定される。したがって、プラズマ容量は、プラズマ密度およびソースパワー周波数の関数であるのに対して、電極容量は、ウェハ支持体から電極までの間のギャップ(高さ)、電極の直径、アセンブリの絶縁体の誘電値の関数である。プラズマ密度、動作圧力、ギャップ、電極の直径は、リアクタによって実行されるプラズマプロセスの要求を満たさなければならない。特に、イオン密度は、ある一定の範囲内のものでなければならない。例えば、シリコンおよび誘電体のプラズマエッチングプロセスには、一般的に、10〜1012イオン/ccの範囲内のプラズマイオン密度が要求される。ウェハと電極のギャップにより、例えば、ギャップが約2インチであれば、8インチウェハに対して最適なイオン分布の均一性が得られる。電極の直径は、ウェハの直径より大きくなければ、少なくとも同程度の大きさであることが好ましい。動作圧力は、典型的なエッチングおよび他のプラズマプロセスに対して実用的な範囲を同様に有する。
しかしながら、上記の好ましい関係、特に、ソース周波数の選択、オーバーヘッド電極アセンブリ126の容量の選択を達成するために選択可能な他の要因があることが分かっている。電極に課せられる前述した寸法的制約と、プラズマに課せられる制約(例えば、密度の範囲)内において、電極の容量は、ソースパワー周波数がVHF周波数になるように選択され、電極アセンブリ126の絶縁体構成部品の誘電値が適切に選択されれば、プラズマの負の容量の大きさに整合可能である。このような選択により、ソースパワー周波数とプラズマ電極共振周波数との間が整合され得るか、またはほぼ整合され得る。
したがって、1つの例示的な場合において、8インチウェハに対して、オーバーヘッド電極の直径は、約11インチであり、ギャップは、約2インチであり、プラズマ密度および動作圧力は、上述したように、エッチングプロセスに対して典型的なものであり、VHFソースパワー周波数は、210MHzであり(他のVHF周波数も同等に有効になり得る)、ソースパワー周波数、プラズマ電極共振周波数、スタブ共振周波数は、すべて整合されるか、またはほぼ整合される。
更に詳しく言えば、システムQを有益に低下させる離調効果を達成するために、これらの3つの周波数は、互いにわずかにずれたものであり、ソースパワー周波数は210MHzであり、電極プラズマ共振周波数は約200MHzであり、スタブ周波数は約220MHzである。システムQをこのように低下させると、リアクタの性能が、チャンバ内の条件の変化に影響されにくくなることで、プロセス全体は、非常に安定化し、非常に広範なプロセスウィンドウにわたって実行可能になる。
現行で好ましいモードは、12インチ直径のウェハ、約1.25インチのウェハから天井までの間のギャップ、および(上記に参照した210MHzではなく)162MHzのVHFソースパワー周波数に合わせるのに適したチャンバおよびペデスタルの直径を有する。
同軸スタブ135は、全システムの安定性、その広範なプロセスウィンドウ能力、および多数の他の有用な利点に更に寄与する特別に構成されたデザインである。これは、内側の円筒状導体140および外側同心の円筒状導体145を含む。例えば、相対誘電率が1の絶縁体147(図24の平行線模様で示す)が、内側および外側の導体140、145の間の空間を満たす。内側および外側の導体140,145は、例えば、ニッケルがコーティングされたアルミニウムから形成されてもよい。例示的な場合において、外側の導体145の直径は、約4インチであり、内側の導体140の直径は、約1.5インチである。スタブの特性インピーダンスは、内側および外側の導体140、145の半径と、絶縁体147の誘電率とによって決定される。上述した場合のスタブ135の特性インピーダンスは、65Ωである。更に詳しく言えば、スタブの特性インピーダンスは、約20%〜40%、好ましくは、約30%、ソースパワー出力インピーダンスを超過する。スタブ135は、210MHzのVHFソースパワー周波数からわずかにずれながら一般的に整合するように、220MHz付近の共振を有するために、約29インチの軸方向の長さを有する(220MHzので半波長)。
以下に記載するように、RF発生器150からスタブ135へRFパワーを適用するためのスタブ135の軸方向の長さに沿って、特定の点にタップ160が設けられる。発生器150のRFパワー端子150bおよびRFリターン端子150aは、内側および外側の同軸スタブ導体140、145のそれぞれにスタブ135上のタップ160で接続される。これらの接続は、周知の方法で発生器150の出力インピーダンス(典型的に、50Ω)と整合する特性インピーダンスを有する発生器からスタブまでの間の同軸ケーブル162を経由して確立される。スタブ135の遠端部135aにある終端導体165が、内側および外側の導体140、145を共に短絡させるため、スタブ135は、その遠端部135aで短絡する。スタブ135の近端部135b(非短絡端部)で、外側の導体145は、環状の伝導性ハウジングまたは支持体175を経由してチャンバ本体に接続されるのに対して、内側の導体140は、伝導性のシリンダまたは支持体176を経由して、電極125の中心に接続される。伝導性シリンダ175と電極125との間に誘電リング180が保持され、それらを分離する。
内側導体140により、プロセスガスや冷却剤などのユーティリティ用の導管を与える。この特徴の主要な利点は、典型的なプラズマリアクタと異なり、ガスライン170および冷却剤ライン173は、大きな電位差を交差しない。したがって、それらは、このような目的のためには、より安価でより高信頼性の材料である金属から作られてもよい。金属製のガスライン170は、オーバーヘッド電極125またはそれに隣接した位置にあるガス出口172を与えるのに対して、金属製の冷却剤ライン173は、オーバーヘッド電極125内に冷却剤通路またはジャケット174を与える。
RF発生器150とオーバーヘッド電極アセンブリ126および処理プラズマ負荷間のこのような特殊構成のスタブの整合によって、能動的なおよび共振インピーダンスの変換が与えられ、反映されたパワーを最小限に抑え、負荷インピーダンスの広範な変化に合う非常に広範なインピーダンス整合空間を与える。結果的に、典型的なインピーダンス整合装置の必要性を最小限に抑え、または回避しながら、パワー使用時、これまで得られなかった効率とともに、広範なプロセスウィンドウおよびプロセス柔軟性が与えられる。上述したように、スタブ共振周波数も、全体的システムQ、システムの安定性およびプロセスウィンドウおよびマルチプロセス能力を更に高めるために、理想的な整合からずれる。
電極・プラズマ共振周波数とVHFソースパワー周波数の整合
上記に略述したように、主要な特徴は、電極・プラズマ共振周波数でプラズマと共振し、ソースパワー周波数および電極・プラズマ周波数を整合する(または、ほぼ整合する)ように、オーバーヘッド電極アセンブリ126を構成することである。電極アセンブリ126は、主に容量性リアクタンスを有するのに対して、プラズマリアクタンスは、周波数、プラズマ密度、および他のパラメータの複素関数である。(以下に更に詳細に記載するように、虚数項を伴う複素関数であり、一般的に、負の容量に相当するリアクタンスの点で、プラズマが解析される。)電極・プラズマ共振周波数は、(キャパシタとインダクタのリアクタンスによって決定されるキャパシタ/インダクタ共振回路の共振周波数と同様に)電極アセンブリ126とプラズマのリアクタンスによって決定される。したがって、電極・プラズマ共振周波数は、プラズマ密度に応じて、必ずしもソースパワー周波数でなくともよい。したがって、問題は、特定の範囲のプラズマ密度および電極の寸法に実際的な制限の制約が与えられれば、プラズマリアクタンスが、電極・プラズマ共振周波数がソースパワー周波数に等しいか、またはほぼ等しくなるようなものであるソースパワー周波数を見つけることである。プラズマ密度(プラズマリアクタンスに影響を与える)および電極の寸法(電極の容量に影響を与える)は、ある一定のプロセス制約を満たさなければならないため、問題は、更に困難である。詳しく言えば、誘電体および導体のプラズマエッチングプロセスに対して、プラズマ密度は、10〜1012イオン/ccの範囲内のものでなければならず、これは、プラズマリアクタンスに課せられた1つの制約である。更に、例えば、8インチ直径のウェハを処理するためのより均一なプラズマイオン密度分布が、ウェハから電極までの間のギャップまたは約2インチの高さと、ほぼウェハ直径またはそれ以上の電極直径とによって実現され、これは、電極容量に課せられる1つの制約である。一方で、12インチ直径のウェハには、異なるギャップが利用されてもよい。
したがって、電極容量をプラズマの負の容量の大きさに整合させる(またはほぼ整合させる)ことによって、電極・プラズマ共振周波数およびソースパワー周波数は、少なくともほぼ整合される。上記に挙げた一般的な導体および誘電体エッチングプロセス条件(すなわち、10〜1012イオン/ccのプラズマ密度、2インチのギャップ、およびほぼ11インチの電極直径)に対して、ソースパワー周波数がVHF周波数であれば、整合は可能である。他の条件(例えば、異なるウェハ直径、異なるプラズマ密度など)は、リアクタのこの特徴を実行する際に、このような整合を実現するために、異なる周波数範囲を要求してもよい。以下に詳細に記載するように、誘電体および金属のプラズマエッチングおよび化学気相堆積を含むいくつかの主要な応用において、8インチウェハを処理するための好適なプラズマ処理条件下で、上述したように、プラズマ密度を有する1つの典型的な作業例におけるプラズマ容量は、−50〜−400ピコファラドであった。1つの例示的な場合において、オーバーヘッド電極アセンブリ126の容量を、11インチの電極直径、約2インチのギャップの長さ(電極とペデスタルとの間隔)を用い、誘電率が9であり、ほぼ1インチの厚みのシール130の誘電材料、および誘電率が4であり、ほぼ10mmの厚みのリング120の誘電材料を選択することによって、この負のプラズマ容量の大きさに整合した。
前述したように、電極アセンブリ126およびプラズマの組み合わせは、容量が整合したとすると、電極125に適用されたソースパワー周波数と少なくともほぼ整合する電極・プラズマ共振周波数で共振する。本発明者らは、好適なエッチングプラズマ処理レシピ、環境、およびプラズマに対して、この電極・プラズマ共振周波数およびソースパワー周波数は、VHF周波数に整合またはほぼ整合可能であり、このような周波数整合またはほぼ整合をとることは、非常に有益であることを発見した。1つの例示的な場合において、プラズマの負の容量の前述した値に対応する電極・プラズマ共振周波数は、以下に詳述するように、約200MHzである。ソースパワー周波数は、210MHzであり、ほぼ整合の場合は、以下に記載する他の利点を実現するために、ソースパワー周波数が、電極・プラズマ共振周波数よりわずかに高くずれる。
プラズマ容量は、特に、プラズマ電子密度の関数である。これは、良好なプラズマ処理条件を与えるために、概して10〜1012イオン/ccの範囲に保たれることが必要なプラズマイオン密度に関する。この密度は、ソースパワー周波数および他のパラメータとともに、プラズマの負の容量を決定し、したがって、この選択は、以下に更に詳述するように、プラズマ処理条件を最適化するための必要性によって制約される。しかしながら、オーバーヘッド電極アセンブリの容量は、多くの物理的要因によって影響され、これらの要因は、例えば、ギャップの長さ(電極125とウェハとの間隔)、電極125の面積、誘電シール130の誘電損失正接の範囲、電極125と接地されたチャンバ本体127との間の誘電シール130の誘電率の選択、プロセスキット誘電シール130の誘電率の選択、誘電シール130および120の厚み、およびリング180の厚みおよび誘電率である。これにより、これらの中からの選択およびオーバーヘッド電極容量に影響を与える他の物理的要因によって、電極アセンブリ容量を調節することができる。本発明者らは、この調節の範囲が、負のプラズマ容量の大きさにオーバーヘッド電極アセンブリ容量を整合する必要な程度を達成するのに十分であることを見出した。特に、シール130およびリング120の誘電材料および寸法は、所望の誘電率および結果的に得られる誘電値を与えるように選択される。次いで、電極容量に影響を与える同じ物理的要因のいくつか、特に、ギャップの長さが、以下の実用性、すなわち、より大きな直径のウェハを取り扱う必要性、ウェハの全直径にわたってプラズマイオン密度の分布が良好に均一な状態でそれを行うこと、およびイオン密度対イオンエネルギーを良好に制御することによって要求され、または制限されるにもかかわらず、電極容量とプラズマ容量との整合が達成され得る。
プラズマ容量および整合オーバーヘッド電極容量の前述した範囲が与えられたとすると、電極・プラズマ共振周波数は、210MHzのソースパワー周波数に対して、約200MHzである。
電極アセンブリ126の容量をこのように選択し、その結果得られる電極・プラズマ共振周波数およびソースパワー周波数を整合させる大きな利点は、ソースパワー周波数付近の電極およびプラズマの共振が、より広範なインピーダンス整合およびより広範なプロセスウィンドウを与え、結果的に、プロセス条件の変化をほとんど受けず、ひいては、性能の安定性が更に増すことである。全処理システムは、動作条件の変動、例えば、プラズマインピーダンスのシフトの影響を受けにくく、したがって、より広範囲のプロセス適応性とともに信頼性が増す。以下、本願明細書において記載するように、この利点は、電極・プラズマ共振周波数とソースパワー周波数との間のわずかなずれによって更に高められる。
図25は、固定された調整スタブを介してVHFプラズマソースパワー発生器に接続されたオーバーヘッド電極を有し、その周囲にMERIE電磁石を有する容量結合リアクタに、内側および外側コイル60、65をどのように組み合わすことができるかを示す。本願と同一の譲受人に譲渡され、Daniel Hoffmanらによって2001年12月19日に出願された、「Plasma Reactor with Overhead RF Electrode Tuned to the Plasma」という発明の名称の米国特許出願第10/028,922号に、このようなリアクタが記載されており、この内容を、参照として本願明細書に組み入れる。
図25を参照すると、VHF容量結合プラズマリアクタが、図1Aのリアクタに見られる以下の要素、すなわち、半導体ウェハ110を支持するチャンバの底部にあるウェハ支持体105を備えたリアクタチャンバ100を含む。例示した場合のプロセスキットは、接地されたチャンバ本体127上で誘電リング120によって支持された半導性または伝導性リング115からなる。チャンバ100の最上部は、ディスク状のオーバーヘッドアルミニウム電極125によって境界が付けられており、この電極125は、誘電シール130によって接地されたチャンバ本体127上のウェハ110上方に所定のギャップの長さで支持される。オーバーヘッド電極125は、内面が半金属材料(例えば、SiまたはSiC)で被覆されてもよい金属(例えば、 アルミニウム)であってもよく、または、半金属材料そのものであってもよい。RF発生器150が、RFパワーを電極125に適用する。発生器150からのRFパワーは、発生器150に整合された同軸ケーブル162を介して、電極125に接続された同軸スタブ135内に連結される。以下に更に詳細に記載するように、スタブ135は、特性インピーダンス、共振周波数を有し、および電極125と同軸ケーブル162/RF発生器との間にインピーダンス整合を与える。チャンバ本体は、RF発生器150のRFリターン(RF接地)に接続される。オーバーヘッド電極125からRF接地へのRFパスは、プロセスキット誘電リング120および誘電シール130の容量によって影響を与えられる。ウェハ支持体105、ウェハ110、およびプロセスキット半導性(伝導性)リング115は、電極125に適用されるRFパワーに主要なRFリターンパスを与える。
図1Aの場合のように、内側コイル60は、外側コイル65の直径の半分より小さく、外側コイル65よりチャンバから離れた面にある。外側コイル65は、電極125の上部の面またはその付近に位置するのに対して、内側コイル60は、電極125より十分に上方に位置する。図1の場合のように、コイル60、65のDC電流は、コイル60、65の電流供給部70、75を管理するプラズマステアリングコントローラ90によって制御される。
プラズマ密度分布の均一性は、ウェハ支持ペデスタルの周囲およびリアクタチャンバの外側に等間隔に設けられたMERIE電磁石902のセット(図7および図8に示すもののように)を導入することによって高められる。これらのMERIE磁石は、概してウェハ支持ペデスタルの表面にわたって円筒状のチャンバの対称軸の周りをゆっくりと回転する磁場を発生するように適合される。ある場合において、この特徴は、ウェハ支持ペデスタルの周囲に接するそれぞれの軸の周りに電磁石巻線に巻いたMERIE磁石902によって実現される。この場合、MERIE電流コントローラ904は、各MERIE磁石への個々の電流を制御する。コントローラ904によってワークピース支持体の面に、コントローラ904によってワークピース支持体の面に環状磁場が生成され、同一周波数であるが、位相が90度(または、MERIE磁石の数で除算された360度)ずれている個々の磁石巻線の各々に個々のAC電流を供給する。別の場合において、回転磁場の特徴は、ロータ1025(点線)によって対称軸の周りを回転するMERIE磁石のすべてを支持する支持フレーム102(点線)によって実現される。このような別の場合において、MERIE磁石は永久磁石である。
ワークピースまたはウェハ支持ペデスタルの周りに、第1のMERIE磁石セット902より高い面に等間隔に設けられた(点線で示される)第2のMERIE磁石アレイ906が、同様に設けられてもよい。両方の磁石セットは、ワークピース支持体の面付近にある面にそれぞれある。
コントローラ910は、低周波数(0.5〜10Hz)AC電流を電磁石902、906の各々に適用し、隣接する磁石に適用された電流の位相は、上述したように、90度ずれている。その結果は、AC電流の低周波数でワークピース支持体の対称軸の周りを回転する磁場である。磁場により、プラズマは、ワークピース表面付近の磁場の方へ引き寄せられ、磁場とともに円運動する。これがプラズマを攪拌するため、その密度分布がより均一になる。その結果、ウェハの表面全体にわたって、より均一なエッチング結果が得られるため、リアクタの性能は著しく高められる。
オーバーヘッド電極とガス分配プレートの組み合わせ
チャンバ内でガス分配の均一性を高めるために、オーバーヘッド天井からプロセスガスを供給することが望ましい。この目的のために、図24および図25の場合におけるオーバーヘッド電極125は、ガス分配シャワーヘッドであってもよく、したがって、ワークピース支持体105に面する底面に、多数のガス注入口または***300を有する。例示的な場合において、穴300の直径は、0.01〜0.03インチであり、それらの中心は、約3/8インチずつ均一な間隔で設けられる。
オーバーヘッド電極/ガス分配プレート125(以下、ガス分配プレート125と呼ぶ)は、耐アーク性を高めた。これは、プロセスガスおよび/またはプラズマを各開口または穴300の中心から排除するアーク抑制フィーチャーを導入することによる。このアーク抑制フィーチャーは、図26の断面図および図27の拡大断面図に示すように、円筒状のフィンガまたは薄いロッド303のそれぞれの端部で支持された穴300の中心にある中心ピースまたはディスク302のセットである。典型的なガス分配プレート内でのアークは、ガス注入穴の中心付近に生じやすい。したがって、各穴300の中心に中心ピース302を配置することにより、プロセスガスが各穴300の中心の到達できず、したがって、アークの発生が減少する。図28の平面図に示すように、中心ピース302を穴300に導入すると、別の円形開口または穴300が環状開口に変形する。
図29Aを参照すると、アーク抑制が高められたガス分配プレート125は、カバー1402およびベース1404で構成される。ベース1404は、内部ショルダ1410を有する環状壁1408によって取り囲まれ、ガス注入開口を貫通させて形成された円盤状のプレート1406である。カバー1402も、円盤状のプレートである。ディスク302は、カバー1042の底面に取り付けられ、そこから下向きに延在する円筒状のフィンガ303の端部セクションである。カバー1402の外側縁部は、ベース1404のショルダ1410上に載置され、カバー1402とベース1404との間にガスマニホールド1414(図26)を形成する。プロセスガスは、カバー1402の中心にあるガス入り口1416からマニホールド1414内に流入する。
チャンバにおいてプロセスガスまたはプラズマと接触するガス分配プレート125の部分は、炭化ケイ素などの半導体処理適応可能材料で被覆されたアルミニウムなどの金属から形成され得る。この例において、図29Bの拡大部分断面図に示すように、ガス分配プレートの全表面は、カバー1402の上面を除いて、炭化ケイ素コーティング1502で被覆される。図30に示すように、カバー1402のアルミニウム上面は、熱交換器1524によって循環される冷却剤を用いて、ウォータジャケット1522によって水冷されてもよい温度制御式部材1520と接触状態にあるため、ガス分配プレート125の伝熱性のアルミニウム材料は、制御された温度を有する。あるいは、図31に示すように、ウォータジャケットは、ガス分配プレート125内のものであってもよい。
しかしながら、炭化ケイ素コーティング1502が同じ制御された温度をもつために、炭化ケイ素コーティングとアルミニウムとの間に伝熱性の接着がなければならない。そうでなければ、炭化ケイ素コーティングの温度は、制御不能に変動する可能性がある。ガス分配プレート125のアルミニウム材料と炭化ケイ素コーティングとの間に良好な伝熱性を達成するために、図29Aに示すように、アルミニウムガス分配プレートと炭化ケイ素コーティング1504との間に、ポリマー接着層1504が形成される。図29Aは、ポリマー接着層1504が、炭化ケイ素コーティング1502とアルミニウムベース1404との間にあることを示す。ポリマー接着層は、アルミニウムと炭化シリコンコーティング1502との間に良好な伝熱性を与えることによって、コーティング1502の温度は、熱交換器1524によって制御される。
図32、図33、および図34は、二重ゾーンのガス流制御を与えるために、図29Aのガス分配プレート125をどのように修正可能であるかを示す。このような特徴を用いると、相補的なプロセスガス分配を選択することによって、中心が高いか、または中心が低いエッチング速度または堆積速度空間分布を補正しやくすることができる。詳しく言えば、環状のパーティションまたは壁1602が、ガスマニホールド1414を中心マニホールド1414aおよび外側マニホールド1414bに分割する。中心マニホールド1414aに供給する中心ガス供給口1416に加え、ガス分配プレート125の中心と周囲の間にある別のガス供給口1418が、外側マニホールド1414bに供給する。二重ゾーンコントローラ1610が、内側および外側ガス供給部1416、1418の間にプロセスガス供給部1612からのガス流を配分する。図35は、関節羽根1618が、ガス分配プレートの内側および外側マニホールド1414a、1414bにガス流の相対量を制御するバルブ1610の一実施例を示す。インテリジェントフローコントローラ1640が、羽根1618の位置を管理する。図36に示す別の実施例において、一対のバルブ1651、1652は、チャンバの半径ゾーンのそれぞれに対して個々のガス流制御を実行する。
図37は、ガス分配プレート125が3つのガス流ゾーンを有する場合を示し、マニホールド1414は、内側および外側環状パーティション1604、1606によって、3つのマニホールド1414a、1414b、および1414cに分離される。3つのそれぞれのガス供給口1416、1418、1420は、それぞれのマニホールド1414a、b、cにガス流を供給する。
一対のオーバーヘッドコイル60、65を有するように本願明細書において様々な場合を上述してきたが、図37は、オーバーヘッドコイルが3つ以上存在し得ることを示す。実際、図37の場合は、3つの同心のオーバーヘッドコイルまたはコイル60、64、および65を有するように示される。個々に制御されたオーバーヘッドコイルの数を増やすことによって、不均一性が補正される処理の解像度が上がると思われる。
図34および図37の複数ゾーンガス分配プレートは、ワークピースの内側および外側の処理ゾーンの間でのガス分配を柔軟に制御するという利点を享受する。しかしながら、永久的にこれを行うには、ガス流をカスタマイズする別の方法で、ガス分配プレート125の異なる半径位置に、異なるガス注入穴サイズを設ける。例えば、リアクタが、中心が高い空間エッチング速度分布を示す傾向にあれば、中心でより小さなガス注入穴300を用い、周囲付近でより大きなものを用いることによって、中心付近にはほとんどガスが供給されず、チャンバの周囲により多くのガスが供給される。図38に、このようなガス分配プレートの平面図を示す。中心が低いエッチング分布に対して、図39に、対向する穴配置が用いられる。
図9のリアクタにおけるプラズマステアリング
図9において、図11〜図14を参照しながら上述したようなプラズマステアリングを実行した。−13アンペアの電流を内側コイル60に適用し、+1.4アンペアの電流を外側コイル65に適用することによって、側壁に向いた磁場を発生させた。−13アンペアの電流を内側コイル60に適用し、+5.2アンペアの電流を外側コイル65に適用することによって、天井または電極125の周囲へ向いた磁場を発生させた。−13アンペアの電流を内側コイル60に適用し、+9.2アンペアの電流を外側コイル65に適用することによって、側壁での高密度の磁場を発生させた。本発明者らは、上述したように、天井または電極125の周囲の方に向いた磁場を適用することによって、洗浄中のチャンバ表面のエッチング速度が40%だけ高まることを見出した。
コイル構成
内側および外側コイル60、65を参照しながら、上記の例について記載してきたが、コイルの数は更に多く用いられてもよい。例えば、図40の場合は、5つのオーバーヘッドコイル4060、4062、4064、4066、4068を有し、各々の電流は、コントローラ90によって別々に制御される。コイル4060、4062、4064、4066、4068は、天井125(図40に示すように)の上方の同じ高さにあってもよく、または異なる高さにあってもよい。図41は、オーバーヘッドコイル60、65が、同じ高さにある場合を示す。図41において、各コイル60、65の巻数は、垂直方向および半径方向の両方に積層される。図42および図43は、コイル60、65が、垂直方向および半径方向に延在する巻線を有する異なる場合を示す。
図1Aを参照しながら、本願明細書において前述したように、不均一な分布を補正するためにプラズマにかける磁気圧力は、磁場の2乗の勾配の半径方向成分に比例する。したがって、最も効率的なアプローチは、カスプ状の磁場などの大きな半径方向勾配を有する磁場を用いることである。先で更に記載したように、カスプ状の磁場の効率が増すと、所与の量の磁気圧力に対する磁場の要求強度が低下することによって、高磁場に関連するデバイスダメージが低下するか、またはなくなる。図44は、チャンバの上方および下方のそれぞれに位置する一対のコイル4420、4440によって完全なカスプ状の磁場が発生する場合を示す。上部および下部コイル4420、4440での電流の流れは、それぞれ時計回りおよび反時計回りである。図45は、一対のコイル4420、4440によって発生する完全なカプス上の磁場の磁力線パターンを略図的に示す。
図46は、図45の完全なカスプ状の磁場を生成するために、従来のMERIEリアクタ4650の4つの電磁石4610、4620、4630、4640を用いる場合を示す。電磁石4610、4620、4630、4640の各々の電流を制御する電流コントローラ4660が、図46の矢印で示すように、すべての電磁石4610、4620、4630、4640において同じ(例えば、時計回りの)方向に流れるDC電流を適用するようにプログラムされる。このように、上部導体4610a、4620a、4630a、4640aのDC電流は、時計回りの電流ループを形成し、下部導体4610b、4620b、4630b、4640bのDC電流は、反時計回りの電流ループを形成するのに対して、アレイの各隅で、隣接する電磁石の垂直方向の導体の(例えば、垂直方向の導体4620cおよび4630dの対)電流は、ウェハ表面で互いの磁場を打ち消す。最終的な結果は、図44の場合に類似して、チャンバの最上部および底部のそれぞれに、時計回りおよび反時計回りの電流ループを発生させることであり、図45に示す完全にカスプ状の磁場と同様の結果が得られる。図46のリアクタは、3つのモードの任意の1つにおいて動作する。
(1)カスプ状の磁場が発生する磁気圧力モード。
(2)ウェハ表面にわたってゆっくりと回転する磁場を発生させるために、4つの電磁石4610、4620、4630、4640に直角位相で4つの正弦波電流が適用される正弦波モード。
(3)4つの電磁石4610、4620、4630、4640の向きに対して対角線の方向にウェハ表面にわたって延在する概して垂直な磁力線を発生させるために、一方の対が一方のDC電流を有し、反対の対が反対のDC電流を有する隣接する対の対向するセットに、4つの電磁石4610、4620、4630、4640をグループ分けする構成可能な磁場(CMF)モード。このグループ分けは、4つの対角線の向きを通って地場が回転するように電流を切り換えることで回転する。図47A、図47B、図47C、および図47Dに、これらの向きの時間シーケンスを示す。
図47Aにおいて、電磁石4610、4620は、正のDC電流の流れを有するのに対して、電磁石4630、4640は、負のDC電流の流れを有し、結果的に得られる平均的な磁場方向は、概して図の左上隅から右下隅への方向である。図47Bにおいて、グループ分けは、電磁石4620、4630が正の電流の流れを有するのに対して、電磁石4640、4610が負の電流の流れを有するように切り換えられ、平均的な磁場は、90度時計回りに回転した。図47Cおよび図47Dは、サイクルを完了した。磁力線の強度は、このように適用された正および負のDC電流の大きさの差によって決定され、望ましければ、コントローラ4640をプログラミングすることによって調節されてもよい。
図9の方法は、不均一なエッチング速度またはプラズマイオン密度分布に最良の補正をするために、4つの電磁石4610、4620、4630、4640のDC電流を正確に選択するように、CMFモードで用いられてもよい。図9の方法を図47A〜DのCMFモードに適用する際、電磁石またはコイル4610、4620、4630、4640の各々のコイルは、オーバーヘッドコイル60、65の代わりに用い、図9のすべての工程が、この代用に応じて実行される。唯一の違いは、図47A〜Dに対応する4つの時間期間にわたった平均値として、各コイルからの磁場の計算値が計算されることである。
図48は、ポンピング環上に挿入される特殊な格子4810を含むリアクタを示す。格子4810は、炭化ケイ素などの半導性材料またはアルミニウムなどの伝導性材料から形成され、チャンバからポンピング環を介してガスを排気できるようにする開口4820を有する。特殊な格子4810は、要求された保護およびプロセス制御を与えながら、ポンピング環からプラズマを排除する。この目的のために、半径方向の面にある各開口4820の内部の距離は、プラズマシースの厚みの2倍より小さい。このように、プラズマが格子4810を貫通することは、不可能ではないにしても、非常に難しい。これにより、ポンピング環内のチャンバ表面とのプラズマ相互作用が低減するか、またはなくなる。
図49および図50は、図48のプラズマ閉じ込め格子4810を組み込む一体形成された取り外し可能なチャンバライナ4910を示す。ライナ4910は、電極125の下にあり、ウェハ110の上にある領域の半径方向外側にあるチャンバの部分を被覆する。したがって、ライナ4910は、チャンバの天井の外周部を被覆する上側水平方向のセクション4920と、チャンバ側壁を被覆する垂直方向のセクション4930と、プラズマ閉じ込め格子4810を含む下側水平方向のセクション4940を含み、ポンピング環とともに、ウェハ110に隣接した環状表面を被覆する。ある場合において、セクション4920、4930、4940の各々は、モノリシックの炭化ケイ素ピース4950として共に形成される。ライナ4910は、炭化ケイ素ピース4950の下側水平方向のセクション4940の下にあるアルミニウムベース4960を更に含み、それに接合される。アルミニウムベース4960は、比較的長く薄いものである一対の下向きに延在する環状レール4962、4964を含み、ウェハ支持ペデスタル105の下方にあるチャンバの接地された構造要素に良好な導電性を与える。
リアクタは、下向きに延在する環状レール4962、4964と熱的に接触した状態の温度制御要素4972、4974とともに、垂直方向の断面4930と熱的に接触した状態の温度制御要素4976を有し得る。熱制御要素4972、4974、4976の各々は、冷却剤通路を含む冷却装置と、電気ヒータを含む加熱装置とを含み得る。ライナ4910の内面上でのポリマーまたはフルオロカーボン化合物の堆積を最小限に抑えるか、または防止するために、十分に高い温度(例えば、華氏120度と同程度の高温)でライナ4910を維持することが望ましい。
ライナ4910は、良好な接地リターンパスを与えるため、プロセスの安定性を高める。これは、炭化ケイ素ピース4950の内面に沿って電位が均一であるためである(上側垂直方向のセクション4920、垂直方向のセクション4930、および下側水平方向のセクション4940の内部に面する表面を含む)。その結果、ライナ4910は、オーバーヘッド電極125またはウェハペデスタル105のいずれかから送出されたパワーに対して、内部に面する表面のすべての位置にある均一なRFリターンパスを与える。1つの利点は、ライナ4910の内面の異なる部分で集束するように、プラズマの変動がRFリターン電流分布を移動させるため、この電流に与えられたインピーダンスは、極めて一定な状態を維持する。この特徴により、プロセスの安定性が高まる。
図51は、オーバーヘッドソレノイド60、65が、MERIE磁石92、94、96、98の正方形パターンと対照的な正方形パターンを画成し、フォトリソグラフィーマスクなどの正方形の半導体または誘電ワークピース4910を均一に処理するのに特に適している。図7の場合の修正を示す。
図52は、ウェハ支持ペデスタル105を上下に移動させてもよい図24のリアクタの一例を示す。プラズマイオン径分布を制御するための2つのオーバーヘッドコイル60、65に加えて、ウェハ支持ペデスタル105の面の下方に下部コイル5210がある。更に、チャンバの周囲に外側コイル5220がある。外側オーバーヘッドコイル65および下部コイル5210は、チャンバ内に完全なカスプ状の磁場を形成するために、対向するDC電流を有し得る。
オーバーヘッドソースパワー電極とガス分配プレートとの両方として働くオーバーヘッド天井を有するリアクタと組み合わせて、オーバーヘッドコイル60、65について記載してきたが、天井は、ガス分配プレートではないタイプのものであってもよく、プロセスガスは、別の従来の方法で(例えば、側壁を介して)導入される。更に、コイル60、65は、ソースパワーが天井電極によって要領結合されていないリアクタにおいて用いられてもよい。また、同軸調整スタブなどの固定要素であるように、オーバーヘッド電極用のインピーダンス整合要素について記載した。しかしながら、インピーダンス整合要素は、任意の適切なものであってもよく、または、従来のダイナミックインピーダンス整合回路などの従来のインピーダンス整合デバイスであってもよい。
均一な半径方向のプラズマ分布のバイアス回路調整
図53は、VHFソースパワー堆積の均一な径分布と、ワークピース表面付近のHFバイアス電場の均一な径分布を促すための特徴を含むプラズマリアクタを示す。図53のリアクタは、オーバーヘッドVHF電極125を含む、上述した図24のリアクタの要素を含む。更に、図53は、ワークピース支持ペデスタル105の表面を更に詳細に示す。ワークピース支持カソード105は、下側絶縁層5510を支持する金属ペデスタル層5505と、下側絶縁層5510の上にある導電性メッシュ層5515と、導電性メッシュ層5515を被覆する薄い上部絶縁層5520とを含む。半導体ワークピースまたはウェハ110は、上部絶縁層5520の上部に配置した。導電性メッシュ層5515および金属ペデスタル層5505は、モリブデンおよびアルミニウムからそれぞれ形成されてもよい。絶縁層5510および5520は、例えば、窒化アルミニウムまたはアルミナから形成されてもよい。導電性メッシュ層5515は、ウェハ110の表面でイオン衝撃エネルギーを制御するために、RFバイアス電圧を供給する。また、導電性メッシュ5515は、ウェハ110を静電的に吸着および離脱するために使用可能であり、このような場合、既知の方法でチャッキング電圧ソースに接続され得る。したがって、導電性メッシュ5515は、必ずしも接地されるわけではなく、従来の吸着および離脱動作に応じて、浮遊電位または固定DC電位を交互にもち得る。本願明細書において既に参照したように、ウェハ支持カソード105および、特に、金属ペデスタル層5505は、典型的に(必須ではない)、接地に接続され、オーバーヘッド電極125によって放射されたVHFパワーのリターンパスの一部をなす。
RFバイアス発生器40は、HFバンド(例えば、13.56MHz)でパワーを発生する。RFバイアスインピーダンス整合要素45は、ワークピース支持カソード105を介して延在する細長い導体5525(以下、RF導体と呼ぶ)によって、ワークピース110に連結される。RF導体5525は、アルミニウムペデスタル層5505などの接地された導体から絶縁される。RF導体5525は、導電性メッシュ5515と電気的接触状態にある上部終端またはバイアスパワー供給点5525aを有する。
図54は、VHFオーバーヘッド電極、ワークピース支持カソード105を介して適用されたRFバイアス、およびカソード105の要素からなる回路の略図である。図55は、ウェハ110の面の平面図であり、RF導体5525の終端または供給点5525aは、隠れ線(点線)で示されている。ワークピース支持カソード105によって与えられるRFリターンパスは、ウェハ110の面にある2つの部分、すなわち、供給点5525aを中心とし、そこから外向きに延在する半径方向の内側部分5530と、半径方向外側の環状部分5535とからなる。2つの部分5530、5535によって与えられるRFリターンパスは異なり、したがって、2つの部分5530、5535は、オーバーヘッド電極125によって放射されるVHFパワーに異なるインピーダンスを与える。
以下、2つのRFリターンパスのインピーダンスの差の主な理由について、概括的に説明する。主要なリターンパスは、金属ペデスタル5505を介して連結された導電性メッシュ5515およびRF導体5525によって与えられる。図55の外側領域5535を通過するRFリターンパス5540(図54)は、半導体ウェハ110を介して、導電性メッシュ層5515を横断して、金属ペデスタル層5505へ反応性結合することによって占められる。対照的に、図55の内側部分5530を介してRFリターンパス5545(図54)は、供給点5525aの反応インピーダンスによって占められる。2つのRFリターンパスは、インピーダンスがウェハにわたって均一でなければ、RFパワーの不均一な結合を生じさせる。
2つのRFリターンパスは、物理的に異なるため、オーバーヘッド電極125によって放射されるVHFパワーに異なるインピーダンスを与える傾向にある。このような差は、VHFパワーインピーダンスのウェハ表面にわたった径分布に不均一性を生じさせることがあり、プラズマへのソースパワー結合が不均一になり、ワークピースの表面付近にプラズマイオン密度の不均一な径分布を生じさせる。これは、処理が不均一になる可能性があり、プロセスウィンドウが過度に狭くなる。この問題を解決するために、図53のリアクタは、RF導体5525によってVHFパワーに与えられた供給点インピーダンスを調節するある特徴を含み、ウェハ表面にわたったインピーダンスのより均一な径分布が可能になり、したがって、ウェハ表面にわたったVHFパワーのより均一な結合が可能となる。
供給点インピーダンスのこの調節の主要な目的は、ソースパワー周波数(すなわち、約162MHzのオーバー電極125のVHF周波数)で供給点5525aでのインピーダンスを少なくともほぼゼロにすることである。この調節の結果として、RF電流リターンパスは、アルミニウムペデスタル層5505を介して電流を最小限に抑えながら、RF導体5525を介して導電性メッシュ5515によって示される。その結果、領域5530および5535のインピーダンスは、少なくともほぼ同一になるようにされ得る。
上記に示すように供給点インピーダンスを調節するために、誘電体円筒状スリーブ5550が、RF導体5525を取り囲む。スリーブ5550を構成する材料の軸方向の長さおよび誘電率は、RF導体5525によってVHFパワーに与えられる供給点インピーダンスを決定する。一例において、スリーブ5550の長さおよび誘電率は、VHFソースパワー周波数(例えば、162MHz)で供給点インピーダンスをほぼゼロにするように選択される。1つの作業例において、スリーブ5550がない場合の供給点インピーダンスは、(0.9+j41.8)オームであり、スリーブがある場合は、(0.8+j0.3)オームでほぼ短絡であった。供給点5525aを取り囲む外側領域5535によって与えられるインピーダンスは、(主に、導電性メッシュ5515の存在により)162MHzでほぼ短絡である。したがって、後者の例において、スリーブ5550は、ソースパワー周波数での供給点インピーダンスを周囲領域のものに近い値にしてもよい。この場合、供給点を取り囲む領域のインピーダンスは、主に導電性メッシュ5515によって決定される。その結果として、VHFソースパワーのより均一な容量結合に対して、より均一なインピーダンスの径分布が得られる。
スリーブ5550は、VHFパワー堆積を前述したように高めながら、別の問題を同時に解決する、すなわち、RF導体5525によってウェハ110に適用されたRFバイアスパワー(例えば、13.56MHzで)によって生じた電場の均一性を高める更なる特徴を含み得る。問題は、最大の均一性に対して、ウェハ表面にわたったHFバイアスパワーの電場分布を同時に調節しながら、プラズマイオン密度の均一性を最大にするためにVHFパワー結合の径分布をどのように調節するかである。HFバイアスパワー周波数での供給点インピーダンスが、導電性メッシュ5515によって示された周囲領域5535のものにより近くなれば、(VHFソースパワー周波数での供給点インピーダンスを変更することなく)最大の均一性が得られる。この問題は、円筒軸に沿って、複数の円筒状セクションにスリーブ5550を分割し、各セクションの長さおよび誘電率を個別に調節または選択することによって解決される。これにより、バイアス周波数(例えば、13.56MHz)と、ソース周波数(例えば、162MHz)の両方で、周囲領域のものに供給点インピーダンスを同時に整合できるように利用されてもよいいくつかの独立変数が得られる。
図56の作業例を参照すると、スリーブ5550は、3つのセクション、すなわち、上部セクション5552、中間セクション5554、および下部セクション5556に分けられる。最上部セクション5552は、ポリテトラフルオロエチレンであり、長さが3インチであり、中部セクションは、アルミナであり、長さは4インチであり、底部セクションは、ポリテトラフルオロエチレンであり、長さは3インチである。この作業例において、プラズマイオン密度の分布(エッチング速度の分布によって測定)の均一性は、改良されたVHFソースパワー堆積を示す、オーバーヘッド電極125に適用された大きなVHFソースパワーで高められることがわかった。また、この作業例においてバイアスパワーのみが適用されたとき、プラズマイオン密度分布の均一性が高まるため、HFバイアスパワー堆積の改良も観察される。したがって、前述の作業例は、(a)VHFソースパワー周波数(例えば、162MHz)での不均一なインピーダンスと、(b)ウェハ支持ペデスタルにわたったHFバイアスパワー周波数(例えば、13.56MHz)での不均一性の問題の同時解決を表す。
スリーブ上部セクション5552の長さおよび誘電率が、HFバイアスパワー堆積を独占的に最適化するように選択および固定されることができ、残りのスリーブセクション5554、5556の長さおよび誘電率が、HFバイアスパワー堆積を最適化したまま、オーバーヘッド電極によってVHFソースパワー堆積を最適化するように選択可能であると考えられる。
図57Aは、スリーブ5550が、使用中、どのように調節されてもよいかを示す。図57Aにおいて、リアクタ上に、外部制御ノブ5560が設けられる。制御ノブは、図53のスリーブ5550の下部に連結されたスリーブ支持体5570と螺合するねじ5565を回す。ノブ5560が回転すると、スリーブ支持体5570は、螺装されたネジ5565の軸に沿って軸方向に移行し、スリーブガイド5558内で同一の方向に(上方または下方のいずれか)、全スリーブ5550を移行させる。ノブ5560により、ユーザは、リアクタの動作中(または動作の直前)、RF導体5525に沿って上方または下方にスリーブ5550を移動させることによって、供給点インピーダンスを調節することができる。スリーブ支持体5570は、全スリーブ5550(例えば、1つのユニットとして、3つすべてのセクション5552、5554、5556)を移動させてもよい。または、スリーブ支持体5570は、3つのセクションの1つまたは2つのみが、ノブ5560を回転することによって移動するように、3つのセクション5552、5554、5556の1つまたは2つのみに連結可能である。最後に、図57Bに示すように、3つのノブ5560a、5560b、5560cは、3つのスリーブ支持体5570a、5570b、5570cと別々に係合する。3つのスリーブ支持体5570a、5570b、5570cは、スリーブセクション5552、5554、5556の各々の位置は、3つのノブ5560a、5560b、5560cによって3つのガイド5558a内で別々に決定されるように、3つのスリーブセクション5552、5554、5556のそれぞれに個別に接続される。
図58および図59は、スリーブ5550の効果を示すリアクタ性能比較データのグラフである。図58のグラフは、スリーブ5550がエッチング速度を低下させないことを示す。縦軸はエッチング速度であり、横軸に沿って、異なるプロセスが表示される。横軸に沿った異なるプロセスのラベルは、(左から右に)HAR RIE(高アスペクト比の反応性イオンエッチング:high aspect ratio reactive ion etch)、HAR RIE+SP(ソースパワー:source power)およびPR(フォトレジスト:photoresist)+SPを含む。反応性イオンエッチングという用語は、導電性メッシュ5515に適用されたバイアスパワーのみを用い、天井電極125からのソースパワーを用いないプロセスを指す。明るい色調の棒は、スリーブ5550を調整しない場合に得られた結果を示すのに対して、暗い色調の棒は、スリーブ5550を調整した場合に得られた結果を示す。図58を考察すると、高アスペクト比のプロセスのみが、スリーブ5550を導入することにより、エッチング速度が低下し、この低下はわずかなものである。しかしながら、フォトレジストのエッチング速度は、非常に高まっている。
図59のグラフは、スリーブ5550を導入することで、前述した3つのプロセスのすべてにおいて均一性が著しく高められたことを示す。1つの標準偏差のパーセンテージとしてエッチング速度の径分布の不均一性は、横軸上に示され、前述した3つのプロセスの結果は、図58に示す横軸に沿った位置にある。図59は、ソースパワーを用いるフォトレジストストリッププロセスにおいて、不均一性の多大な改良を示す。
エッチング速度分布の均一性は、後半なプロセスアレイにおいて著しく改良された。バイアスパワーのみを用いるエッチングプロセスにおいて、スリーブ5550を導入すると、不均一性が4.9%から2.4%に低下した。500ワットのソースパワーを用いるエッチプロセスにおいて、スリーブ5550を導入すると、不均一性が13.7%から12%に低下した。高VHFソースパワーを用いたフォトレジストアッシングプロセスにおいて、最も高い改良が生じた。2500ワットのソースパワーで、スリーブを導入した場合、不均一性が30.0%から5.8%へ低下した。同じリアクタにおいて、1500ワットでは、スリーブ5550を導入することによって、不均一性の改良は、30.1%から16.0%へ低下した。
高VHFソースパワー(オーバーヘッド電極に適用されるパワー)を用いるプロセスでの均一性の改良は、スリーブ5550が、VHFリターンパスにおける容量結合の分布を最適化したことを示す。バイアスパワーのみのプロセスにおける均一性の改良は、スリーブ5550が、HFバイアスパワーソース50によって発生する電場の分布を最適化することを示す。したがって、同じスリーブは、VHFリターンパスの最適化と、HF電場の最適化の問題の両方を同時に解決する。
プラズマの均一性を高めるためのRF結合リング
本願明細書において先に記したように、電極125などのオーバーヘッドVHF電極を有するリタクタでのプラズマイオン密度分布は、約10%の不均一性で、中心が高くなる傾向がある。この不均一性は、オーバーヘッド電極125からワークピースの周囲の近傍のプラズマへの容量結合を選択的に高めることによって低下する。図60を参照すると、環状RF結合リングが、ウェハ支持カソード105の外周上に配置され、その外周と電気的に接触した状態にある。図60に示すように、上部絶縁層5520は、上面5580aがウェハの上面と同一平面である取り外し可能なリング5580によって取り囲まれる。取り外し可能なリング5580は、例えば、シリコンなどのプロセス適応可能な材料から形成され得る。場合によって、取り外し可能な金属接地リング5585は、取り外し可能なリング5580を取り囲み、その上面5585aは、取り外し可能なリング5580のものと同一平面である。接地リング5585の周囲によって境界が付けられたウェハ支持カソード105の上部にわたって、概して平坦な表面が設けられ、オーバーヘッド電極125の底部の概して平坦な表面に対面する。その結果として、オーバーヘッド電極125およびウェハ支持カソード105によって境界が付けられた処理ゾーン全体にわたった容量結合は、概して均一である。リアクタの中心が高いプラズマイオン密度分布に固有の不均一性を解消するために、オーバーヘッド電極125による容量結合は、取り外し可能なリング5580および接地されたリング5585上にRF結合リング5590を配置することによって、ワークピース110の外側部分付近で高められる。RF結合リング5590は、導体、半導体、または誘電体であってもよい。結合リング5590が誘電体であれば、ウェハ周囲付近のプラズマへの容量結合は、誘電材料が存在することで高められる。RF結合リング5590が導体であれば、実質的に、電極と対極との間隔を狭くし、それによって、ウェハ110の周囲領域付近の容量が高まる。したがって、電極と対極の間隔は、結合リング5590の高さh2だけh1から間隔が狭くなるRF結合リング5590によって占められる周囲を除き、プロセスゾーンにおけるすべての場所でh1である。ソースパワーの容量結合が増大すると、周囲でのイオン密度が高まる。イオン密度の増大は、RF結合リング5590から内向きに延在し、ワークピース110の周囲部分にわたって延在する。したがって、ワークピース110にわたったプラズマイオン密度は、中心が高いものではなく、より均一に近い傾向にあるか、またはわずかに縁部が高い傾向の場合がある。この状態は、RF結合リング5590の高さ(厚み)h2を慎重に選択することによって最適化される。
図61は、第2のRF結合天井リング5595が、オーバーヘッド電極125の底面の周囲に取り付けられ、第1のRF結合リング5590の上にある、図60のリアクタの修正例を示す。各リング5590、5595の厚み(高さ)がh3であれば、ウェハ周囲付近の電極と対極間の距離は、h3の3倍短くなり、同領域の容量は、図60のリアクタに示すように、比例して高められる。
図62は、ウェハ110が上部に取り付けられ、RF結合リング5590によって占められる周囲領域を示す、ウェハ支持カソード105の平面図である。図62の図は、3つの領域、すなわち、ウェハ110の中心周りの内側中心領域(ゾーンA)、ウェハ110の周囲まで延在する環状領域(ゾーンB)、およびRF結合リング5590によって占められる外側領域(ゾーンC)に分けられる処理ゾーンを示す。図63のグラフは、RF結合リング5590の異なる材料(石英、アルミナ)および異なる厚み(0.4インチおよび0.8インチ)に対する容量の径分布を示す。比較の基準は、リングがないことである(空気)。図63は、ゾーンCにおいて最大容量を示す。したがって、プラズマイオン密度は、RF結合リング5590またはリング5590、5595が存在することで、ウェハ110の周囲領域(ゾーンB)の大部分にわたって高められる。
図64は、リング材料がアルミナであり、唯一のパワーがウェハ支持カソード105に適用されるバイアスパワーである状況下において、結合リングがない場合、結合リングが1つの場合(図60)、および結合リングが2つの場合(図61)に得られたエッチング速度の径分布を比較したものである。図65は、リングがアルミナであり、480ワットのVHFソースパワーがオーバーヘッド電極125によって適用された状況下において、結合リングがない場合、結合リングが1つの場合、および結合リングが2つの場合に得られたエッチングの径分布を比較したものである。図66は、リング材料が石英であり、唯一のバイアスパワーが適用された(オーバーヘッド電極からのソースパワーがない)状況下において、結合リングがない場合、結合リングが1つの場合、結合リングが2つの場合に得られるエッチング速度の径分布を比較したものである。図67は、480ワットのVHFソースパワーがオーバーヘッド電極125によって適応され、リング材料が石英である状況下において、リングがない場合、結合リングが1つの場合、および結合リングが2つの場合に得られたエッチング速度の径分布を比較したものである。最も劇的な改良は、VHFソースパワーが適用された場合に観察された(図65および図67)。2つのリング(図61)は、最も優れた均一性を生じた。各リングの厚みは、これらの作業例において、約0.4インチである。
RFバイアスパワーのみを用いるプロセスにおいて(オーバーヘッド電極125からのVHFソースパワーがない場合)、厚みが0.4インチの石英の下部RF結合リング5590を導入することにより、6.5%から4.6%に不均一性が低下し、アルミニウムの場合、5.0%に低下した。下部RF結合リング5590の厚みが2倍の0.8インチにされると、石英の場合、不均一性が2.3%に低下した。同じ結果がアルミニウムの場合にも達成された。
オーバーヘッド電極から500ワットのVHFソースパワーを用いるプロセスにおいて、厚みが0.4インチの石英の下部RF結合リング5590を導入することにより、11.1%から9.42%に不均一性が低下し、アルミニウムの場合、8.5%に低下した。下部RF結合リング5590の厚みが2倍の0.8インチにされると、石英の場合、不均一性が4.5%に低下し、アルミニウムの場合、3.9%に低下した。
一般的に、補正されるイオン密度分布の不均一性は、オーバーヘッド電極125からのVHFソースパワーが増大するとともに増大することが観察された。例えば、0ワット、500ワット、および1000ワットの3つの工程において、オーバーヘッド電極125を有する1つのリアクタにおいてソースパワーが増大されると、不均一性(RF結合リングがない場合)は、それぞれ、6.9%、12.7%、および15.8%であった。RF結合リング5590が導入されると、不均一性は、それぞれ、3.4%、5.3%、および5.1%であった。図53および図56の誘電スリーブ5550を導入すると(RF結合リング5590を適所に残す)、不均一性が3.5%、3.9%、および4.3%にそれぞれ低下する。これは、図53および図56の誘電スリーブ5550が、RF結合リング5590とともに存在する図60および図61の態様に対応する。しかしながら、連RF導体5525の周りに誘電スリーブ5550がない結リング5590および連結リングの対5590、5595が用いられてもよい。
RF結合リング5590、5595および誘電スリーブ5550が、同じプラズマリアクタにおいて別々にまたは組み合わせ用いられてもよいが(図60および図61に示すように)、これらの特徴の各々は、オーバーヘッドコイル60、65を制御するために上述した方法を用いて、図24のプラズマの均一性を制御するオーバーヘッドコイル60、65と別にまたは組み合わせて用いられてもよい。図68は、図24の特徴(プラズマの均一性を制御するオーバーヘッドコイル60、65を含む)、図53の特徴(誘電スリーブ5550を含む)、および図61の特徴(RF結合リング5590、5595を含む)を組み合わせたプラズマリアクタを示す。RF結合リング5590および誘電スリーブ5550のいずれかまたは両方を用いて、プラズマイオン密度分布の均一性が改良されるため、オーバーヘッドコイル60、65によって補正されなければならない残りの不均一性が著しく減少する。したがって、誘電スリーブ5550およびRF結合リング5590および/または5595の構造要素で実現したプラズマイオン密度分布の補正は、初期補正であり、最終補正は、オーバーヘッドコイル60、65によって実行されるようにする。この最終補正は、誘電スリーブ5550および/またはRF結合リング5590および/または5595がない場合のものより著しく小さい。図68のリアクタにおいてオーバーヘッドコイル60、65によって実行されるプラズマ分布への補正が小さいほど、オーバーヘッドコイル60、65によって実現される最終的にプラズマイオン分布の精度または均一性を高めることができる。
好ましい実施形態を詳しく参照しながら、本発明について詳細に記載してきたが、本発明の変形および修正は、本発明の趣旨および範囲から逸脱することなくなされてもよいことを理解されたい。
プラズマイオンの均一性を制御するために、オーバーヘッドVHF電極およびオーバーヘッドコイルを備えたプラズマリアクタを示す。 プラズマイオンの均一性を制御するために、オーバーヘッドVHF電極およびオーバーヘッドコイルを備えたプラズマリアクタを示す。 プラズマイオンの均一性を制御するために、オーバーヘッドVHF電極およびオーバーヘッドコイルを備えたプラズマリアクタを示す。 図1のオーバーヘッドコイルを制御するための例示的な装置を示す。 図1のオーバーヘッドコイルの磁場のグラフである。 図1のオーバーヘッドコイルの磁場のグラフである。 同じ磁場の空間図である。 図1のリアクタの種々の動作モードに対して、半径位置(横軸)とウェハ表面上のエッチング速度(縦軸)との関係を示すグラフである。 図1のリアクタの種々の動作モードに対して、半径位置(横軸)とウェハ表面上のエッチング速度(縦軸)との関係を示すグラフである。 図1のリアクタの種々の動作モードに対して、半径位置(横軸)とウェハ表面上のエッチング速度(縦軸)との関係を示すグラフである。 図1のリアクタの種々の動作モードに対して、半径位置(横軸)とウェハ表面上のエッチング速度(縦軸)との関係を示すグラフである。 図1のリアクタの更なる動作モードに対して、半径位置(横軸)とウェハ表面上のエッチング速度(縦軸)との関係を示すグラフである。 図1のリアクタの更なる動作モードに対して、半径位置(横軸)とウェハ表面上のエッチング速度(縦軸)との関係を示すグラフである。 図1のリアクタの更なる動作モードに対して、半径位置(横軸)とウェハ表面上のエッチング速度(縦軸)との関係を示すグラフである。 図1のリアクタの更なる動作モードに対して、半径位置(横軸)とウェハ表面上のエッチング速度(縦軸)との関係を示すグラフである。 磁場とエッチング速度との関係を示すグラフである。 MERIE磁石を有する図1Aのリアクタを示す。 MERIE磁石を有する図1Aのリアクタを示す。 図1Aのリアクタの動作方法を示す。 磁気圧力とイオンの比較例または図1Aのウェハ表面上の半径位置と電子密度との関係を示すグラフである。 コイル電流とエッチング速度の不均一性との関係を示すグラフである。 図11の例におけるゼロコイル電流での半径方向のイオン分布を示す。 図11の例における約11アンペアのコイル電流で測定されたエッチング速度分布を示す。 図11の例における約11アンペアのコイル電流で予測されたエッチング速度分布を示す。 図1の例における約35アンペアのコイル電流で測定されたエッチング速度分布を示す。 図1の例における約35アンペアのコイル電流で予測されたエッチング速度分布を示す。 図1Aのリアクタの更なる動作方法を示す。 図1Aに対応するリアクタで得られた磁場分布を示す。 ウェハ面における図16の磁場の2乗の勾配を示す。 図1に対応するリアクタで得られる別の磁場分布を示す。 ウェハ面における図18の磁場の2乗の勾配を示す。 図1Aによるリアクタで得られる更なる磁場分布を示す。 ウェハ面における図20の磁場の2乗の勾配を示す。 図1Aのリアクタの更なる別の動作方法を示す。 図1Aのリアクタを制御するための例示的なマイクロコントローラ動作を示す。 図1Aのリアクタに含有される特徴を含むプラズマリアクタを示す。 図1Aのリアクタに含有される特徴を含む別のプラズマリアクタを示す。 図1A、図24、および図25のリアクタのガス分配プレートを示す。 図1A、図24、および図25のリアクタのガス分配プレートを示す。 図1A、図24、および図25のリアクタのガス分配プレートを示す。 図1A、図24、および図25のリアクタのガス分配プレートを示す。 図1A、図24、および図25のリアクタのガス分配プレートを示す。 図26の熱制御特徴のようなガス分配プレートにある熱制御特徴を示す。 図26の熱制御特徴のようなガス分配プレートにある熱制御特徴を示す。 二重ゾーンのガス流制御を有する図26に対応するガス分配プレートを示す。 二重ゾーンのガス流制御を有する図26に対応するガス分配プレートを示す。 二重ゾーンのガス分配プレートを有する図1Aに対応するプラズマリアクタを示す。 例示的な二重ゾーンガス流コントローラを示す。 例示的な二重ゾーンガス流コントローラを示す。 プラズマイオン分布を制御するための3つのオーバーヘッドコイルを有する図34に対応するプラズマリアクタを示す。 中心に低いガス流分布を発生するための図26のガス分配プレートにある異なるガス注入穴パターンを示す。 中心に高いガス流分布を発生するための図26のガス分配プレートにある異なるガス注入穴パターンを示す。 プラズマイオン分布を制御するためのオーバーヘッドコイルの異なる配置を示す。 プラズマイオン分布を制御するためのオーバーヘッドコイルの異なる配置を示す。 プラズマイオン分布を制御するためのオーバーヘッドコイルの異なる配置を示す。 プラズマイオン分布を制御するためのオーバーヘッドコイルの異なる配置を示す。 図45に最良に示すカスプ状の磁場を発生するために、オーバーヘッドコイルを、リアクタチャンバの上方および下方にある上側および下側磁気コイルに取り替えた、図1Aに対応するプラズマリアクタを示す。 図45に最良に示すカスプ状の磁場を発生するために、オーバーヘッドコイルを、リアクタチャンバの上方および下方にある上側および下側磁気コイルに取り替えた、図1Aに対応するプラズマリアクタを示す。 図44の上側および下側コイルを、図45のカスプ状の磁場を発生させるように動作する構成可能な磁場(CMF)コイルへ取り替える方法を示す。 所望の磁場構成を発生させるために、図46のCMFコイルの動作モードを示す。 プラズマイオンがリアクタのポンピング環状部分に入らないようにするための、図1Aのリアクタにある開口部を備えた環状のプレートを示す。 プラズマイオンがリアクタのポンピング環状部分に入らないようにするための、図1Aのリアクタにある開口部を備えた環状のプレートを示す。 プラズマイオンがリアクタのポンピング環状部分に入らないようにするための、図1Aのリアクタにある開口部を備えた環状のプレートを示す。 矩形状のワークピースを処理するための図1Aのリアクタの矩形状のものを示す。 矩形状のワークピース支持ペデスタルを有する図1Aに対応するリアクタを示す。 ウェハバイアス供給点に接続された導体を取り囲む誘電スリーブを有する容量結合プラズマリアクタを示す。 誘電スリーブを示す拡大側面図である。 リアクタの他の部分に対するスリーブ位置を示す対応する平面図である。 誘電スリーブの切欠側面図である。 機械的に調節可能である誘電スリーブの一例を示す側面図である。 各々が機械的に調節可能である複数のスリーブセクションを有する一例を示す側面図である。 様々なエッチングプロセスにおいて誘電スリーブを調整した場合と、調整していない場合に得られたエッチング速度を比較するグラフである。 図58において参照したプロセスの均一性を比較するグラフである。 下部RF結合リングを有するプラズマリアクタの側面図である 上部および下部RF結合リングを有するプラズマリアクタの側面図である。 RF結合リングによって影響を与えられる図61または図62のリアクタにおける様々な半径方向処理ゾーンを示す平面図である。 異なる材料からなるRF結合リングの容量の径分布を比較するグラフである。 リング材料がアルミナであり、ウェハバイアスパワーのみが適用された状況で、結合リングがない場合、結合リングが1つの場合、および結合リングが2つの場合のそれぞれで得られるエッチング速度の径分布を比較するグラフである。 リングがアルミナであり、480ワットのVHFソースパワーがオーバーヘッド電極によって適用された状況で、結合リングがない場合、結合リングが1つの場合、および結合リングが2つの場合のそれぞれで得られるエッチング速度の径分布を比較するグラフである。 リング材料が石英であり、ウェハバイアスパワーのみが適用された状況で、結合リングがない場合、結合リングが1つの場合、および結合リングが2つの場合のそれぞれで得られるエッチング速度の径分布を比較するグラフである。 480ワットのVHFソースパワーがオーバーヘッド電極によって適用され、リングが石英である状況で、リングがない場合、結合リングが1つの場合、および結合リングが2つの場合のそれぞれで得られるエッチング速度の径分布を比較するグラフである。

Claims (37)

  1. プラズマリアクタであって、
    チャンバを画成する側壁およびオーバーヘッド天井と、
    半導体ワークピースを支持するための前記天井と対面する作業面を有する前記チャンバ内のワークピース支持カソードと、
    プロセスガスを前記チャンバに導入するためのプロセスガス入口と、
    バイアスパワー周波数を有するRFバイアスパワー発生器と、
    前記作業面にあるバイアスパワー供給点と、
    前記RFバイアスパワー発生器と前記作業面にある前記バイアスパワー供給点との間に接続されたRF導体と、
    前記RF導体の一部分を取り囲むと共に、前記RF導体に沿った軸方向の長さ(a)、前記RF導体に沿った誘電率(b)および前記RF導体に沿った軸方向の位置(c)を有する誘電スリーブと、を備え、
    前記誘電スリーブによって与えられるリアクタンスが、前記バイアスパワー周波数での前記供給点のインピーダンスを、前記RF導体を取り囲む前記ワークピース支持カソードの一部分のインピーダンスに近い値にするように、前記誘電スリーブの前記長さ、前記誘電率および前記位置が選択されており、
    前記カソードは、前記供給点から外向きに延在する半径方向の内側部分と、半径方向外側の環状部分とを備え、前記ワークピース支持カソードの一部分は半径方向外側の環状部分を含むプラズマリアクタ。
  2. 前記カソードが、前記作業面を備える最上面を有する平坦な絶縁層と、前記平坦な絶縁層内の平坦な伝導性層とを備え、
    前記RF導体を取り囲む前記ワークピース支持カソードの前記一部分が前記伝導性層を有しており、
    前記誘電スリーブによって与えられるリアクタンスが、前記バイアスパワー周波数での前記供給点のインピーダンスを、前記バイアスパワー周波数での前記伝導性層のインピーダンスに近い値にする、請求項1に記載のリアクタ。
  3. 前記天井が、オーバーヘッド電極を備え、前記リアクタが、
    ソース周波数を有するソースパワー発生器と、
    前記ソースパワー発生器と前記オーバーヘッド電極との間に接続されたインピーダンス整合要素とを更に備え、
    前記供給点が、前記ソースパワー周波数でインピーダンスを有し、
    前記誘電スリーブのリアクタンスが、前記ソース周波数での前記供給点のインピーダンスをほぼゼロにする、請求項1又は2に記載のリアクタ。
  4. 前記誘電スリーブが、軸方向に沿って複数のセクションに分割され、前記セクションの各々の軸方向の長さおよび誘電率が、他のセクションから独立したものである、請求項3に記載のプラズマリアクタ。
  5. 前記バイアスパワー周波数が、HF周波数であり、前記ソースパワー周波数が、VHF周波数である、請求項4に記載のリアクタ。
  6. 前記複数のスリーブセクションの少なくとも1つが、アルミナから形成され、前記複数のスリーブの別のものが、ポリテトラフルオロエチレンから形成される、請求項5に記載のリアクタ。
  7. 前記誘電スリーブの最上部セクションが、第1の誘電材料を備え、前記誘電スリーブの中間セクションが、第2の誘電材料を備え、前記誘電スリーブの底部セクションが、前記第1の誘電材料を備える、請求項5に記載のリアクタ。
  8. 前記第1の誘電材料が、ポリテトラフルオロエチレンを含み、前記第2の誘電材料が、アルミナを含む、請求項7に記載のリアクタ。
  9. 前記オーバーヘッド電極が、プラズマ共振周波数で前記チャンバのプラズマとの共振を有し、前記VHFソースパワー周波数が、前記プラズマ共振周波数またはその付近のものである、請求項5に記載のリアクタ。
  10. 前記ソースパワー発生器と前記オーバーヘッド電極との間に接続されたインピーダンス整合要素が、前記VHFソースパワー周波数またはその付近のスタブ共振周波数を有する固定調整スタブを備える、請求項9に記載のリアクタ。
  11. 前記天井が、オーバーヘッド電極を備え、
    前記リアクタが、ソース周波数を有するソースパワー発生器と、前記ソースパワー発生器と前記オーバーヘッド電極との間に接続されたインピーダンス整合要素と、前記天井の上方の異なる高さにある異なる半径の複数のオーバーヘッドコイルと、前記複数のコイルにそれぞれのDC電流を適用するためのコントローラと、を更に備え、
    前記供給点が、前記ソースパワー周波数でインピーダンスを有し、
    前記誘電スリーブによって与えられたリアクタンスが、前記ソースパワー周波数で前記供給点のインピーダンスを、前記ソースパワー周波数でほぼゼロにする、請求項2に記載のリアクタ。
  12. 前記ワークピースの周囲に概して対応する内径を有する環状のRF結合リングを更に備え、前記RF結合リングが、前記ワークピースの周囲付近のプラズマイオン密度を高めるために、前記作業面と前記オーバーヘッド電極との間の距離の十分な部分に延在する、請求項1に記載のリアクタ。
  13. 前記RF結合リングが、前記作業面に取り付けられ、前記結合リングの厚みだけ、前記作業面の上方に延在する上面を有する、請求項12に記載のリアクタ。
  14. 前記RF結合リングが、前記天井に取り付けられ、前記結合リングの厚みだけ、前記天井の下方に延在する底面を有する、請求項12に記載のリアクタ。
  15. 前記第1のRF結合リングの上にあり、前記天井に取り付けられた第2のRF結合リングを更に備える、請求項13に記載のリアクタ。
  16. 前記天井の上方の異なる高さにある異なる半径の複数のオーバーヘッドコイルと、前記チャンバにおけるプラズマイオン密度分布の均一性を高めるように、前記複数のコイルにそれぞれのDC電流を適用するためのコントローラとを更に備える、請求項12に記載のリアクタ。
  17. プラズマリアクタであって、
    真空チャンバを画成する側壁および天井、平坦なワークピースを支持するための前記天井と対面する作業面を有する前記チャンバ内のワークピース支持体を含む真空包囲体であって、前記ワークピース支持体および前記天井の両方で、前記ワークピース支持体と前記天井との間にある処理領域を画成する前記真空包囲体と、
    プロセスガスを前記チャンバに供給するためのプロセスガス入口と、
    バイアス周波数を有するRFバイアスパワー発生器と、
    前記天井に隣接する少なくとも第1のオーバーヘッドソレノイド電磁石であって、前記オーバーヘッドソレノイド電磁石、前記天井、前記側壁、および前記ワークピース支持体が、共通の対称軸に沿った位置にある、前記第1のオーバーヘッドソレノイド電磁石と、
    前記第1のソレノイド電磁石に接続され、前記第1のソレノイド電磁石に第1の電流を供給することによって、前記第1の電流に応じたものである磁場を前記チャンバ内に生成する電流ソースであって、前記第1の電流の値が、前記磁場が前記作業面付近の前記対称軸の周りにあるプラズマイオン密度径分布の均一性を高める、前記電流ソースと、
    前記作業面にあるバイアスパワー供給点と、
    前記RFバイアスパワー発生器と前記作業面にある前記バイアスパワー供給点との間に接続されたRF導体と、
    前記RF導体の一部分を取り囲むと共に、前記RF導体に沿った軸方向の長さ(a)、前記RF導体に沿った誘電率(b)および前記RF導体に沿った軸方向の位置(c)を有する誘電スリーブと、を備え、
    前記誘電スリーブによって与えられるリアクタンスが、前記バイアスパワー周波数での前記供給点のインピーダンスを、前記RF導体を取り囲む前記ワークピース支持カソードの一部分のインピーダンスに近い値にするように、前記誘電スリーブの前記長さ、前記誘電率および前記位置が選択されており、
    前記カソードは、前記供給点から外向きに延在する半径方向の内側部分と、半径方向外側の環状部分とを備え、前記ワークピース支持カソードの一部分は半径方向外側の環状部分を含むプラズマリアクタ。
  18. 前記カソードが、前記作業面を備え上面を有する平坦な絶縁層と、前記平坦な絶縁層内の平坦な伝導性層とを備え、
    前記RF導体を取り囲む前記ワークピース支持カソードの前記一部分が前記伝導性層を有しており、
    前記誘電スリーブによって与えられるリアクタンスが、前記バイアスパワー周波数での前記供給点のインピーダンスを、前記バイアスパワー周波数での前記伝導性層のインピーダンスに近い値にする、請求項17に記載のリアクタ。
  19. 前記天井が、オーバーヘッド電極を備え、前記リアクタが、
    ソース周波数を有するソースパワー発生器と、
    前記ソースパワー発生器と前記オーバーヘッド電極との間に接続されたインピーダンス整合要素とを更に備え、
    前記供給点が、前記ソースパワー周波数でインピーダンスを有し、
    前記誘電スリーブのリアクタンスが、前記ソース周波数での前記供給点のインピーダンスを、ほぼゼロにする、請求項18に記載のリアクタ。
  20. 前記第1のソレノイド電磁石が、前記チャンバの外側にあり、前記天井の外面と対面する、請求項17に記載のリアクタ。
  21. 前記ソースパワー発生器が、所望のプラズマイオン密度レベルで前記チャンバ内にプラズマを維持するRFパワーレベルを供給し、
    前記オーバーヘッド電極が、前記発生器の前記周波数またはその付近のものである電極・プラズマ共振周波数でプラズマとの共振を形成するリアクタンスを有する、請求項19に記載のリアクタ。
  22. 前記天井に隣接した複数のオーバーヘッドソレノイド磁石を更に備え、前記磁石が、前記複数のソレノイド磁石のそれぞれによって発生した個々の静磁場の和を備える前記チャンバの組み合わされた静磁場を有し、前記オーバーヘッドソレノイド、前記天井、前記側壁、および前記ワークピース支持体が、共通の対称軸に沿った位置にあり、前記第1のオーバーヘッドソレノイド磁石が、前記複数のオーバーヘッドソレノイド磁石内に備えられ、
    前記組み合わされた磁場が、前記ワークピース支持体の表面付近にある前記対称軸の周りのプラズマイオン密度径分布の均一性を高める、請求項17に記載のリアクタ。
  23. 前記複数のソレノイド磁石が同心のものであり、前記天井の上方で昇順の直径および降順の軸方向の高さの順序に配設された、請求項22に記載のリアクタ。
  24. 前記磁石のうち最外位置にある磁石が、前記磁石のうち最内位置にある磁石より、より広い半径方向面積にわたって、対応してより大きな半径方向の磁気圧力をプラズマにかけ、
    前記磁石のうち最内位置にある磁石が、前記チャンバの中心付近に限定された領域内において、半径方向の磁気圧力をプラズマにかける、請求項23に記載のリアクタ。
  25. 前記プラズマがリアクタンスを有し、前記電極のリアクタンスが、前記プラズマのリアクタンスに対応する、請求項19に記載のリアクタ。
  26. 前記電極のリアクタンスが、
    前記プラズマのリアクタンスの共役である、請求項25に記載のリアクタ。
  27. 前記プラズマのリアクタンスが、負の容量を備え、前記電極の容量が、前記プラズマの前記負の容量の大きさと同一の大きさである、請求項25に記載のリアクタ。
  28. 前記RF発生器の周波数および電極・プラズマ共振周波数が、VHF周波数である、請求項25に記載のリアクタ。
  29. 前記発生器と前記オーバーヘッド電極との間に接続された固定インピーダンス整合要素を更に備え、前記固定インピーダンス整合要素が、整合要素共振周波数を有する、請求項25に記載のリアクタ。
  30. 前記整合要素共振周波数および前記電極・プラズマ共振周波数が、互いからずれたものであり、前記発生器の周波数が、前記電極・プラズマ共振周波数と前記整合要素共振周波数との間にある、請求項29に記載のリアクタ。
  31. 前記発生器の前記周波数、前記プラズマ周波数、および前記整合要素共振周波数がすべて、VHF周波数である、請求項30に記載のリアクタ。
  32. 前記RFパワー発生器の前記周波数、前記整合要素共振周波数、および前記電極・プラズマ共振周波数がすべて、互いからずれたものであるVHF周波数である、請求項30に記載のリアクタ。
  33. 前記ワークピースの周囲に概して対応する内径を有する環状のRF結合リングを更に備え、前記RF結合リングが、前記ワークピースの周囲付近のプラズマイオン密度を高めるために、前記作業面と前記オーバーヘッド電極との間の距離の十分な部分延在する、請求項17に記載のリアクタ。
  34. 前記RF結合リングが、前記作業面に取り付けられ、前記結合リングの厚みだけ、前記作業面の上方に延在する上面を有する、請求項33に記載のリアクタ。
  35. 前記RF結合リングが、前記天井に取り付けられ、前記結合リングの厚みだけ、前記天井の下方に延在する底面を有する、請求項33に記載のリアクタ。
  36. 前記第1のRF結合リングの上にあり、前記天井に取り付けられた第2のRF結合リングを更に備える、請求項34に記載のリアクタ。
  37. 前記誘電スリーブが、軸方向に沿って複数のセクションに分割され、前記セクションの各々の軸方向の長さおよび誘電率が、他のセクションから独立したものである、請求項19に記載のプラズマリアクタ。
JP2004534479A 2002-09-04 2003-09-03 プラズマを径方向に均一に分布する容量結合プラズマリアクタ Expired - Fee Related JP4698222B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US10/235,988 2002-09-04
US10/235,988 US6900596B2 (en) 2002-07-09 2002-09-04 Capacitively coupled plasma reactor with uniform radial distribution of plasma
PCT/US2003/027538 WO2004023510A2 (en) 2002-09-04 2003-09-03 Capacitively coupled plasma reactor with uniform radial distribution of plasma

Publications (2)

Publication Number Publication Date
JP2006507665A JP2006507665A (ja) 2006-03-02
JP4698222B2 true JP4698222B2 (ja) 2011-06-08

Family

ID=31977594

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2004534479A Expired - Fee Related JP4698222B2 (ja) 2002-09-04 2003-09-03 プラズマを径方向に均一に分布する容量結合プラズマリアクタ

Country Status (6)

Country Link
US (1) US6900596B2 (ja)
JP (1) JP4698222B2 (ja)
KR (1) KR100882757B1 (ja)
CN (1) CN100532637C (ja)
TW (1) TWI348333B (ja)
WO (1) WO2004023510A2 (ja)

Families Citing this family (160)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP1074515B1 (en) * 1999-08-06 2007-10-03 PuriCore International Limited Electrochemical treatment of an aqueous solution
US8048806B2 (en) * 2000-03-17 2011-11-01 Applied Materials, Inc. Methods to avoid unstable plasma states during a process transition
US20070048882A1 (en) * 2000-03-17 2007-03-01 Applied Materials, Inc. Method to reduce plasma-induced charging damage
US8617351B2 (en) * 2002-07-09 2013-12-31 Applied Materials, Inc. Plasma reactor with minimal D.C. coils for cusp, solenoid and mirror fields for plasma uniformity and device damage reduction
JP3897620B2 (ja) * 2002-03-14 2007-03-28 三菱重工業株式会社 高周波電力供給構造およびそれを備えたプラズマcvd装置
TWI283899B (en) * 2002-07-09 2007-07-11 Applied Materials Inc Capacitively coupled plasma reactor with magnetic plasma control
US20040025791A1 (en) * 2002-08-09 2004-02-12 Applied Materials, Inc. Etch chamber with dual frequency biasing sources and a single frequency plasma generating source
KR101038204B1 (ko) * 2004-02-25 2011-05-31 주성엔지니어링(주) 플라즈마 발생용 안테나
JP2005270850A (ja) * 2004-03-25 2005-10-06 Canon Inc プラズマ処理方法および装置
US20050241767A1 (en) * 2004-04-30 2005-11-03 Ferris David S Multi-piece baffle plate assembly for a plasma processing system
US20060000802A1 (en) * 2004-06-30 2006-01-05 Ajay Kumar Method and apparatus for photomask plasma etching
US20060108069A1 (en) * 2004-11-19 2006-05-25 Samsung Electronics Co., Ltd. Plasma reaction chamber and captive silicon electrode plate for processing semiconductor wafers
US20060172542A1 (en) * 2005-01-28 2006-08-03 Applied Materials, Inc. Method and apparatus to confine plasma and to enhance flow conductance
CN100362619C (zh) 2005-08-05 2008-01-16 中微半导体设备(上海)有限公司 真空反应室的射频匹配耦合网络及其配置方法
US8157951B2 (en) * 2005-10-11 2012-04-17 Applied Materials, Inc. Capacitively coupled plasma reactor having very agile wafer temperature control
US7988872B2 (en) * 2005-10-11 2011-08-02 Applied Materials, Inc. Method of operating a capacitively coupled plasma reactor with dual temperature control loops
US8092638B2 (en) * 2005-10-11 2012-01-10 Applied Materials Inc. Capacitively coupled plasma reactor having a cooled/heated wafer support with uniform temperature distribution
US8034180B2 (en) * 2005-10-11 2011-10-11 Applied Materials, Inc. Method of cooling a wafer support at a uniform temperature in a capacitively coupled plasma reactor
US7695633B2 (en) * 2005-10-18 2010-04-13 Applied Materials, Inc. Independent control of ion density, ion energy distribution and ion dissociation in a plasma reactor
US20070091540A1 (en) * 2005-10-20 2007-04-26 Applied Materials, Inc. Method of processing a workpiece in a plasma reactor using multiple zone feed forward thermal control
JP5150053B2 (ja) * 2006-02-03 2013-02-20 株式会社日立ハイテクノロジーズ プラズマ処理装置
US7578258B2 (en) * 2006-03-03 2009-08-25 Lam Research Corporation Methods and apparatus for selective pre-coating of a plasma processing chamber
US7780864B2 (en) * 2006-04-24 2010-08-24 Applied Materials, Inc. Process using combined capacitively and inductively coupled plasma sources for controlling plasma ion radial distribution
US20070245960A1 (en) * 2006-04-24 2007-10-25 Applied Materials, Inc. Process using combined capacitively and inductively coupled plasma sources for controlling plasma ion density
US20070245958A1 (en) * 2006-04-24 2007-10-25 Applied Materials, Inc. Dual plasma source process using a variable frequency capacitively coupled source for controlling ion radial distribution
US20070246162A1 (en) * 2006-04-24 2007-10-25 Applied Materials, Inc. Plasma reactor apparatus with an inductive plasma source and a VHF capacitively coupled plasma source with variable frequency
US20070246163A1 (en) * 2006-04-24 2007-10-25 Applied Materials, Inc. Plasma reactor apparatus with independent capacitive and inductive plasma sources
US20070246161A1 (en) * 2006-04-24 2007-10-25 Applied Materials, Inc. Plasma reactor apparatus with a toroidal plasma source and a VHF capacitively coupled plasma source with variable frequency
US7727413B2 (en) * 2006-04-24 2010-06-01 Applied Materials, Inc. Dual plasma source process using a variable frequency capacitively coupled source to control plasma ion density
US20070246443A1 (en) * 2006-04-24 2007-10-25 Applied Materials, Inc. Process using combined capacitively and inductively coupled plasma process for controlling plasma ion dissociation
US7645357B2 (en) * 2006-04-24 2010-01-12 Applied Materials, Inc. Plasma reactor apparatus with a VHF capacitively coupled plasma source of variable frequency
US7932181B2 (en) * 2006-06-20 2011-04-26 Lam Research Corporation Edge gas injection for critical dimension uniformity improvement
US20080110567A1 (en) * 2006-11-15 2008-05-15 Miller Matthew L Plasma confinement baffle and flow equalizer for enhanced magnetic control of plasma radial distribution
US8956500B2 (en) * 2007-04-24 2015-02-17 Applied Materials, Inc. Methods to eliminate “M-shape” etch rate profile in inductively coupled plasma reactor
US8097082B2 (en) * 2008-04-28 2012-01-17 Applied Materials, Inc. Nonplanar faceplate for a plasma processing chamber
JP2009277889A (ja) * 2008-05-15 2009-11-26 Hitachi High-Technologies Corp プラズマ処理装置及びプラズマ処理装置の制御方法
US8299391B2 (en) * 2008-07-30 2012-10-30 Applied Materials, Inc. Field enhanced inductively coupled plasma (Fe-ICP) reactor
US8070925B2 (en) * 2008-10-17 2011-12-06 Applied Materials, Inc. Physical vapor deposition reactor with circularly symmetric RF feed and DC feed to the sputter target
US8382939B2 (en) * 2009-07-13 2013-02-26 Applied Materials, Inc. Plasma processing chamber with enhanced gas delivery
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US8133809B2 (en) 2010-08-11 2012-03-13 Magic Technologies, Inc. Method to fabricate thin metal via interconnects on copper wires in MRAM devices
JP5730521B2 (ja) * 2010-09-08 2015-06-10 株式会社日立ハイテクノロジーズ 熱処理装置
KR101202957B1 (ko) * 2010-10-19 2012-11-20 주성엔지니어링(주) 플라즈마 발생용 안테나 및 이를 포함하는 기판처리장치
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US9129778B2 (en) 2011-03-18 2015-09-08 Lam Research Corporation Fluid distribution members and/or assemblies
TWI792087B (zh) 2011-05-05 2023-02-11 日商半導體能源研究所股份有限公司 半導體裝置及其製造方法
US9279179B2 (en) * 2012-02-06 2016-03-08 Taiwan Semiconductor Manufacturing Co., Ltd. Multi coil target design
CN102625559B (zh) * 2012-03-30 2014-06-04 安徽航天环境工程有限公司 长寿命双重点火的等离子体点火枪
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) * 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
JP6007143B2 (ja) * 2013-03-26 2016-10-12 東京エレクトロン株式会社 シャワーヘッド、プラズマ処理装置、及びプラズマ処理方法
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
CN103425893A (zh) * 2013-08-26 2013-12-04 浪潮电子信息产业股份有限公司 一种解决pcb板阻抗测试数据统计分析的方法
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
WO2015156951A1 (en) * 2014-04-09 2015-10-15 Applied Materials, Inc. Symmetric chamber body design architecture to address variable process volume with improved flow uniformity/gas conductance
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9478434B2 (en) 2014-09-24 2016-10-25 Applied Materials, Inc. Chlorine-based hardmask removal
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
CN106816397A (zh) * 2015-12-01 2017-06-09 北京北方微电子基地设备工艺研究中心有限责任公司 下电极组件及半导体加工设备
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9852889B1 (en) 2016-06-22 2017-12-26 Lam Research Corporation Systems and methods for controlling directionality of ions in an edge region by using an electrode within a coupling ring
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
JP7069159B2 (ja) 2016-12-27 2022-05-17 エヴァテック・アーゲー 高周波容量結合エッチング反応器
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
TWI758589B (zh) * 2018-03-01 2022-03-21 美商應用材料股份有限公司 電漿源組件和提供電漿的方法
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
CN109811298B (zh) * 2019-03-19 2020-02-04 中南大学 一种沉积金刚石涂层前硬质合金刀具预处理方法及装置
JP7257918B2 (ja) * 2019-08-29 2023-04-14 東京エレクトロン株式会社 プラズマ処理システムおよびプラズマ着火支援方法

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2001037315A1 (en) * 1999-11-15 2001-05-25 Lam Research Corporation Plasma processing systems and method therefor
JP2001185542A (ja) * 1999-12-27 2001-07-06 Hitachi Ltd プラズマ処理装置及びそれを用いたプラズマ処理方法
JP2001319920A (ja) * 2000-03-01 2001-11-16 Hitachi Ltd プラズマ処理装置および処理方法
JP2002100622A (ja) * 2000-09-22 2002-04-05 Alps Electric Co Ltd プラズマ処理装置の性能評価方法、保守方法、及び性能管理システム、並びにプラズマ処理装置及びプラズマ処理装置の性能確認システム
JP2002203840A (ja) * 2001-01-04 2002-07-19 Tokyo Electron Ltd プラズマ処理装置

Family Cites Families (89)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4464223A (en) * 1983-10-03 1984-08-07 Tegal Corp. Plasma reactor apparatus and method
US4579618A (en) * 1984-01-06 1986-04-01 Tegal Corporation Plasma reactor apparatus
US4859908A (en) * 1986-09-24 1989-08-22 Matsushita Electric Industrial Co., Ltd. Plasma processing apparatus for large area ion irradiation
US5006760A (en) * 1987-01-09 1991-04-09 Motorola, Inc. Capacitive feed for plasma reactor
DE3708716C2 (de) * 1987-03-18 1993-11-04 Hans Prof Dr Rer Nat Oechsner Hochfrequenz-ionenquelle
US4973883A (en) * 1987-05-01 1990-11-27 Semiconductor Energy Laborator Co., Ltd. Plasma processing apparatus with a lisitano coil
JPH01218106A (ja) * 1988-02-25 1989-08-31 Sony Corp スリーブアンテナ
US5115167A (en) * 1988-04-05 1992-05-19 Mitsubishi Denki Kabushiki Kaisha Plasma processor
DE68912400T2 (de) 1988-05-23 1994-08-18 Nippon Telegraph & Telephone Plasmaätzvorrichtung.
US5055853A (en) * 1988-10-03 1991-10-08 Garnier Robert C Magnetic frill generator
US5107170A (en) * 1988-10-18 1992-04-21 Nissin Electric Co., Ltd. Ion source having auxillary ion chamber
US5089083A (en) * 1989-04-25 1992-02-18 Tokyo Electron Limited Plasma etching method
US5225024A (en) * 1989-05-08 1993-07-06 Applied Materials, Inc. Magnetically enhanced plasma reactor system for semiconductor processing
JPH02298024A (ja) * 1989-05-12 1990-12-10 Tadahiro Omi リアクティブイオンエッチング装置
US4990229A (en) * 1989-06-13 1991-02-05 Plasma & Materials Technologies, Inc. High density plasma deposition and etching apparatus
US5122251A (en) * 1989-06-13 1992-06-16 Plasma & Materials Technologies, Inc. High density plasma deposition and etching apparatus
DE3923661A1 (de) * 1989-07-18 1991-01-24 Leybold Ag Schaltungsanordnung fuer die anpassung der impedanz einer plasmastrecke an einen hochfrequenzgenerator
US5312778A (en) * 1989-10-03 1994-05-17 Applied Materials, Inc. Method for plasma processing using magnetically enhanced plasma chemical vapor deposition
US5300460A (en) * 1989-10-03 1994-04-05 Applied Materials, Inc. UHF/VHF plasma for use in forming integrated circuit structures on semiconductor wafers
US5223457A (en) * 1989-10-03 1993-06-29 Applied Materials, Inc. High-frequency semiconductor wafer processing method using a negative self-bias
US5032202A (en) * 1989-10-03 1991-07-16 Martin Marietta Energy Systems, Inc. Plasma generating apparatus for large area plasma processing
US5210466A (en) * 1989-10-03 1993-05-11 Applied Materials, Inc. VHF/UHF reactor system
US5397427A (en) * 1989-10-06 1995-03-14 Moore Business Forms, Inc. Pressure seal adhesive system with rollers
JPH04901A (ja) * 1990-04-18 1992-01-06 Mitsubishi Electric Corp プラズマ装置の高周波給電方法及び装置
US5707486A (en) * 1990-07-31 1998-01-13 Applied Materials, Inc. Plasma reactor using UHF/VHF and RF triode source, and process
JPH04247878A (ja) * 1990-07-31 1992-09-03 Applied Materials Inc Vhf/uhf反応装置
US5274306A (en) * 1990-08-31 1993-12-28 Kaufman & Robinson, Inc. Capacitively coupled radiofrequency plasma source
US5376211A (en) * 1990-09-29 1994-12-27 Tokyo Electron Limited Magnetron plasma processing apparatus and processing method
JP2501948B2 (ja) * 1990-10-26 1996-05-29 三菱電機株式会社 プラズマ処理方法及びプラズマ処理装置
US5246532A (en) * 1990-10-26 1993-09-21 Mitsubishi Denki Kabushiki Kaisha Plasma processing apparatus
US5195045A (en) * 1991-02-27 1993-03-16 Astec America, Inc. Automatic impedance matching apparatus and method
EP0585229B1 (en) * 1991-05-21 1995-09-06 Materials Research Corporation Cluster tool soft etch module and ecr plasma generator therefor
US6036877A (en) * 1991-06-27 2000-03-14 Applied Materials, Inc. Plasma reactor with heated source of a polymer-hardening precursor material
US5198725A (en) * 1991-07-12 1993-03-30 Lam Research Corporation Method of producing flat ecr layer in microwave plasma device and apparatus therefor
US5849136A (en) * 1991-10-11 1998-12-15 Applied Materials, Inc. High frequency semiconductor wafer processing apparatus and method
US5279669A (en) * 1991-12-13 1994-01-18 International Business Machines Corporation Plasma reactor for processing substrates comprising means for inducing electron cyclotron resonance (ECR) and ion cyclotron resonance (ICR) conditions
JP2972477B2 (ja) * 1993-01-27 1999-11-08 日本電気株式会社 Rf・ecrプラズマエッチング装置
TW249313B (ja) * 1993-03-06 1995-06-11 Tokyo Electron Co
US5537004A (en) * 1993-03-06 1996-07-16 Tokyo Electron Limited Low frequency electron cyclotron resonance plasma processor
JP3236111B2 (ja) * 1993-03-31 2001-12-10 キヤノン株式会社 プラズマ処理装置及び処理方法
US5662770A (en) * 1993-04-16 1997-09-02 Micron Technology, Inc. Method and apparatus for improving etch uniformity in remote source plasma reactors with powered wafer chucks
EP0634778A1 (en) * 1993-07-12 1995-01-18 The Boc Group, Inc. Hollow cathode array
WO1995008182A1 (en) 1993-09-17 1995-03-23 Isis Innovation Limited Rf plasma reactor
US5849372A (en) * 1993-09-17 1998-12-15 Isis Innovation Limited RF plasma reactor and methods of generating RF plasma
TW296534B (ja) 1993-12-17 1997-01-21 Tokyo Electron Co Ltd
US5463525A (en) * 1993-12-20 1995-10-31 International Business Machines Corporation Guard ring electrostatic chuck
JP3279038B2 (ja) * 1994-01-31 2002-04-30 ソニー株式会社 プラズマ装置およびこれを用いたプラズマ処理方法
JP3365067B2 (ja) * 1994-02-10 2003-01-08 ソニー株式会社 プラズマ装置およびこれを用いたプラズマ処理方法
US5512130A (en) * 1994-03-09 1996-04-30 Texas Instruments Incorporated Method and apparatus of etching a clean trench in a semiconductor material
US5900103A (en) 1994-04-20 1999-05-04 Tokyo Electron Limited Plasma treatment method and apparatus
US5685914A (en) * 1994-04-05 1997-11-11 Applied Materials, Inc. Focus ring for semiconductor wafer processing in a plasma reactor
KR100327086B1 (ko) * 1994-06-15 2002-03-06 구사마 사부로 박막 반도체 장치의 제조방법, 박막 반도체 장치,액정표시장치 및 전자기기
US5587038A (en) * 1994-06-16 1996-12-24 Princeton University Apparatus and process for producing high density axially extending plasmas
AU2003195A (en) * 1994-06-21 1996-01-04 Boc Group, Inc., The Improved power distribution for multiple electrode plasma systems using quarter wavelength transmission lines
IT1269413B (it) * 1994-10-21 1997-04-01 Proel Tecnologie Spa Sorgente di plasma a radiofrequenza
JP2956494B2 (ja) * 1994-10-26 1999-10-04 住友金属工業株式会社 プラズマ処理装置
US5605637A (en) * 1994-12-15 1997-02-25 Applied Materials Inc. Adjustable dc bias control in a plasma reactor
US5792376A (en) * 1995-01-06 1998-08-11 Kabushiki Kaisha Toshiba Plasma processing apparatus and plasma processing method
JP3778299B2 (ja) * 1995-02-07 2006-05-24 東京エレクトロン株式会社 プラズマエッチング方法
US5674321A (en) * 1995-04-28 1997-10-07 Applied Materials, Inc. Method and apparatus for producing plasma uniformity in a magnetic field-enhanced plasma reactor
US5710486A (en) * 1995-05-08 1998-01-20 Applied Materials, Inc. Inductively and multi-capacitively coupled plasma reactor
JP3169337B2 (ja) * 1995-05-30 2001-05-21 キヤノン株式会社 光起電力素子及びその製造方法
US5997962A (en) * 1995-06-30 1999-12-07 Tokyo Electron Limited Plasma process utilizing an electrostatic chuck
US5907221A (en) * 1995-08-16 1999-05-25 Applied Materials, Inc. Inductively coupled plasma reactor with an inductive coil antenna having independent loops
US6089182A (en) * 1995-08-17 2000-07-18 Tokyo Electron Limited Plasma processing apparatus
KR100226366B1 (ko) * 1995-08-23 1999-10-15 아끼구사 나오유끼 플라즈마장치 및 플라즈마 처리방법
JPH09106898A (ja) * 1995-10-09 1997-04-22 Anelva Corp プラズマcvd装置、プラズマ処理装置及びプラズマcvd方法
US5965034A (en) * 1995-12-04 1999-10-12 Mc Electronics Co., Ltd. High frequency plasma process wherein the plasma is executed by an inductive structure in which the phase and anti-phase portion of the capacitive currents between the inductive structure and the plasma are balanced
JP3238082B2 (ja) * 1996-05-16 2001-12-10 シャープ株式会社 電子デバイス製造装置
US5863376A (en) 1996-06-05 1999-01-26 Lam Research Corporation Temperature controlling method and apparatus for a plasma processing chamber
JPH10134996A (ja) * 1996-10-31 1998-05-22 Nec Corp プラズマ処理装置
US6113731A (en) * 1997-01-02 2000-09-05 Applied Materials, Inc. Magnetically-enhanced plasma chamber with non-uniform magnetic field
US6152071A (en) * 1996-12-11 2000-11-28 Canon Kabushiki Kaisha High-frequency introducing means, plasma treatment apparatus, and plasma treatment method
JP3650248B2 (ja) * 1997-03-19 2005-05-18 東京エレクトロン株式会社 プラズマ処理装置
JP3582287B2 (ja) * 1997-03-26 2004-10-27 株式会社日立製作所 エッチング装置
TW376547B (en) * 1997-03-27 1999-12-11 Matsushita Electric Ind Co Ltd Method and apparatus for plasma processing
US6155200A (en) * 1997-07-08 2000-12-05 Tokyo Electron Limited ECR plasma generator and an ECR system using the generator
US6110395A (en) * 1997-08-26 2000-08-29 Trikon Technologies, Inc. Method and structure for controlling plasma uniformity
JP2929284B2 (ja) * 1997-09-10 1999-08-03 株式会社アドテック 高周波プラズマ処理装置のためのインピーダンス整合及び電力制御システム
US6041734A (en) * 1997-12-01 2000-03-28 Applied Materials, Inc. Use of an asymmetric waveform to control ion bombardment during substrate processing
US6251216B1 (en) * 1997-12-17 2001-06-26 Matsushita Electronics Corporation Apparatus and method for plasma processing
JP3818561B2 (ja) * 1998-10-29 2006-09-06 エルジー フィリップス エルシーディー カンパニー リミテッド シリコン酸化膜の成膜方法および薄膜トランジスタの製造方法
US6213050B1 (en) * 1998-12-01 2001-04-10 Silicon Genesis Corporation Enhanced plasma mode and computer system for plasma immersion ion implantation
US6188564B1 (en) * 1999-03-31 2001-02-13 Lam Research Corporation Method and apparatus for compensating non-uniform wafer processing in plasma processing chamber
JP3374796B2 (ja) * 1999-08-06 2003-02-10 松下電器産業株式会社 プラズマ処理方法及び装置
US6262538B1 (en) * 1999-08-26 2001-07-17 International Business Machines Corporation High density plasma tool with adjustable uniformity and stochastic electron heating for reduced gas cracking
US6451703B1 (en) * 2000-03-10 2002-09-17 Applied Materials, Inc. Magnetically enhanced plasma etch process using a heavy fluorocarbon etching gas
US6528751B1 (en) 2000-03-17 2003-03-04 Applied Materials, Inc. Plasma reactor with overhead RF electrode tuned to the plasma
US6462481B1 (en) * 2000-07-06 2002-10-08 Applied Materials Inc. Plasma reactor having a symmetric parallel conductor coil antenna

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2001037315A1 (en) * 1999-11-15 2001-05-25 Lam Research Corporation Plasma processing systems and method therefor
JP2003514389A (ja) * 1999-11-15 2003-04-15 ラム リサーチ コーポレーション プラズマ処理システムおよびその方法
JP2001185542A (ja) * 1999-12-27 2001-07-06 Hitachi Ltd プラズマ処理装置及びそれを用いたプラズマ処理方法
JP2001319920A (ja) * 2000-03-01 2001-11-16 Hitachi Ltd プラズマ処理装置および処理方法
JP2002100622A (ja) * 2000-09-22 2002-04-05 Alps Electric Co Ltd プラズマ処理装置の性能評価方法、保守方法、及び性能管理システム、並びにプラズマ処理装置及びプラズマ処理装置の性能確認システム
JP2002203840A (ja) * 2001-01-04 2002-07-19 Tokyo Electron Ltd プラズマ処理装置

Also Published As

Publication number Publication date
JP2006507665A (ja) 2006-03-02
WO2004023510A3 (en) 2006-07-13
TWI348333B (en) 2011-09-01
US6900596B2 (en) 2005-05-31
US20040056602A1 (en) 2004-03-25
WO2004023510A2 (en) 2004-03-18
CN100532637C (zh) 2009-08-26
CN1823180A (zh) 2006-08-23
TW200414831A (en) 2004-08-01
KR20050057231A (ko) 2005-06-16
KR100882757B1 (ko) 2009-02-09

Similar Documents

Publication Publication Date Title
JP4698222B2 (ja) プラズマを径方向に均一に分布する容量結合プラズマリアクタ
KR100883875B1 (ko) 자기 플라즈마 제어되는 용량 결합형 플라즈마 반응기
JP4769586B2 (ja) プラズマ反応器及びプラズマイオン濃度分布の均一性を改善する方法
US7955986B2 (en) Capacitively coupled plasma reactor with magnetic plasma control
US20200357606A1 (en) Plasma processing apparatus and plasma processing method
JP4236294B2 (ja) 上部にソレノイドアンテナを有する電磁結合rfプラズマリアクター
US6414648B1 (en) Plasma reactor having a symmetric parallel conductor coil antenna
US6365063B2 (en) Plasma reactor having a dual mode RF power application
US6893533B2 (en) Plasma reactor having a symmetric parallel conductor coil antenna
US6417626B1 (en) Immersed inductively—coupled plasma source
US6462481B1 (en) Plasma reactor having a symmetric parallel conductor coil antenna
US6694915B1 (en) Plasma reactor having a symmetrical parallel conductor coil antenna
US6409933B1 (en) Plasma reactor having a symmetric parallel conductor coil antenna
US6514376B1 (en) Thermal control apparatus for inductively coupled RF plasma reactor having an overhead solenoidal antenna

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20060824

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20090608

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20090616

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20090916

RD03 Notification of appointment of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7423

Effective date: 20090916

RD02 Notification of acceptance of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7422

Effective date: 20100618

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20100629

RD04 Notification of resignation of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7424

Effective date: 20100708

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20100929

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20101006

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20101029

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20101108

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20101129

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20101206

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20110104

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20110201

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20110301

R150 Certificate of patent or registration of utility model

Ref document number: 4698222

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

LAPS Cancellation because of no payment of annual fees