JP4694150B2 - 処理方法及び処理システム - Google Patents

処理方法及び処理システム Download PDF

Info

Publication number
JP4694150B2
JP4694150B2 JP2004168649A JP2004168649A JP4694150B2 JP 4694150 B2 JP4694150 B2 JP 4694150B2 JP 2004168649 A JP2004168649 A JP 2004168649A JP 2004168649 A JP2004168649 A JP 2004168649A JP 4694150 B2 JP4694150 B2 JP 4694150B2
Authority
JP
Japan
Prior art keywords
wafer
surface structure
etching
processing apparatus
processing
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP2004168649A
Other languages
English (en)
Other versions
JP2005033187A (ja
Inventor
剛 大野
真知 守屋
俊彦 菊池
喜孝 斎田
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Priority to JP2004168649A priority Critical patent/JP4694150B2/ja
Priority to TW093117748A priority patent/TW200503102A/zh
Priority to US10/869,913 priority patent/US20040260420A1/en
Priority to KR1020040045839A priority patent/KR100710927B1/ko
Priority to CNB2004100598967A priority patent/CN100409413C/zh
Priority to CN2008100878557A priority patent/CN101256945B/zh
Publication of JP2005033187A publication Critical patent/JP2005033187A/ja
Priority to US12/588,395 priority patent/US8778205B2/en
Application granted granted Critical
Publication of JP4694150B2 publication Critical patent/JP4694150B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/17Systems in which incident light is modified in accordance with the properties of the material investigated
    • G01N21/21Polarisation-affecting properties
    • G01N21/211Ellipsometry

Landscapes

  • Immunology (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Pathology (AREA)
  • Engineering & Computer Science (AREA)
  • Analytical Chemistry (AREA)
  • Biochemistry (AREA)
  • General Health & Medical Sciences (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Health & Medical Sciences (AREA)
  • Chemical & Material Sciences (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Testing Or Measuring Of Semiconductors Or The Like (AREA)
  • Drying Of Semiconductors (AREA)
  • Investigating Or Analysing Materials By Optical Means (AREA)

Description

本発明は、処理方法及び処理システムに関し、特に、スキャトロメトリ法により所定処理後の被処理体の表面構造を非破壊で正確に評価するとともに、該評価した表面構造に基づいて処理条件を制御する処理方法及び処理システムに関する。
半導体集積回路の小型化が進む現在、ウェハ表面の回路パターンをより微細に形成する必要が生じてきている。このような微細な回路パターンを形成するためには、製造段階において、ウェハ表面の構造、例えばエッチング処理後のウェハ表面の構造等、を正確に評価する必要がある。
従来、エッチング処理後のウェハ表面の構造を評価するため、劈開したウェハの断面を走査型電子顕微鏡(SEM:Scanning Electron Microscope)により観察して写真を撮るという方法が主に採られていた。しかし、この方法では、観察対象であるウェハ断面を形成するため、ウェハそれ自体を破壊しなければならないという欠点があった。
このため、発明者は、非破壊でエッチング処理後のウェハ表面の構造を評価するため、従来ウェハ表面に形成されたレジストパターンの評価等に用いられていた反射率測定法(Reflectmetry)、エリプソメトリ法(Ellipsometry)等のスキャトロメトリ法(Scatterometry)を、このエッチング処理後のウェハ表面の構造の評価に適用できないかと考えた(例えば、特許文献1参照)。
特開2002−260994号公報(第4−5頁、第5−8図)。
しかしながら、エッチング処理後のウェハ表面は、形状や組成が様々な不要部位、例えば、表面に付着したポリマ、反応生成物、ウェハWの表面領域に形成されたダメージ層、レジストの表面領域に形成された変質層や硬化層、等のため、その光学定数n値(屈折率)及びk値(吸収係数)を特定することができない。
このような光学定数を特定できないウェハWの表面構造をスキャトロメトリ法により評価することは、困難である。
また,ウェハの表面構造の評価の具体的な方法やその評価に基づいた処理条件の具体的な制御方法については未開発の状態である。
本発明は、上述した事情に鑑みてなされたもので、スキャトロメトリ法により所定処理後の被処理体の表面構造を非破壊で正確に評価することができる処理方法及び処理システムを提供することを目的とする。
本発明は,スキャトロメトリ法により被処理体の表面構造を評価する具体的な処理方法及び処理システムや,スキャトロメトリ法により評価した被処理体の表面構造に基づいて所定条件を制御する処理方法及び処理システムを提供することを目的とする。
上記目的を達成するために、参考例としての処理方法は、被処理体に所定処理を施す処理工程と、前記所定処理により被処理体の表面に生じた不要部位を除去する不要部位除去工程と、前記不要部位除去工程により不要部位が除去された被処理体の表面構造を評価する表面構造評価工程(構造評価工程)と、を備える。
前記表面構造評価工程により評価された被処理体の表面構造に基づいて前記所定処理の処理条件のパラメータのうち少なくとも1つを制御する制御工程をさらに備えてもよい。
また、前記所定処理は、レジストをマスクとして前記被処理体をエッチングし、該被処理体の表面に所定パターンを形成するエッチング処理であってもよい。
さらに、前記不要部位除去工程は、前記エッチング処理において前記レジストに形成された変質層及び/又は硬化層を除去する工程であってもよい。
また、前記不要部位除去工程は、前記エッチング処理において前記所定パターンの表面領域に形成されたダメージ層を除去する工程であってもよい。
さらに、前記不要部位除去工程は、前記エッチング処理において前記被処理体の表面に付着したポリマを除去する工程であってよい。
また、前記不要部位除去工程は、前記エッチング処理により断面形状が変化したレジストを除去する工程であってもよい。なお,前記不要部位除去工程は,前記エッチング処理により被処理体の表面に堆積した反応生成物を除去する工程であってもよい。
さらに、前記表面構造評価工程は、前記不要部位除去工程により不要部位が除去された被処理体の所定物理量をスキャトロメトリ(Scatterometry)法により計測し、該計測した所定物理量から前記被処理体の表面構造を推定する工程であってもよい。
上記目的を達成するために、参考例としての処理方法は、被処理体に所定処理を施す処理工程と、前記所定処理により被処理体の表面に生じた不要部位を除去する不要部位除去工程と、前記不要部位除去工程により不要部位が除去された被処理体の第1の表面構造を評価する表面構造評価工程(構造評価工程)と、を備える処理方法であって、前記所定処理を施す処理工程に引き続いて、前記第1の表面構造評価工程を行い、前記第1の表面構造評価工程における評価が不良であった場合に、前記不要部位除去工程を行い、前記不要部位が除去された前記被処理体に対して第2の表面構造評価工程を行う、ことを特徴とする。
前記第2の表面構造評価工程において、前記第1の表面構造評価工程の際に用いたデータを、前記不要部位除去後の形状に基づいたデータに切り替えるデータ切替工程をさらに備えてもよい。
上記目的を達成するために、参考例としての処理システムは、被処理体に所定処理を施す処理装置と、前記所定処理が施された被処理体の表面に生じた不要部位を除去する不要部位除去装置と、前記不要部位除去装置により不要部位が除去された被処理体の表面構造を評価する表面構造評価装置(構造評価装置)と、前記各装置に前記被処理体を搬入出する搬送装置と、前記処理装置、前記不要部位除去装置、前記表面評価装置及び前記搬送装置を制御する制御装置と、を備える。
上記目的を達成するために、参考例としての処理システムは、被処理体に所定処理を施すとともに、該所定処理によって該被処理体の表面に生じた不要部位を除去する処理装置と、前記処理装置により不要部位が除去された被処理体の表面構造を評価する表面構造評価装置(構造評価装置)と、前記各装置に前記被処理体を搬入出する搬送装置と、前記処理装置、前記表面評価装置及び前記搬送装置を制御する制御装置と、を備える。
前記所定処理を施す処理装置は、プラズマエッチング装置であってもよい。
また、前記不要部位を除去する不要部位除去装置は、ウェット処理装置であってもよい。なお,前記不要部位を除去する不要部位除去装置は,ドライ処理装置であってもよい。
さらに、前記被処理体の表面構造を評価する表面構造評価装置は、スキャトロメトリ(Scatterometry)法によって評価を行ってもよい。
また、前記制御装置は、前記表面構造評価装置により評価された被処理体の表面構造に基づいて前記所定処理の処理条件のパラメータのうち少なくとも1つを制御してもよい。
さらに、前記制御装置は、前記表面構造評価装置における評価動作を監視し、該評価動作が不良であると判断した場合に、不良と判断された被処理体を前記不要部位除去装置に搬入して不要部位の除去を行い、再度前記表面構造評価装置に搬入して表面構造を評価するように制御してもよい。
また、前記制御装置は、前記表面構造評価装置における評価が、所定期間内に最適解を導けなかった場合に評価動作が不良であると判断してもよい。
さらに、前記制御装置は、前記表面構造評価装置における評価が、ライブラリの中から最適解を導けなかった場合に評価動作が不良であると判断してもよい。
また、前記制御装置は、前記不良の判断がなされた場合、該被処理体を除く被処理体に対する各装置内の処理又は動作を停止してもよい。
上記目的を達成するために,本発明の処理方法は,製品となる被処理体よりも単純なパターン構造を有するテスト用被処理体にエッチング処理を施すエッチング工程と,前記エッチング工程で処理されたテスト用被処理体の表面構造の寸法を,スキャトロメトリ法を用いて測定する表面構造測定工程(構造測定工程)と,前記表面構造測定工程で測定された表面構造の寸法を予め設定されている許容値と比較し,当該比較結果に基づいて,製品となる被処理体に対する前記エッチング処理と同一の処理の継続又は中断を決定する工程と,を有し,前記エッチング工程と前記表面構造測定工程は,製品となる被処理体よりも単純な構造を有するテスト用被処理体を用いて行う。なお,「被処理体の表面構造」には,3次元の表面構造も含まれる。
前記表面構造測定工程は,テスト用被処理体の表面構造の少なくとも2次元方向の寸法を測定してもよい。
前記表面構造測定工程は,テスト用被処理体の表面構造の深さ方向と水平方向の寸法を測定してもよい。
上記目的を達成するために,別の観点による本発明の処理システムは,製品となる被処理体又は当該被処理体よりも単純なパターン構造を有するテスト用被処理体にエッチング処理を施すエッチング処理装置と,エッチング処理されたテスト用被処理体の表面構造の寸法を,スキャトロメトリ法を用いて測定する表面構造測定装置(構造測定装置)と,前記表面構造の測定寸法を予め設定されている許容値と比較し,当該比較結果に基づいて前記エッチング処理装置における製品となる被処理体に対するエッチング処理の継続又は中断を決定する制御装置と,を備えた
前記表面構造測定装置は,テスト用被処理体の表面構造の少なくとも2次元方向の寸法を測定してもよい。また,前記表面構造測定装置は,テスト用被処理体の表面構造の深さ方向と水平方向の寸法を測定してもよい。
本発明により、スキャトロメトリ法により所定処理後の被処理体の表面構造を非破壊で正確に評価することができる処理方法及び処理システムを提供することができる。
また、本発明により、スキャトロメトリ法により評価した被処理体の表面構造に基づいて所定条件を制御する処理方法及び処理システムを提供することができる。
(第1の実施の形態)
本発明の第1の実施の形態に係る処理装置1について、以下図面を参照して説明する。
処理システム1は、図1に示すように、減圧処理装置10と、液処理装置20と、構造判別装置30と、システム制御装置40と、複数のウェハWを収容するカセットを載置し、ウェハWの搬入出部を構成するロードポート50と、ウェハWを減圧処理装置10等に搬送する搬送機構60と、ウェハWの位置合わせを行うアライメント部70と、から構成されている。
処理システム1は、被処理体であるウェハWにエッチング処理を施し、該エッチング処理後のウェハWの表面構造をエリプソメトリ法(Ellipsometry)により判別(評価)する。なお、ウェハWは、図10に示すように、例えばシリコンから構成され、その表面領域にはSiO2層5が形成され、さらに、ウェハWのSiO2層5上には、所定パターンのレジスト層6が形成されている。
減圧処理装置10は、図2に示すように、上下平行に対向する電極を備える、いわゆる平行平板型プラズマ処理装置であり、略円筒状のチャンバ11と、下部電極として機能するサセプタ12と、上部電極13と、から概略構成されている。減圧処理装置10は、減圧雰囲気の下、レジストパターンをマスクとしてウェハWに選択的にエッチング処理を施す。
チャンバ11は、アルミニウム等の導電性材料から構成され、その表面には、アルマイト処理等の陽極酸化処理が施されている。また、チャンバ11は、接地されている。
チャンバ11は、その底部に排気口111を備え、この排気口111には、真空ポンプを備える排気装置112が接続されている。排気装置112は、チャンバ11内を所定の減圧雰囲気、例えば、0.01Pa以下の圧力まで真空引きすることができる。
チャンバ11は、その側壁に搬入出口113を備える。搬入出口113は、開閉可能なゲートバルブ114を備え、ゲートバルブ114を開放することによりウェハWの搬入出を可能とする。
また、チャンバ11内の底部中央には、略円柱状のサセプタ支持台121が設けられ、さらに、このサセプタ支持台121の上には、ウェハ載置台として機能する後述するサセプタ12が絶縁体122を介して設けられている。サセプタ支持台121は、チャンバ11の下方に設けられた昇降機構123に接続され、サセプタ12とともに昇降可能に構成されている。
サセプタ12は、その上部中央が凸状の円板状に形成され、その上にウェハWと略同型の図示しない静電チャックが設けられている。この静電チャックに直流電圧が印加されると、クーロン力により、載置されたウェハWは、サセプタ12に静電吸着される。
サセプタ12には、図示しない整合器を介して第1の高周波電源124が接続されている。第1の高周波電源124は、高周波(0.1〜13MHz)電圧をサセプタ12に印加する。このような高周波電圧を印加することにより、ウェハWに対するダメージを低減させる等の効果が得られる。
上部電極13は、サセプタ12と対向して設けられており、電極板131と、電極支持体132と、から構成され、絶縁材133を介して、チャンバ11の上部に支持されている。
電極板131は、例えばアルミニウム等から構成され、多数のガス孔131aをそのほぼ全面に備えている。
電極支持体132は、電極板131とネジ等によって電気的に接合されており、導電性材料から構成されている。また、電極支持体132は、ガス導入管134を備え、このガス導入管134には、バルブ135、流量制御装置136等を介して、ガス供給源137からC4F8とアルゴンと酸素とからなるエッチングガスが供給される。電極支持体132は、その内部に、電極板131の複数のガス孔131aに接続された中空の拡散部132aを備える。ガス供給源137からガス導入管134を介して供給されたエッチングガスは、拡散部132aで拡散されてガス孔131aに供給される。これにより、複数のガス孔131aからエッチングガスがウェハWの全面に均等に供給される。
上部電極13には、アルミニウム等の導電性材料からなる給電棒138が接続され、給電棒138は、図示しない整合器を介して、第2の高周波電源139に接続されている。第2の高周波電源139は、高周波(13〜150MHz)電力を上部電極13に供給する。これにより、上部電極13と下部電極であるサセプタ12との間には、高密度のプラズマが生成される。
液処理装置20は、スピンナ型処理装置であり、図3に示すように、略円筒状のチャンバ21と、チャンバ21内に設けられたスピンチャック22と、モータ23と、ウェハWの表面にポリマ除去液及びレジスト層除去液を供給する第1の薬液供給部24と、リンス清浄に用いられる純粋又はイソプロピルアルコール(IPA)をウェハWに供給する第2の薬液供給部25と、から概略構成されている。液処理装置20は、ウェハWの表面上に付着したポリマ8及びレジスト層6等の不要部位を除去するとともに、ポリマ8及びレジスト層6を除去したウェハWをリンス洗浄し、リンス洗浄したウェハWをスピン乾燥する。
チャンバ21の上方には、第1の薬液供給部24に接続され、例えばフッ酸(HF)、硫酸(H2SO4)からなるポリマ除去液及びレジスト層除去液をウェハWの表面に吐出する第1の薬液吐出ノズル211と、第2の薬液供給部25に接続され、リンス洗浄に用いられる純水等をウェハWの表面に吐出する第2の薬液吐出ノズル212と、が設けられている。また、チャンバ21の下方には、チャンバ21内に吐出された薬液、純水等を排出する排液口213が設けられている。
スピンチャック22は、載置されたウェハWを真空吸着し、モータ23は、スピンチャック22に真空吸着されたウェハWを高速回転させる。ウェハWをモータ23で高速回転させ、回転により生じる遠心力を利用することにより、吐出された薬液、純水等をウェハWの表面に万遍なく広げる。また、ウェハWをさらに高速に回転させることにより、リンス洗浄後のウェハWをスピン乾燥する。
構造判別装置30は、図4に示すように、ウェハWに偏光した光を照射するとともに、ウェハWから反射された偏光を受光する光学部31と、反射光からウェハWの表面構造を判別する構造判別部32と、から構成され、ウェハWの表面構造をエリプソメトリ法により判別する。
このエリプソメトリ法とは、ウェハWに偏光した光を照射し、該ウェハWに照射した直線偏光とウェハWから反射された偏光との位相差Δ及び振幅の変位ΨからウェハWの表面構造を判別する判別方法である。この位相のずれ及び振幅の変位Ψは、下式により定義される。
Figure 0004694150
(Wpはp成分波の位相であり、Wsはs成分波の位相である。)
Figure 0004694150
(Ipはp成分波の強度であり、Isはs成分波の強度であり、Rpはp成分波の反射率であり、Rsはs成分波の反射率である。)
光学部31は、載置台311と、発光器312と、偏光子313と、検光子314と、受光器315と、から構成され、ウェハWに偏光を照射するとともに、ウェハWから反射された偏光を受光する。
載置台311は、ウェハWを載置可能に構成されるとともに、図示しない駆動機構によりXY方向に移動可能に構成されている。
発光器312は、キセノンランプ等から構成され、白色光をウェハWに向けて放出し、偏光子313は、発光器312から放出される白色光を直線偏光に変換し、変換した直線偏光をウェハWに照射する。
検光子314は、ウェハWにおいて反射された楕円偏光のうち、所定の偏光角度の偏光成分のみを透過させる。受光器315は、例えば、CCD(Charge Coupled Device)カメラ等から構成され、検光子314を透過した偏光を受光し、受光した偏光を電気信号に変換し、変換した電気信号を構造判別部32に供給する。
構造判別部32は、ライブラリ格納部321と、解析部322と、から構成され、該ウェハWに照射した偏光とウェハWから反射された偏光との位相差Δ及び振幅の変位ΨからウェハWの表面構造を推定する。
ライブラリ格納部321は、ハードディスクドライブ等、書換可能な記憶媒体から構成され、ウェハWの表面構造を示す複数の構造パラメータと、位相差分布及び振幅の変位分布と、を対応付けて登録するライブラリを格納する。
ライブラリは、図5に示すように、複数の構造パラメータをマトリクス状に配置して登録する。また、ライブラリは、マトリクス状に配置された構造パラメータとともに、図6に示すように、該構造パラメータを有するウェハWの表面構造について予め算出された、各波長λにおける位相差の余弦cosΔ(位相差分布)を示すグラフと、各波長λにおける振幅の変位の正接tanΨ(振幅の変位分布)を示すグラフと、を登録する。
解析部322は、CPU、RAM、ROM等から構成されている。解析部322は、光学部31から供給される電気信号に基づいて反射光の各波長λにおける位相Wp反射光、Ws反射光及び強度Ip反射光、Is反射光を解析する。また、解析部322は、数1及び数2を用いて、ウェハWに照射した光の各波長λにおける位相Wp入射光、Ws入射光及び強度Ip入射光、Is入射光と、解析した反射光の各波長λにおける位相Wp反射光、Ws反射光及び強度Ip反射光、Is反射光と、から位相差Δ及び振幅の変位Ψを算出し、位相差分布及び振幅の変位分布を求める。
解析部322は、図7に示すように、該求めた位相差分布及び振幅の変位分布とライブラリに登録されている各位相差分布及び振幅の変位分布とをパターンマッチングし、ライブラリから該求めた位相差分布及び振幅の変位分布に近似する位相差分布と振幅の変位分布を検索する。
解析部322は、求めた位相差分布及び振幅の変位分布とライブラリから検索した位相差分布及び振幅の変位分布との近似する割合に従って、補間法を用いて、該検索した位相差分布及び振幅の変位分布に対応する構造パラメータを補正し、該補正した構造パラメータからウェハWの表面構造を推定する。
より詳細に説明すると、図8に示すように、解析部322は、求めた位相差分布及び振幅の変位分布に近似する位相差分布及び振幅の変位分布をライブラリから4つ検索し、求めた位相差分布及び振幅の変位分布と検索した位相差分布及び振幅の変位分布との近似する割合に従って該検索した4つの位相差分布及び振幅の変位分布に対応する構造パラメータを合成し、合成して得られた構造パラメータからウェハWの表面構造を推定する。
図1に示すシステム制御装置40は、CPU、RAM、ROM等から構成されている。システム制御装置40は、搬送機構60を制御してロードポート50からウェハWを搬出し、該搬出したウェハWをアライメント部70及び減圧処理装置10等に搬送する。システム制御装置40は、各装置10〜30で所定の処理が施された後、ウェハWをロードポート50に搬入する。
次に、図9に示すフローチャートと図10に示す各工程におけるウェハWの断面図とを参照して、この処理システム1の処理動作について、ウェハWに複数のコンタクトホールを形成し、コンタクトホールが形成されたウェハWの表面構造を判別する場合を例に説明する。
まず、ウェハWは、図示しない酸化装置に搬送される。酸化装置は、酸化処理を施してウェハWの表面領域にSiO2層5を形成する。
続いて、表面領域にSiO2層5が形成されたウェハWは、図示しないレジスト塗布装置に搬送される。レジスト塗布装置は、SiO2層5の上にレジストを塗布する。続いて、レジストが塗布されたウェハWは、図示しない露光装置に搬送される。露光装置は、ウェハW表面に塗布されたレジストに露光処理を施す。続いて、露光処理が施されたウェハWは、図示しない現像装置に搬送される。現像装置は、ウェハW表面に塗布されたレジストに現像処理を施して図10(a)に示すコンタクトホール形成用のレジストパターンを形成する。その後、表面にレジストパターンが形成されたウェハWは、現像装置から搬出される。
システム制御装置40は、搬送機構60を制御してロードポート50に収容されているウェハWをアライメント部70に搬送し、アライメント部70は、ウェハWの位置合わせを行う。次に、搬入出口113のゲートバルブ114を開放し、システム制御装置40は、現像装置から搬出したウェハWを減圧処理装置10のチャンバ11内に搬入し、搬入したウェハWをサセプタ12に載置する。その後、システム制御装置40は、搬送機構60をチャンバ11内から退避させ、ゲートバルブ114を閉鎖する。減圧処理装置10は、昇降機構123を駆動することにより、サセプタ支持台121とともにウェハWを載置したサセプタ12を所定位置まで上昇させる。減圧処理装置10は、排気装置112を用いて、チャンバ11内を所定の減圧雰囲気、例えば、0.01Pa以下の圧力まで真空引きする。減圧処理装置10は、サセプタ12に設けられた静電チャックに直流電圧を印加して、ウェハWをサセプタ12に静電吸着する。
減圧処理装置10は、ガス導入管134のバルブ135を開放し、ガス供給源137から供給されるC4F8とアルゴンと酸素とからなるエッチングガスを拡散部132aで拡散した後、ガス孔131aからチャンバ11内に導入する。減圧処理装置10は、サセプタ12に0.1〜13MHzの高周波電圧を、上部電極13に13〜150MHzの高周波電圧を、印加することにより、サセプタ12と上部電極13との間に高密度のプラズマガスを生成する。減圧処理装置10は、生成したプラズマガスを用いて、レジストパターンをマスクとしてウェハWの表面を選択的にエッチングする(ステップS101)。このエッチング処理により、ウェハWのSiO2層5には、図10(b)に示すコンタクトホール7が形成されるとともに、レジスト層6及びコンタクトホール7の表面には、ポリマ8が付着する。
減圧処理装置10は、エッチング処理が終了すると、サセプタ12及び上部電極13への高周波電圧の印加を停止するとともに、ガス導入管134のバルブ135を閉鎖する。また、減圧処理装置10は、サセプタ12への直流電圧の印加を停止するとともに、チャンバ11内を常圧雰囲気に戻す。さらに、減圧処理装置10は、昇降機構123を駆動することにより、サセプタ支持台121とともにウェハWを載置したサセプタ12を所定位置まで下降させる。システム制御装置40は、ゲートバルブ114を開放し、ポリマ8が付着したウェハWを減圧処理装置10から搬出し、搬出した後、ゲートバルブ114を閉鎖する。システム制御装置40は、減圧処理装置10から搬出したウェハWを液処理装置20のチャンバ21に搬入し、搬入したウェハWをスピンチャック22に載置する。
液処理装置20は、載置されたウェハWをスピンチャック22に真空吸着し、真空吸着したウェハWをモータ23により回転させる。液処理装置20は、第1の薬液供給部24から供給される、例えばフッ酸(HF)、硫酸(H2SO4)からなるポリマ除去液及びレジスト層除去液を、第1の薬液吐出ノズル211からモータ23により回転しているウェハWの表面に吐出する。液処理装置20は、回転により生じる遠心力を利用することにより、吐出したポリマ除去液及びレジスト層除去液をウェハWの表面に万遍なく広げ、図10(c)に示すように、ウェハW表面のポリマ8及びレジスト層6を除去する(ステップS102)。
液処理装置20は、第2の薬液供給部25から供給される純水又はIPA液を、第2の薬液吐出ノズル212からモータ23により回転しているウェハWの表面に吐出する。液処理装置20は、回転により生じる遠心力を利用することにより、吐出した純水又はIPA液をウェハWの表面に万遍なく広げ、ポリマ8及びレジスト層6を除去したウェハW表面をリンス洗浄する。液処理装置20は、モータ23によりウェハWをさらに高速に回転させ、リンス洗浄後のウェハWをスピン乾燥する。システム制御装置40は、ポリマ8及びレジスト層6が除去されたウェハWを構造判別装置30に搬入し、搬入したウェハWを構造判別装置30の載置台311に載置する。
光学部31は、発光器312からウェハWに向けて白色光を放射し、偏光子313において放射した白色光を直線偏光に変換し、変換した直線偏光をウェハWに照射する(ステップS103)。光学部31は、ウェハWにおいて反射され、検光子314を透過した偏光を受光器315において受光し、受光した偏光を電気信号に変換し、変換した電気信号を構造判別部32に供給する。
構造判別部32は、供給された電気信号に基づいて反射光の各波長λにおける位相Wp反射光、Ws反射光及び強度Ip反射光、Is反射光を解析する。また、構造判別部32は、数1及び数2を用いて、ウェハWに照射した光の各波長λにおける位相Wp入射光、Ws入射光及び強度Ip入射光、Is入射光と、解析した反射光の各波長λにおける位相Wp反射光、Ws反射光及び強度Ip反射光、Is反射光と、から位相差Δ及び振幅の変位Ψを算出し、位相差分布と振幅の変位分布とを求める(ステップS104)。
構造判別部32は、求めた位相差分布及び振幅の変位分布とライブラリに登録されている各位相差分布及び振幅の変位分布とをパターンマッチングし、ライブラリから該算出した位相差分布及び振幅の変位分布に近似する位相差分布と振幅の変位分布を検索する(ステップS105)。
構造判別部32は、求めた位相差分布及び振幅の変位分布に近似する位相差分布及び振幅の変位分布をライブラリから4つ検索し、求めた位相差分布及び振幅の変位分布と検索した位相差分布及び振幅の変位分布との近似する割合に従って該検索した4つの位相差分布及び振幅の変位分布に対応する構造パラメータを合成し、合成して得られた構造パラメータからウェハWの表面構造を推定する(ステップS106)。
上記処理動作によれば、形状及び組成が不明なポリマ8を除去しているため、処理システム1は、ウェハWの表面における光学定数n値(屈折率)及びk値(吸収係数)を特定して、ウェハWの表面構造をエリプソメトリ法により非破壊で正確に判別することができる。
(第2の実施の形態)
本発明の第2の実施の形態に係る処理システム2について、以下図面を参照して説明する。なお、上記第1の実施の形態に係る処理システム1と同様の構成については、その説明を省略する。
処理システム2は、図11に示すように、減圧処理装置10と、レシピ格納部14と、構造判別装置80と、システム制御装置40と、ロードポート50と、搬送機構60と、アライメント部70と、から構成され、処理基体であるウェハWにエッチング処理を施し、該エッチング処理後のウェハWの表面構造を反射率測定法(Reflectmetry)により判別する。
減圧処理装置10は、減圧雰囲気の下、レジストパターンをマスクとしてウェハWに選択的にエッチング処理を施す。また、減圧処理装置10は、エッチング処理においてレジスト層に形成された変質層及び/又は硬化層(変質硬化層)及びコンタクトホールの底面領域に形成されたダメージ層等の不要部位をインサイチュアッシング処理により除去する。なお、減圧処理装置10は、エッチングガスとしてCF4を、アッシングガスとしてO2を、用いる。
ここで、エッチング処理におけるプラズマの熱やイオンの入射エネルギ等により、形状や組成が変質したレジスト層を変質層、硬化したレジスト層を硬化層、形状や組成が変質したウェハW表面をダメージ層、という。また、インサイチュアッシング処理において、レジスト層全てを除去するのではなく、エッチング処理によりレジスト層に形成された変質硬化層のみを除去し、レジスト層を残すのは、以下の理由に基づくものである。即ち、近年、半導体集積回路の高集積化に伴って高段差、高反射の半導体基板上でのリソグラフィ技術が要求されており、二層あるいは三層に重ねたレジスト層を使って微細パターンの加工を行う必要があることから、レジスト層を残したものである。なお、係る技術については、例えば特開平5−160014号公報等に記載がある。
流量制御装置136は、CPU、ROM等から構成され、内部にRAM等から構成される図示しないメモリと同じく図示しないクロック回路とを備える。流量制御装置136は、図示しない入力部により指示されたウェハWの表面構造に基づいてレシピ格納部14から最適レシピを選択し、選択した最適レシピに登録されているエッチング条件(例えはGr)をメモリに格納し、エッチング条件を(初期)設定する。また、流量制御装置136は、入力部により指示されたウェハWの表面構造を示す構造パタメータと(初期)設定したエッチング条件(Gr)とを後述するシステム制御装置40に供給する。
流量制御装置136は、クロック回路を用いてバルブ135の開放時間を調整することにより、チャンバ11内に設定した流量のエッチングガスを供給する。また、流量制御装置136は、システム制御装置40からエッチング条件(例えば、Gr+ΔG)が供給されると、メモリに格納しているエッチング条件を(Gr)から(Gr+ΔG)に書き換えて、エッチング条件を設定変更する。
レシピ格納部14は、ハードディスクドライブ等、書換可能な記憶媒体から構成され、チャンバ11内に供給するガス流量Gからなるエッチング条件を登録するレシピを複数格納する。
構造判別装置80は、図12に示すように、ウェハWに光を照射し、ウェハWからの反射光を受光する光学部81と、反射光からウェハWの表面構造を判別する構造判別部82と、から構成され、ウェハWの表面構造を反射率測定法により判別する。
この反射率測定法とは、ウェハWに光を照射し、ウェハWに照射した光の強度とウェハWから反射される光の強度との比(反射率)からウェハWの表面構造を判別する判別方法である。
光学部81は、載置台811と、発光器812と、反射鏡813と、レンズ814と、受光器815と、から構成され、ウェハWに光を照射するとともに、ウェハWから反射光を受光する。
載置台811は、ウェハWを載置可能に構成されるとともに、図示しない駆動機構によりXY方向に移動可能に構成されている。
発光器812は、ウェハWに照射する白色光を地面に対して水平に放出する。反射鏡813は、ガラス等から構成され、発光器812から地面に対して水平に放出された白色光を反射し、反射した白色光を垂直下方向にあるウェハWに照射する。レンズ814は、ガラス等から構成され、反射鏡813から入射される白色光を載置台811に載置されたウェハWの表面に集中する。
受光器815は、ウェハWからの反射光を受光し、受光した反射光を電気信号に変換して、変換した電気信号を構造判別部82に供給する。
構造判別部82は、CPU、RAM、ROM等から構成され、ウェハWに照射した光の強度とウェハWから反射される光の強度との比(反射率)からウェハWの表面構造を推定する。また、構造判別部82は、反射率を変数として用いて重回帰分析により構造パラメータを算出することができる所定の重回帰式をROMに格納している。
構造判別部82は、光学部81から供給される電気信号に基づいて反射光の各波長λにおける強度I反射光を解析する。また、構造判別部82は、ウェハWに照射した光の各波長λにおける強度I入射光と、解析した反射光の各波長λにおける強度I反射光と、から反射率(=I反射光/I入射光)を算出する。
構造判別部82は、算出した反射率(=I反射光/I入射光)とROMに格納する所定の重回帰式とを用いて重回帰分析して構造パラメータを算出し、算出した構造パラメータからウェハWの表面構造を推定する。構造判別部82は、算出した構造パラメータをシステム制御装置40に供給する。
システム制御装置40は、減圧処理装置10において指示されたウェハWの表面構造を示す構造パラメータと(初期)設定されたエッチング条件とが供給され、供給された構造パラメータとエッチング条件とをメモリに格納する。
システム制御装置40は、メモリに格納した構造パラメータと構造判別部82から供給された構造パラメータとを比較し、この比較した結果に基づいてメモリに格納したエッチング条件を補正する。システム制御装置40は、補正したエッチング条件を減圧処理装置10に供給することにより、エッチング条件を減圧処置装置10にフィードバックする。
例えばウェハWに複数のコンタクトホールを形成する場合、システム制御装置40は、メモリに格納した構造パラメータと構造判別部82から供給された構造パラメータとを比較することにより、減圧処理装置10において指示されたコンタクトホールの深さ等と、実際に形成されたコンタクトホールの深さ等と、を比較する。
システム制御装置40は、指示されたコンタクトホールの深さよりも、実際に形成されたコンタクトホールの深さの方が浅い場合、チャンバ11に供給するガス流量を増加させるべく、(初期)設定されたエッチング条件GrをGr+ΔGに補正する。システム制御装置40は、補正したエッチング条件(Gr+ΔG)を減圧処理装置10に供給する。
次に、図13に示すフローチャートと図14に示す各工程におけるウェハWの断面図を参照して、この処理システム2の処理動作について、ウェハWに複数のコンタクトホールを形成し、コンタクトホールが形成されたウェハWの表面構造を判別してエッチング条件をフィードバックする場合を例に説明する。
まず、ウェハWは、図示しない酸化装置に搬送される。酸化装置は、酸化処理を施してウェハWの表面領域にSiO2層5を形成する。
続いて、表面領域にSiO2層5が形成されたウェハWは、図示しないレジスト塗布装置に搬送される。レジスト塗布装置は、SiO2層5の上にレジストを塗布する。続いて、レジストが塗布されたウェハWは、図示しない露光装置に搬送される。露光装置は、ウェハW表面に塗布されたレジストに露光処理を施す。続いて、露光処理が施されたウェハWは、図示しない現像装置に搬送される。現像装置は、ウェハW表面に塗布されたレジストに現像処理を施して図14(a)に示すコンタクトホール形成用のレジストパターンを形成する。その後、表面にレジストパターンが形成されたウェハWは、現像装置から搬出される。
システム制御装置40は、搬送機構60を制御してロードポート50に収容されているウェハWをアライメント部70に搬送し、アライメント部70は、ウェハWの位置合わせを行う。次に、搬入出口113のゲートバルブ114を開放し、システム制御装置40は、現像装置から搬出したウェハWを減圧処理装置10のチャンバ11内に搬入し、搬入したウェハWをサセプタ12に載置する。その後、システム制御装置40は、搬送機構60をチャンバ11内から退避させ、ゲートバルブ114を閉鎖する。減圧処理装置10は、昇降機構123を駆動することにより、サセプタ支持台121とともにウェハWを載置したサセプタ12を所定位置まで上昇させる。減圧処理装置10は、排気装置112を用いて、チャンバ11内を所定の減圧雰囲気、例えば、0.01Pa以下の圧力まで真空引きする。減圧処理装置10は、サセプタ12に設けられた静電チャックに直流電圧を印加して、ウェハWをサセプタ12に静電吸着する。
減圧処理装置10は、入力部により指示された複数のコンタクトホール形成用のレジストパターンを備えるウェハWの表面構造に基づいてレシピ格納部14から最適レシピを選択し、選択した最適レシピに登録されているエッチング条件(Gr)をメモリに格納し、エッチング条件を(初期)設定する(ステップS201)。
減圧処理装置10は、入力部により指示されたウェハWの表面構造を示す構造パタメータと設定したエッチング条件(Gr)とをシステム制御装置40に供給する。システム制御装置40は、供給された構造パラメータと(初期)設定されたエッチング条件とをメモリに格納する。
減圧処理装置10は、設定したエッチング条件(Gr)の下、ガス導入管134のバルブ135を開放し、ガス供給源137から供給されるCF4からなるエッチングガスを拡散部132aで拡散した後、ガス孔131aからチャンバ11内に導入する。減圧処理装置10は、サセプタ12と上部電極13とに高周波電圧を印加することにより、サセプタ12と上部電極13との間に高密度のプラズマガスを生成する。減圧処理装置10は、生成したプラズマガスを用いて、レジストパターンをマスクとしてウェハWの表面を選択的にエッチングする(ステップS202)。このエッチング処理により、ウェハWのSiO2層5には、図14(b)に示すコンタクトホール7が形成されるとともに、レジスト層6には変質硬化層9が、コンタクトホール7の底面領域にはダメージ層Aが、形成される。
減圧処理装置10は、エッチング処理が終了すると、サセプタ12及び上部電極13への高周波電圧の印加を停止するとともに、ガス導入管134のバルブ135を閉鎖する。また、減圧処理装置10は、チャンバ11内をインサイチュアッシング雰囲気にする。
減圧処理装置10は、ガス導入管134のバルブ135を開放し、ガス供給源137から供給されるO2からなるアッシングガスを拡散部132aで拡散した後、ガス孔131aからチャンバ11内に導入する。減圧処理装置10は、サセプタ12と上部電極13とに高周波電圧を印加することにより、サセプタ12と上部電極13との間に高密度のプラズマガスを生成する。減圧処理装置10は、生成したプラズマガスを用いて、ウェハWにインサイチュアッシング処理を施すことにより、図14(c)に示すように、レジスト層6に形成された変質硬化層9とコンタクトホール7の底面領域に形成されたダメージ層Aとを除去する(ステップS203)。
減圧処理装置10は、インサイチュアッシング処理が終了すると、サセプタ12及び上部電極13への高周波電圧の印加を停止するとともに、ガス導入管134のバルブ135を閉鎖する。また、減圧処理装置10は、サセプタ12への直流電圧の印加を停止するとともに、チャンバ11内を常圧雰囲気に戻す。さらに、減圧処理装置10は、昇降機構123を駆動することにより、サセプタ支持台121とともにウェハWを載置したサセプタ12を所定位置まで下降させる。システム制御装置40は、ゲートバルブ114を開放し、変質硬化層9とダメージ層Aとが除去されたウェハWを減圧処理装置10から搬出し、搬出した後、ゲートバルブ114を閉鎖する。システム制御装置40は、減圧処理装置10から搬出したウェハWを構造判別装置80に搬入し、搬入したウェハWを載置台811に載置する。
光学部81は、発光器812から地面に対して白色光を水平に放出し、反射鏡813において白色光を垂直下方向に反射させ、反射させた白色光をレンズ814を介してウェハWに照射する(ステップS204)。光学部81は、ウェハWからの反射光を受光し、受光した反射光を電気信号に変換して、変換した電気信号を構造判別部82に供給する。
構造判別部82は、光学部81から供給される電気信号に基づいて反射光の各波長λにおける強度I反射光を解析する。また、構造判別部82は、ウェハWに照射した光の各波長λにおける強度I入射光と、解析した反射光の各波長λにおける強度I反射光と、から反射率(=I反射光/I入射光)を算出する(ステップS205)。
構造判別部82は、算出した反射率(=I反射光/I入射光)とROMに格納する所定の重回帰式とを用いて重回帰分析して構造パラメータを算出する(ステップS206)。
構造判別部82は、算出した構造パラメータから、複数のコンタクトホール7が形成されたウェハWの表面構造を推定する(ステップS207)。構造判別部82は、算出した構造パラメータをシステム制御装置40に供給する。
システム制御装置40は、メモリに格納した構造パラメータと構造判別部82から供給された構造パラメータとを比較することにより、減圧処理装置10において指示されたコンタクトホールの深さ等と、実際に形成されたコンタクトホール6の深さ等と、を比較する(ステップS208)。
システム制御装置40は、指示されたコンタクトホールの深さよりも、実際に形成されたコンタクトホール7の深さの方が浅い場合、チャンバ11に供給するガス流量を増加させるべく、(初期)設定されたエッチング条件GrをGr+ΔGに補正する(ステップS209)。システム制御装置40は、補正したエッチング条件(Gr+ΔG)を減圧処理装置10に供給することにより、エッチング条件を減圧処理装置10にフィードバックする。
減圧処理装置10は、システム制御装置40からエッチング条件(Gr+ΔG)が供給されると、メモリに格納しているエッチング条件を(Gr)から(Gr+ΔG)に書き換えて、エッチング条件を設定変更する(ステップS210)。
上記処理動作によれば、形状及び組成が不明な変質硬化9及びダメージ層Aを除去しているため、処理システム2は、ウェハWの表面における光学定数n値(屈折率)及びk値(吸収係数)を特定して、ウェハWの表面構造を反射率測定法により非破壊で正確に判別することができる。
また、処理システム2は、反射率測定法により正確に判別したウェハWの表面構造から減圧処理装置10に供給するエッチング条件を補正し、エッチング条件をフィードバックすることにより、ウェハWに正確且つ均一なエッチング処理を施すことができる。
(第3の実施の形態)
本発明の第3の実施の形態に係る処理システム3について、以下図面を参照して説明する。なお、上記第1及び第2の実施の形態に係る処理システム1と同様の構成については、その説明を省略する。
処理システム3は、図1に示す処理システム1と同様に、減圧処理装置10と、液処理装置20と、構造判別装置30と、システム制御装置40と、ロードポート50と、搬送機構60と、アライメント部70と、から構成され、処理基体であるウェハWにエッチング処理を施し、該エッチング処理後のウェハWの表面構造をエリプソメトリ法により判別する。
減圧処理装置10は、減圧雰囲気の下、レジストパターンをマスクとしてウェハWに選択的にエッチング処理を施す。また、減圧処理装置10は、ウェハWの表面に付着したポリマ等の不要部位をインサイチュアッシング処理により除去する。なお、減圧処理装置10は、エッチングガスとしてC4F8とアルゴンと酸素との混合ガスを、アッシングガスとしてO2を、用いる。
液処理装置20は、エッチング処理によって形状、組成が著しく変化したレジスト層等の不要部位を除去するとともに、レジスト層6を除去したウェハWをリンス洗浄し、リンス洗浄したウェハWをスピン乾燥する。
構造判別装置30は、光学部31と、構造判別部32と、から構成され、ウェハWの表面構造をエリプソメトリ法により判別する。光学部31は、載置台311と、発光器312と、偏光子313と、検光子314と、受光器315と、から構成され、ウェハWに偏光を照射するとともに、ウェハWから反射された偏光を受光する。
構造判別部32は、ライブラリ格納部321と、解析部322と、から構成され、該ウェハWに照射した偏光とウェハWから反射された偏光との位相差Δ及び振幅の変位ΨからウェハWの表面構造を推定する。
ライブラリ格納部321は、レジストパターンをマスクとしてエッチングし、ポリマ8を除去した後、レジスト層6を除去する前に形成されるものと予測されるウェハWの表面構造を示す構造パラメータと、該表面構造について予め算出した位相差分布及び振幅の変位分布と、を対応付けて登録する第1のライブラリを格納する。
また、ライブラリ格納部321は、レジストパターンをマスクとしてエッチングし、ポリマ8及びレジスト層6を除去した後に形成されるものと予測されるウェハWの表面構造を示す構造パラメータと、該表面構造について予め算出した位相差分布及び振幅の変位分布と、を対応付けて登録する第2のライブラリを格納する。
解析部322は、システム制御装置40からの指示に応じて、使用するライブラリを切り替える。解析部322は、光学部31から供給される電気信号に基づいて反射光の各波長λにおける位相Wp反射光、Ws反射光及び強度Ip反射光、Is反射光を解析する。また、解析部322は、数1及び数2を用いて、ウェハWに照射した光の各波長λにおける位相Wp入射光、Ws入射光及び強度Ip入射光、Is入射光と、解析した反射光の各波長λにおける位相Wp反射光、Ws反射光及び強度Ip反射光、Is反射光と、から位相差Δ及び振幅の変位Ψを算出し、位相差分布及び振幅の変位分布を求める。
解析部322は、該求めた位相差分布及び振幅の変位分布と第1及び第2のライブラリに登録されている各位相差分布及び振幅の変位分布とをパターンマッチングし、第1のライブラリから該求めた位相差分布及び振幅の変位分布に近似する位相差分布と振幅の変位分布を検索し、ウェハWの表面構造の評価が可能か否かを判別する。
解析部322は、求めた位相差分布及び振幅の変位分布と近似する位相差分布及び振幅の変位分布を第1のライブラリから検索すると、該検索した位相差分布及び振幅の変位分布に対応する構造パラメータからウェハWの表面構造を推定する。
一方、解析部322は、例えばエッチング処理によるレジスト層6の形状、組成の変化が著しく、求めた位相差分布及び振幅の変位分布と近似する位相差分布及び振幅の変位分布を第1のライブラリから検索できない場合、ウェハWの表面構造の評価が不能(評価不良)であると判別し、その旨をシステム制御装置40に通知する。
システム制御装置40は、減圧処理装置10においてウェハWにエッチング処理及びインサイチュアッシング処理が施されると、搬送機構60を制御して減圧処理装置10からウェハWを搬出し、該搬出したウェハWを構造判別装置30に搬送するとともに、構造判別装置30が使用するライブラリを第1のライブラリに設定する。
システム制御装置40は、解析部322から評価不能である旨が通知されると、後続するウェハWに対する処理を中断する。システム制御装置40は、搬送機構60を制御して構造判別装置30からウェハWを搬出し、該搬出したウェハWを液処理装置20に搬送する。システム制御装置40は、液処理装置20においてレジスト層6が除去されると、液処理装置20からウェハWを搬出し、該搬出したウェハWを再度、構造判別装置30に搬送するとともに、構造判別装置30が使用するライブラリを第2のライブラリに設定する。
次に、図15に示すフローチャートと図16に示す各工程におけるウェハWの断面図とを参照して、この処理システム3の処理動作について、ウェハWに複数のコンタクトホール7を形成し、コンタクトホール7が形成されたウェハWの表面構造を判別する場合を例に説明する。
まず、ウェハWは、図示しない酸化装置に搬送される。酸化装置は、酸化処理を施してウェハWの表面領域にSiO2層5を形成する。
続いて、表面領域にSiO2層5が形成されたウェハWは、図示しないレジスト塗布装置に搬送される。レジスト塗布装置は、SiO2層5の上にレジストを塗布する。続いて、レジストが塗布されたウェハWは、図示しない露光装置に搬送される。露光装置は、ウェハW表面に塗布されたレジストに露光処理を施す。続いて、露光処理が施されたウェハWは、図示しない現像装置に搬送される。現像装置は、ウェハW表面に塗布されたレジストに現像処理を施して図16(a)に示すコンタクトホール形成用のレジストパターンを形成する。その後、表面にレジストパターンが形成されたウェハWは、現像装置から搬出される。
システム制御装置40は、搬送機構60を制御してロードポート50に収容されているウェハWをアライメント部70に搬送し、アライメント部70は、ウェハWの位置合わせを行う。次に、搬入出口113のゲートバルブ114を開放し、システム制御装置40は、現像装置から搬出したウェハWを減圧処理装置10のチャンバ11内に搬入し、搬入したウェハWをサセプタ12に載置する。その後、システム制御装置40は、搬送機構60をチャンバ11内から退避させ、ゲートバルブ114を閉鎖する。減圧処理装置10は、昇降機構123を駆動することにより、サセプタ支持台121とともにウェハWを載置したサセプタ12を所定位置まで上昇させる。減圧処理装置10は、排気装置112を用いて、チャンバ11内を所定の減圧雰囲気、例えば、0.01Pa以下の圧力まで真空引きする。減圧処理装置10は、サセプタ12に設けられた静電チャックに直流電圧を印加して、ウェハWをサセプタ12に静電吸着する。
減圧処理装置10は、ガス導入管134のバルブ135を開放し、ガス供給源137から供給されるC4F8とアルゴンと酸素とからなるエッチングガスを拡散部132aで拡散した後、ガス孔131aからチャンバ11内に導入する。減圧処理装置10は、サセプタ12に0.1〜13MHzの高周波電圧を、上部電極13に13〜150MHzの高周波電圧を、印加することにより、サセプタ12と上部電極13との間に高密度のプラズマガスを生成する。減圧処理装置10は、生成したプラズマガスを用いて、レジストパターンをマスクとしてウェハWの表面を選択的にエッチングする(ステップS301)。このエッチング処理により、ウェハWのSiO2層5には、図16(b)に示すコンタクトホール7が形成されるとともに、レジスト層6及びコンタクトホール7の表面には、ポリマ8が付着する。
減圧処理装置10は、エッチング処理が終了すると、サセプタ12及び上部電極13への高周波電圧の印加を停止するとともに、ガス導入管134のバルブ135を閉鎖する。また、減圧処理装置10は、チャンバ11内をインサイチュアッシング雰囲気にする。
減圧処理装置10は、ガス導入管134のバルブ135を開放し、ガス供給源137から供給されるO2からなるアッシングガスを拡散部132aで拡散した後、ガス孔131aからチャンバ11内に導入する。減圧処理装置10は、サセプタ12と上部電極13とに高周波電圧を印加することにより、サセプタ12と上部電極13との間に高密度のプラズマガスを生成する。減圧処理装置10は、生成したプラズマガスを用いて、ウェハWにインサイチュアッシング処理を施すことにより、図16(c)に示すように、レジスト層6及びコンタクトホール7の表面に付着したポリマ8を除去する(ステップS302)。
減圧処理装置10は、インサイチュアッシング処理が終了すると、サセプタ12及び上部電極13への高周波電圧の印加を停止するとともに、ガス導入管134のバルブ135を閉鎖する。また、減圧処理装置10は、サセプタ12への直流電圧の印加を停止するとともに、チャンバ11内を常圧雰囲気に戻す。さらに、減圧処理装置10は、昇降機構123を駆動することにより、サセプタ支持台121とともにウェハWを載置したサセプタ12を所定位置まで下降させる。システム制御装置40は、ゲートバルブ114を開放し、ポリマ8が付着したウェハWを減圧処理装置10から搬出し、搬出した後、ゲートバルブ114を閉鎖する。システム制御装置40は、減圧処理装置10から搬出したウェハWをポリマ8が除去されたウェハWを構造判別部30に搬送し、搬送したウェハWを構造判別部30の載置台311に載置するとともに、構造判別装置30が使用するライブラリを第1のライブラリに設定する(ステップS303)。
光学部31は、発光器312からウェハWに向けて白色光を放射し、偏光子313において放射した白色光を直線偏光に変換し、変換した直線偏光をウェハWに照射する(ステップS304)。光学部31は、ウェハWにおいて反射され、検光子314を透過した偏光を受光器315において受光し、受光した偏光を電気信号に変換し、変換した電気信号を構造判別部32に供給する。
構造判別部32は、供給された電気信号に基づいて反射光の各波長λにおける位相Wp反射光、Ws反射光及び強度Ip反射光、Is反射光を解析する。また、構造判別部32は、数1及び数2を用いて、ウェハWに照射した光の各波長λにおける位相Wp入射光、Ws入射光及び強度Ip入射光、Is入射光と、解析した反射光の各波長λにおける位相Wp反射光、Ws反射光及び強度Ip反射光、Is反射光と、から位相差Δ及び振幅の変位Ψを算出し、位相差分布と振幅の変位分布とを求める(ステップS305)。
構造判別部32は、求めた位相差分布及び振幅の変位分布と第1のライブラリに登録されている各位相差分布及び振幅の変位分布とをパターンマッチングし、第1のライブラリから該算出した位相差分布及び振幅の変位分布に近似する位相差分布と振幅の変位分布を検索し、ウェハWの表面構造の評価が可能か否かを判別する(ステップS306)。
構造判別部32は、求めた位相差分布及び振幅の変位分布と近似する位相差分布及び振幅の変位分布を第1のライブラリから検索すると(ステップS306にてYES)、該検索した位相差分布及び振幅の変位分布に対応する構造パラメータからウェハWの表面構造を推定する(ステップS307)。
一方、構造判別部32は、例えばエッチング処理によるレジスト層6の形状、組成の変化が著しく、求めた位相差分布及び振幅の変位分布と近似する位相差分布及び振幅の変位分布を第1のライブラリから検索できない場合(ステップS306にてNO)、ウェハWの表面構造の評価が不能であると判別し、その旨をシステム制御装置40に通知する。システム制御装置40は、構造判別部32から評価不能である旨が通知されると、搬送機構60を制御して構造判別装置30からウェハWを搬出し、該搬出したウェハWを液処理装置20に搬入し、搬入したウェハWをスピンチャック22に載置する。
液処理装置20は、載置されたウェハWをスピンチャック22に真空吸着し、真空吸着したウェハWをモータ23により回転させる。液処理装置20は、第1の薬液供給部24から供給される、例えばフッ酸(HF)、硫酸(H2SO4)からなるレジスト層除去液を、第1の薬液吐出ノズル211からモータ23により回転しているウェハWの表面に吐出する。液処理装置20は、回転により生じる遠心力を利用することにより、吐出したレジスト層除去液をウェハWの表面に万遍なく広げ、図16(d)に示すように、ウェハW表面のレジスト層6を除去する(ステップS308)。
液処理装置20は、第2の薬液供給部25から供給される純水又はIPA液を、第2の薬液吐出ノズル212からモータ23により回転しているウェハWの表面に吐出する。液処理装置20は、回転により生じる遠心力を利用することにより、吐出した純水又はIPA液をウェハWの表面に万遍なく広げ、レジスト層6を除去したウェハW表面をリンス洗浄する。液処理装置20は、モータ23によりウェハWをさらに高速に回転させ、リンス洗浄後のウェハWをスピン乾燥する。システム制御装置40は、レジスト層6が除去されたウェハWを構造判別装置30に搬送し、搬送したウェハWを構造判別装置30の載置台311に載置するとともに、構造判別装置30が使用するライブラリを第2のライブラリに設定する(ステップS309)。
光学部31は、発光器312からウェハWに向けて白色光を放射し、偏光子313において放射した白色光を直線偏光に変換し、変換した直線偏光をウェハWに照射する(ステップS310)。光学部31は、ウェハWにおいて反射され、検光子314を透過した偏光を受光器315において受光し、受光した偏光を電気信号に変換し、変換した電気信号を構造判別部32に供給する。
構造判別部32は、供給された電気信号に基づいて反射光の各波長λにおける位相Wp反射光、Ws反射光及び強度Ip反射光、Is反射光を解析する。また、構造判別部32は、数1及び数2を用いて、ウェハWに照射した光の各波長λにおける位相Wp入射光、Ws入射光及び強度Ip入射光、Is入射光と、解析した反射光の各波長λにおける位相Wp反射光、Ws反射光及び強度Ip反射光、Is反射光と、から位相差Δ及び振幅の変位Ψを算出し、位相差分布と振幅の変位分布とを求める(ステップS311)。
構造判別部32は、求めた位相差分布及び振幅の変位分布と第1のライブラリに登録されている各位相差分布及び振幅の変位分布とをパターンマッチングし、第2のライブラリから該算出した位相差分布及び振幅の変位分布に近似する位相差分布と振幅の変位分布を検索する(ステップS312)。
また、構造判別部32は、該検索した位相差分布及び振幅の変位分布に対応する構造パラメータからウェハWの表面構造を推定する(ステップS307)。
上記処理動作によれば、形状及び組成が不明なポリマ8を除去しているため、処理システム3は、ウェハWの表面における光学定数n値(屈折率)及びk値(吸収係数)を特定して、ウェハWの表面構造をエリプソメトリ法により非破壊で正確に判別することができる。
また、処理システム3は、毎回レジスト層6を除去してウェハWの表面構造を判別するのではなく、ウェハWに塗布されたレジスト層6の形状、組成の変化が著しい場合等、レジスト層6を塗布した状態ではウェハWの表面構造を特定できない場合にのみ、レジスト層6を除去してウェハWの表面構造を判別するので、処理全体のスループットの向上を図ることができる。
(第4の実施の形態)
本発明の第4の実施の形態に係る処理システム4について、以下図面を参照して説明する。なお、上記第1、第2及び第3の実施の形態に係る処理システム1、2及び3と同様の構成については、その説明を省略する。
処理システム4は、図17に示すように、減圧処理装置10と、レシピ格納部14と、液処理装置20と、構造判別装置80と、システム制御装置40と、ロードポート50と、搬送機構60と、アライメント部70と、から構成され、処理基体であるウェハWにエッチング処理を施し、該エッチング処理後のウェハWの表面構造を反射率測定法により判別する。
減圧処理装置10は、減圧雰囲気の下、レジストパターンをマスクとしてウェハWに選択的にエッチング処理を施す。なお、減圧処理装置10は、エッチングガスとして、C4F8とアルゴンと酸素との混合気体を用いる。
液処理装置20は、ウェハWの表面上に付着したポリマ8等の不要部位を除去するとともに、ポリマ8を除去したウェハWをリンス洗浄し、リンス洗浄したウェハWをスピン乾燥する。
構造判別装置80は、光学部81と、構造判別部82と、から構成され、ウェハWの表面構造を反射率測定法により判別する。光学部81は、載置台811と、発光器812と、反射鏡813と、レンズ814と、受光器815と、から構成され、ウェハWに光を照射するとともに、ウェハWから反射光を受光する。
構造判別部82は、システム制御装置40からの指示に応じて、使用する重回帰式を切り替える。構造判別部82は、ウェハWに照射した光の強度とウェハWから反射される光の強度との比(反射率)からウェハWの表面構造を推定する。また、構造判別部82は、反射率を変数として用いて重回帰分析により構造パラメータを算出することができる所定の重回帰式をROMに格納している。
この重回帰式には、エッチング処理後、ウェット処理前のウェハW(表面にポリマが付着しているウェハW)の構造パラメータを算出する第1の重回帰式と、エッチング処理及びウェット処理後のウェハW(ポリマが除去されたウェハW)の構造パラメータを算出する第2の重回帰式と、がある。
構造判別部82は、光学部81から供給される電気信号に基づいて反射光の各波長λにおける強度I反射光を解析する。また、構造判別部82は、ウェハWに照射した光の各波長λにおける強度I入射光と、解析した反射光の各波長λにおける強度I反射光と、から反射率(=I反射光/I入射光)を算出する。
構造判別部82は、算出した反射率(=I反射光/I入射光)とROMに格納する第1の重回帰式とを用いて重回帰分析する。構造判別部82は、重回帰分析により構造パラメータを所定期間内に算出できた場合、該算出した構造パラメータからウェハWの表面構造を推定する。構造判別部82は、算出した構造パラメータをシステム制御装置40に供給する。
一方、構造判別部82は、例えばエッチング処理によりウェハW表面に付着したポリマ等のため、重回帰分析により構造パラメータを所定期間内に算出できない場合、ウェハWの表面構造の評価が不能(評価不良)であると判別し、その旨をシステム制御装置40に通知する。
システム制御装置40は、減圧処理装置10においてウェハWにエッチング処理が施されると、搬送機構60を制御して減圧処理装置10からウェハWを搬出し、該搬出したウェハWを構造判別装置80に搬送するとともに、構造判別装置80が使用する重回帰式を第1の重回帰式に設定する。
システム制御装置40は、構造判別部82から評価不能である旨が通知されると、後続するウェハWに対する処理を中断する。システム制御装置40は、搬送機構60を制御して構造判別装置80からウェハWを搬出し、該搬出したウェハWを液処理装置20に搬送する。システム制御装置40は、液処置装置20においてレジスト層6が除去されると、液処置装置20からウェハWを搬出し、該搬出したウェハWを再度、構造判別装置80に搬送するとともに、構造判別装置80が使用する重回帰式を第2の重回帰式に設定する。
システム制御装置40は、構造判別装置80において評価された表面構造に基づいて、減圧処理装置10のエッチング条件が設定変更されると、後続するウェハWに対する処理を再開する。
次に、図18及び図19に示すフローチャートと図20に示す各工程におけるウェハWの断面図を参照して、この処理システム4の処理動作について、ウェハWに複数のコンタクトホール7を形成し、コンタクトホール7が形成されたウェハWの表面構造を判別してエッチング条件をフィードバックする場合を例に説明する。
まず、ウェハWは、図示しない酸化装置に搬送される。酸化装置は、酸化処理を施してウェハWの表面領域にSiO2層5を形成する。
続いて、表面領域にSiO2層5が形成されたウェハWは、図示しないレジスト塗布装置に搬送される。レジスト塗布装置は、SiO2層5の上にレジストを塗布する。続いて、レジストが塗布されたウェハWは、図示しない露光装置に搬送される。露光装置は、ウェハW表面に塗布されたレジストに露光処理を施す。続いて、露光処理が施されたウェハWは、図示しない現像装置に搬送される。現像装置は、ウェハW表面に塗布されたレジストに現像処理を施して図20(a)に示すコンタクトホール形成用のレジストパターンを形成する。その後、表面にレジストパターンが形成されたウェハWは、現像装置から搬出される。
システム制御装置40は、搬送機構60を制御してロードポート50に収容されているウェハWをアライメント部70に搬送し、アライメント部70は、ウェハWの位置合わせを行う。次に、搬入出口113のゲートバルブ114を開放し、システム制御装置40は、現像装置から搬出したウェハWを減圧処理装置10のチャンバ11内に搬入し、搬入したウェハWをサセプタ12に載置する。その後、システム制御装置40は、搬送機構60をチャンバ11内から退避させ、ゲートバルブ114を閉鎖する。減圧処理装置10は、昇降機構123を駆動することにより、サセプタ支持台121とともにウェハWを載置したサセプタ12を所定位置まで上昇させる。減圧処理装置10は、排気装置112を用いて、チャンバ11内を所定の減圧雰囲気、例えば、0.01Pa以下の圧力まで真空引きする。減圧処理装置10は、サセプタ12に設けられた静電チャックに直流電圧を印加して、ウェハWをサセプタ12に静電吸着する。
減圧処理装置10は、入力部により指示された複数のコンタクトホールを備えるウェハWの表面構造に基づいてレシピ格納部14から最適レシピを選択し、選択した最適レシピに登録されているエッチング条件(Gr)をメモリに格納し、エッチング条件を(初期)設定する(ステップS401)。
減圧処理装置10は、入力部により指示されたウェハWの表面構造を示す構造パタメータと設定したエッチング条件(Gr)とをシステム制御装置40に供給する。システム制御装置40は、供給された構造パラメータと(初期)設定されたエッチング条件とをメモリに格納する。
減圧処理装置10は、設定したエッチング条件(Gr)の下、ガス導入管134のバルブ135を開放し、ガス供給源137から供給されるC4F8とアルゴンと酸素との混合気体を拡散部132aで拡散した後、ガス孔131aからチャンバ11内に導入する。減圧処理装置10は、サセプタ12と上部電極13とに高周波電圧を印加することにより、サセプタ12と上部電極13との間に高密度のプラズマガスを生成する。減圧処理装置10は、生成したプラズマガスを用いて、レジストパターンをマスクとしてウェハWの表面を選択的にエッチングする(ステップS402)。このエッチング処理により、ウェハWのSiO2層5には、図20(b)に示すコンタクトホール7が形成されるとともに、レジスト層6及びコンタクトホール7の表面には、ポリマ8が付着する。
減圧処理装置10は、エッチング処理が終了すると、サセプタ12及び上部電極13への高周波電圧の印加を停止するとともに、ガス導入管134のバルブ135を閉鎖する。また、減圧処理装置10は、サセプタ12への直流電圧の印加を停止するとともに、チャンバ11内を常圧雰囲気に戻す。さらに、減圧処理装置10は、昇降機構123を駆動することにより、サセプタ支持台121とともにウェハWを載置したサセプタ12を所定位置まで下降させる。システム制御装置40は、ゲートバルブ114を開放し、ポリマ8が付着したウェハWを減圧処理装置10から搬出し、搬出した後、ゲートバルブ114を閉鎖する。システム制御装置40は、減圧処理装置10から搬出したウェハWを構造判別装置80に搬入し、搬入したウェハWを載置台811に載置するとともに、構造判別装置80が使用する重回帰式を第1の重回帰式に設定する(ステップS403)。
光学部81は、発光器812から地面に対して白色光を水平に放出し、反射鏡813において白色光を垂直下方向に反射させ、反射させた白色光をレンズ814を介してウェハWに照射する(ステップS404)。光学部81は、ウェハWからの反射光を受光し、受光した反射光を電気信号に変換して、変換した電気信号を構造判別部82に供給する。
構造判別部82は、光学部81から供給される電気信号に基づいて反射光の各波長λにおける強度I反射光を解析する。また、構造判別部82は、ウェハWに照射した光の各波長λにおける強度I入射光と、解析した反射光の各波長λにおける強度I反射光と、から反射率(=I反射光/I入射光)を算出する(ステップS405)。
構造判別部82は、算出した反射率(=I反射光/I入射光)とシステム制御装置40の指示に基づいて設定した第1の重回帰式とを用いて重回帰分析する(ステップS406)。
構造判別部82は、重回帰分析により構造パラメータを所定期間内に算出できた場合(ステップS407にてYES)、該算出した構造パラメータからウェハWの表面構造を推定する(ステップS501)。構造判別部82は、算出した構造パラメータをシステム制御装置40に供給する。
システム制御装置40は、メモリに格納した構造パラメータと構造判別部82から供給された構造パラメータとを比較することにより、減圧処理装置10において指示されたコンタクトホールの深さ等と、実際に形成されたコンタクトホール7の深さ等と、を比較する(ステップS502)。
システム制御装置40は、指示されたコンタクトホールの深さよりも、実際に形成されたコンタクトホール7の深さの方が浅い場合、チャンバ11に供給するガス流量を増加させるべく、(初期)設定されたエッチング条件GrをGr+ΔGに補正する(ステップS503)。システム制御装置40は、補正したエッチング条件(Gr+ΔG)を減圧処理装置10に供給することにより、エッチング条件を減圧処理装置10にフィードバックする。
減圧処理装置10は、システム制御装置40からエッチング条件(Gr+ΔG)が供給されると、メモリに格納しているエッチング条件を(Gr)から(Gr+ΔG)に書き換えて、エッチング条件を設定変更する(ステップS504)。
一方、構造判別部82は、例えばエッチング処理によるレジスト層の形状、組成の変化が著しい等のため、重回帰分析により構造パラメータを所定期間内に算出できない場合(ステップS407にてNO)、ウェハWの表面構造の評価が不能であると判別し、その旨をシステム制御装置40に通知する。システム制御装置40は、構造判別部82から評価不能である旨が通知されると、後続するウェハWに対する処理を中断する。また、システム制御装置40は、搬送機構60を制御して構造判別装置80からウェハWを搬出し、該搬出したウェハWを液処理装置20に搬入し、搬入したウェハWをスピンチャック22に載置する。
液処理装置20は、載置されたウェハWをスピンチャック22に真空吸着し、真空吸着したウェハWをモータ23により回転させる。液処理装置20は、第1の薬液供給部24から供給される、例えばフッ酸(HF)、硫酸(H2SO4)からなるポリマ除去液を、第1の薬液吐出ノズル211からモータ23により回転しているウェハWの表面に吐出する。液処理装置20は、回転により生じる遠心力を利用することにより、吐出したポリマ除去液をウェハWの表面に万遍なく広げ、図20(c)に示すように、ウェハW表面に付着したポリマ8を除去する(ステップS408)。
液処理装置20は、第2の薬液供給部25から供給される純水又はIPA液を、第2の薬液吐出ノズル212からモータ23により回転しているウェハWの表面に吐出する。液処理装置20は、回転により生じる遠心力を利用することにより、吐出した純水又はIPA液をウェハWの表面に万遍なく広げ、ポリマ8を除去したウェハW表面をリンス洗浄する。液処理装置20は、モータ23によりウェハWをさらに高速に回転させ、リンス洗浄後のウェハWをスピン乾燥する。システム制御装置40は、ポリマ8が除去されたウェハWを構造判別装置80に搬送し、搬送したウェハWを構造判別装置80の載置台81に載置するとともに、構造判別装置80が使用する重回帰式を第2の重回帰式に設定する(ステップS409)。
光学部81は、発光器812から地面に対して白色光を水平に放出し、反射鏡813において白色光を垂直下方向に反射させ、反射させた白色光をレンズ814を介してウェハWに照射する(ステップS410)。光学部81は、ウェハWからの反射光を受光し、受光した反射光を電気信号に変換して、変換した電気信号を構造判別部82に供給する。
構造判別部82は、光学部81から供給される電気信号に基づいて反射光の各波長λにおける強度I反射光を解析する。また、構造判別部82は、ウェハWに照射した光の各波長λにおける強度I入射光と、解析した反射光の各波長λにおける強度I反射光と、から反射率(=I反射光/I入射光)を算出する(ステップS411)。
構造判別部82は、算出した反射率(=I反射光/I入射光)とシステム制御装置40の指示に基づいて設定した第2の重回帰式とを用いて重回帰分析し、構造パラメータを算出する(ステップS412)。
構造判別部82は、算出した構造パラメータから、複数のコンタクトホール7が形成されたウェハWの表面構造を推定する(ステップS501)。構造判別部82は、算出した構造パラメータをシステム制御装置40に供給する。
システム制御装置40は、メモリに格納した構造パラメータと構造判別部82から供給された構造パラメータとを比較することにより、減圧処理装置10において指示されたコンタクトホールの深さ等と、実際に形成されたコンタクトホール7の深さ等と、を比較する(ステップS502)。
システム制御装置40は、指示されたコンタクトホールの深さよりも、実際に形成されたコンタクトホール7の深さの方が浅い場合、チャンバ11に供給するガス流量を増加させるべく、(初期)設定されたエッチング条件GrをGr+ΔG’に補正する(ステップS503)。システム制御装置40は、補正したエッチング条件(Gr+ΔG’)を減圧処理装置10に供給することにより、エッチング条件を減圧処理装置10にフィードバックする。
減圧処理装置10は、システム制御装置40からエッチング条件(Gr+ΔG’)が供給されると、メモリに格納しているエッチング条件を(Gr)から(Gr+ΔG’)に書き換えて、エッチング条件を設定変更する(ステップS504)。また、システム制御装置40は、エッチング条件が設定変更されると、後続するウェハWに対する処理を再開する。
上記処理動作によれば、形状及び組成が不明なポリマ8を除去しているため、処理システム4は、ウェハWの表面における光学定数n値(屈折率)及びk値(吸収係数)を特定して、ウェハWの表面構造を反射率測定法により非破壊で正確に判別することができる。
また、処理システム4は、反射率測定法により正確に判別したウェハWの表面構造から減圧処理装置10に供給するエッチング条件を補正し、エッチング条件をフィードバックすることにより、ウェハWに正確且つ均一なエッチング処理を施すことができる。
さらに、処理システム4は、毎回ポリマ8を除去してウェハWの表面構造を判別するのではなく、ポリマ8が付着した状態ではウェハWの表面構造を特定できない場合にのみ、ポリマ8を除去してウェハWの表面構造を判別するので、処理全体のスループットの向上を図ることができる。
また、処理システム4は、ポリマ8が付着した状態ではウェハWの表面構造を特定できない場合、後続するウェハWに対する処理を中断することにより、高い歩留まりでウェハWを製造することができる。
なお、本発明は、上記の実施の形態に限られず、種々の変形、応用が可能である。以下、本発明に適用可能な上記の実施の形態の変形態様について説明する。
上記第1、第2、第3及び第4の実施の形態において、処理システム1、2、3及び4は、エッチング処理後のウェハWの表面構造(形状)を評価していた。しかし、本発明は、これに限定されず、処理システム1、2、3及び4は、イオン注入後のウェハWの表面構造(イオン濃度)を評価してもよい。
また、上記第1、第2、第3及び第4の実施の形態において、構造判別装置30及び80は、複数のコンタクトホールが形成されたウェハWの表面構造を判別していた。しかし、本発明は、これに限定されず、構造判別装置30及び80は、STI(Shallow Trench Isolation)を備えるウェハWやゲートエッチされたウェハWの表面構造を評価してもよい。
さらに、上記第1、第2、第3及び第4の実施の形態において、発光器312及び812には、キセノンランプを用いた。しかし、本発明は、これに限定されず、重水素ランプ等、白色光を発光するランプであれば任意である。
また、上記第1及び第3の実施の形態におけるスキャトロメトリ法は、エリプソメトリ法であり、上記第2及び第4の実施の形態におけるスキャトロメトリ法は、反射率測定法であった。しかし、本発明は、これに限定されず、スキャトロメトリ法は、ウェハWに光を照射することにより得られた反射光の位相、強度等からウェハWの表面構造を判別できるものであれば任意である。
さらに、上記第1及び第3の実施の形態において、解析部322は、求めた位相差分布及び振幅の変位分布とパターンマッチングしてライブラリから検索した位相差分布と振幅の変位分布を補正し、補正した構造パラメータからウェハWの表面構造を推定していた。しかし、本発明は、これに限定されず、解析部322は、求めた位相差分布及び振幅の変位分布とライブラリに登録されている位相差分布及び振幅の変位分布とをパターンマッチングし、ライブラリから該求めた位相差分布及び振幅の変位分布に近似する位相差分布と振幅の変位分布とを検索し、検索した位相差分布と振幅の変位分布とに対応する構造パラメータが所定の収束範囲(GOF)にあるとき、該構造パラメータからウェハWの構造パラメータを推測してもよい。また、解析部322は、算出した位相差Δ及び振幅の変位Ψを重回帰分析して構造パラメータを算出し、算出した構造パラメータからウェハWの表面構造を推定してもよい。
また、上記第2及び第4の実施の形態において、構造判別部82は、算出した反射率を重回帰分析して構造パラメータを算出し、算出した構造パラメータからウェハWの表面構造を推定していた。しかし、本発明は、これに限定されず、構造判別部82は、求めた位相差分布及び振幅の変位分布とライブラリに登録されている位相差分布及び振幅の変位分布とをパターンマッチングし、ライブラリから該求めた位相差分布及び振幅の変位分布に近似する位相差分布と振幅の変位分布とを検索し、検索した位相差分布と振幅の変位分布とに対応する構造パラメータが所定の収束範囲(GOF)にあるとき、該構造パラメータからウェハWの構造パラメータを推測してもよい。また、構造判別部82は、算出した反射率から反射率分布を求め、反射率分布とパターンマッチングしてライブラリから検索した反射率分布を補正し、補正した構造パラメータからウェハWの表面構造を推定してもよい。
さらに、上記第2及び第4の実施の形態において、エッチング条件のパラメータをエッチングガスの流量とした。しかし、本発明は、これに限定されず、エッチング条件のパラメータとして、チャンバ11内の圧力、第1及び第2の高周波電源の電力及び周波数、ガス種、サセプタ12の温度、サセプタ12と上部電極13とのギャップ等を用いてもよい。
また、上記第1の実施の形態において、処理システム1は、ウェハWの表面構造を判別するのみであった。しかし、本発明は、これに限定されず、処理システム1は、判別したウェハWの表面構造に基づいて、チャンバ11内の圧力、エッチングガスの流量等のエッチング条件を補正し、該補正したエッチング条件を減圧処理装置10にフィードバックしてもよい。
さらに、上記第1の実施の形態において、液処理装置20は、ウェハW表面のポリマ8及びレジスト層6を除去していた。しかし、本発明は、これに限定されず、液処理装置20は、ウェハWに付着したポリマ8のみを除去してもよい。
また、上記第2の実施の形態において、減圧処理装置10は、レジスト層6の表面領域に形成された変質硬化層9及びコンタクトホール7の底面領域に形成されたダメージ層Aのみを除去していた。しかし、本発明は、これに限定されず、減圧処理装置10は、変質硬化層9及びダメージ層Aとともにレジスト層6を除去してもよい。
さらに、上記第2の実施の形態において、減圧処理装置10は、レジスト層6に形成された変質硬化層9及びコンタクトホール7の底面領域に形成されたダメージ層Aをアッシング処理により除去した。しかし、本発明は、これに限定されず、CF4とO2との混合ガスを用いて変質硬化層9及びダメージ層Aにライトエッチング処理を施すことにより除去してもよい。
また、上記第2、第3及び第4の実施の形態において、同一の減圧処理装置10でウェハWにエッチング処理とアッシング処理とを施していた。しかし、本発明は、これに限定されず、減圧処理装置10においてウェハWにエッチング処理を施し、減圧処理装置10とは別の減圧処理装置においてウェハWにアッシング処理を施してもよい。
(第5の実施の形態)
本発明の第5の実施の形態に係る処理システム5について,以下図面を参照して説明する。
処理システム5は,例えば上述した処理システム2と同様の構成を有し,例えば図21に示すようにエッチング処理装置としての減圧処理装置10と,表面構造測定装置(構造測定装置)としての構造判別装置80と,制御装置としてのシステム制御装置40と,ロードポート50と,搬送機構60と,アライメント部70を備えている。処理システム5は,被処理体であるウェハにエッチング処理を施し,該エッチング処理後のウェハW表面に形成されたパターン構造(ウェハWの表面構造)の寸法を反射率測定法により測定できる。
減圧処理装置10は,減圧雰囲気の下,レジストパターンをマスクとしてウェハWに選択的にエッチング処理を施すことができる。なお,減圧処理装置10は,エッチングガスとしてC4F8とアルゴンと酸素との混合ガスを用いる。
構造判別装置80は,測定部350と,演算部351を備え,例えばウェハW表面に形成されたパターン構造の寸法を反射率測定法により測定できる。測定部350は,例えば上記光学部81と同様の構成を有し,例えば載置台811と,発光器812と,反射鏡813と,レンズ814と,受光器815などを備え,ウェハWに光を照射するとともに,ウェハWからの反射光を受光できる。
演算部351は,例えばCPU,HD,メモリ等を有しており,例えば測定部350からの反射光の情報に基づいて,ウェハ表面のパターン構造の寸法,例えばパターンの実際の溝の深さや線幅などを算出できる。
システム制御装置40は,例えばレシピ格納部352,装置パラメータ制御部353,演算部354,記憶部355などを備えている。記憶部355には,例えばウェハ処理の各レシピ毎に,エッチング処理後のウェハ表面のパターン構造の寸法の許容値が格納されている。例えばシステム制御装置40の記憶部355には,エッチング処理後のウェハ表面のパターン構造の深さ方向の所定の寸法と水平方向の所定の寸法の許容値が格納されている。
レシピ格納部352には,エッチング処理に必要な装置パラメータ,例えば処理ガスのガス流量,高周波電源のパワー値等のレシピが複数格納されている。
装置パラメータ制御部353は,レシピ格納部352に格納された装置パラメータを流量制御装置136や高周波電源124,139などに送信し,各装置パラメータの設定を変更できる。
システム制御装置40の演算部354は,構造判別装置80で算出されたウェハ表面のパターン構造の寸法と,記憶部355に格納されている許容値とを比較し,この比較結果に基づいて減圧処理装置10におけるエッチング処理の継続又は中断を決定できる。システム制御装置40は,例えば処理システム5に設けられた図示しない表示部に継続時の継続メッセージと中断時のエラーメッセージを表示できる。
なお,上記第2の実施の形態に係る処理システム2と同様の構成については,その説明を省略する。
次に,この処理システム5で行われる処理について説明する。図22は,かかる処理のフローチャートである。先ず,例えば図23(a)に示すようにウェハ表面上に被エッチング膜900と線状にパターン化されたレジスト膜901のみが形成されている単純構造のテストウェハTがロードポート50に収容される。続いて,テストウェハTは,搬送機構60によりアライメント部70に搬送され,位置合わせされた後,減圧処理装置10に搬送される。減圧処理装置10内に搬入されたテストウェハTは,上述した実施の形態と同様に所定のエッチング処理条件でエッチング処理される(図22中のS601)。このエッチング処理により図23(b)に示すように被エッチング膜900とレジスト膜901が削られる。
減圧処理装置10においてエッチング処理が終了したテストウェハTは,搬送機構60により構造判別装置80に搬送され,測定部350及び算出部351によって,エッチング処理後のテストウェハTのパターン構造の寸法,例えば被エッチング膜900の深さ方向の深さ寸法Hと被エッチング膜900及びレジスト膜901の線幅Dの2次元方向の寸法が測定される(図22中のS602)。
システム制御装置40の記憶部355には,予め例えば被エッチング膜900の深さ寸法Hの許容値Hと,被エッチング膜900の線幅Dの許容値Dが設定されている。構造判別装置80からシステム制御装置40に深さ寸法Hと線幅Dの測定結果が出力されると,システム制御装置40の演算部354では,出力された深さ寸法H及び線幅Dと各許容値H,Dとが比較される(図22中のS603)。システム制御装置40の演算部354では,例えば深さ寸法H又は線幅Dのいずれかが許容値を超えている場合には,減圧処理装置10におけるエッチング処理の中断が決定され,エラーメッセージが表示される。一方,システム制御装置40の演算部354では,例えば深さ寸法H又は線幅Dのいずれも許容値を超えていない場合には,減圧処理装置10におけるエッチング処理の継続が決定され,その継続メッセージが表示される(図22中のS604)。
構造判別装置80においてウェハ表面のパターン構造の寸法が測定されたテストウェハTは,搬送機構60によりロードポート50に回収される。
第5の実施の形態によれば,反射率測定法によりウェハ表面のパターン構造の深さ方向の寸法と水平方向の寸法を同時に測定できる。それ故,2方向の寸法を順に測定していた従来に比べてパターン構造の寸法の測定を迅速に行うことができる。また,ウェハWを破壊することなく,ウェハ表面のパターン構造の寸法を測定できる。
ところで,従来のように走査型電子顕微鏡を用いてウェハWを平面からみた写像によりウェハ表面のパターン構造を把握すると,例えば被エッチング膜の線幅が下に行くにつれて広がったり,被エッチング膜の上端部の線幅が他の部分に比べて広がっている場合に,その広がっている部分が線幅として把握されるため,被エッチング膜の所望の位置の線幅を測定することができなかった。本発明によれば,反射率測定法を用いるので,被エッチング膜の形状変動によらず,常に被エッチング膜の所望の位置の線幅を測定できる。したがって,ウェハ表面のパターン構造の寸法を正確に測定できる。
また,上述のように正確に測定されたウェハ表面のパターン構造の寸法と予め設定された許容値を比較し,当該比較結果に基づいてエッチング処理を継続又は中断できるので,減圧処理装置10におけるエッチング処理の適否を自動で判断し,減圧処理装置10を自動で管理できる。このため,人によって管理された場合に起こる人為的なミスが防止される。
また,かかる実施の形態によれば,エッチング処理後のウェハ表面のパターン構造の寸法測定に,通常の製品ウェハよりも単純なパターン構造を有するテストウェハTを用いたので,より安価なウェハを用いてウェハ表面のパターン構造の寸法を測定できる。
かかる第5の実施の形態では,反射率測定法によりウェハ表面のパターン構造の寸法を測定していたが,他のスキャトロメトリ法,例えばエリプソメトリ法により表面構造の寸法を測定してもよい。また,上記実施の形態では,テストウェハTの表面の線状のパターンを形成していたが,コンタクトホールなどの他のパターン構造を形成し,そのパターン構造の寸法を測定してもよい。上記実施の形態では,テストウェハTの表面の深さ方向と水平方向の2次元方向の寸法を測定していたが,3次元方向の寸法を測定してもよい。例えばテストウェハTの表面にコンタクトホールを形成した場合には,コンタクトホールの深さと縦横の径の長さを測定してもよい。
上記第5の実施の形態では,テストウェハTのパターン構造の寸法を測定して,エッチング処理の継続又は中断を決定するのみであったが,テストウェハTのパターン構造の寸法が許容値から外れている場合には,その寸法の測定値に基づいて,例えばチャンバ11内の圧力,エッチング時間,エッチングガスの流量等のエッチング処理条件を補正し,該補正したエッチング条件を減圧処理装置10にフィードフォワードしてもよい。
(第6の実施の形態)
本発明の第6の実施の形態に係る処理システム6について,以下図面を参照して説明する。
処理システム6は,例えば図21に示した処理システム5と同様の構成を有し,エッチング処理装置としての減圧処理装置10と,表面構造測定装置(構造測定装置)としての構造判別装置80と,制御装置としてのシステム制御装置40と,ロードポート50と,搬送機構60と,アライメント部70を備えている。処理システム6は,被処理体であるウェハW表面のエッチング処理前のパターン構造(表面構造)の寸法を例えば反射率測定法により測定できる。
減圧処理装置10は,例えば減圧雰囲気の下,所定の設定時間,レジストパターンをマスクとしてウェハWに選択的にエッチング処理を施すことができる。減圧処理装置10のチャンバ11内には,例えば流量制御装置136等を介してエッチングガスとしての酸素ガスを所定の流量で供給できる。
構造判別装置80は,測定部350と,演算部351を備え,例えばウェハW表面のパターン構造の寸法を反射率測定法により測定できる。測定部350は,例えば上記光学部81と同様の構成を有し,例えば載置台811と,発光器812と,反射鏡813と,レンズ814と,受光器815などを備え,ウェハWに光を照射するとともに,ウェハWからの反射光を受光できる。
レシピ格納部352には,エッチング処理に必要な装置パラメータ(処理条件),例えば処理ガスのガス流量,高周波電源のパワー値等のレシピが複数格納されている。
装置パラメータ制御部353は,レシピ格納部352に格納された装置パラメータを流量制御装置136や高周波電源124,139などに送信し,各装置パラメータを設定できる。
演算部351は,例えばCPU,HD,メモリ等を有しており,例えば測定部350からの反射光の情報に基づいて,ウェハW表面のパターン構造の寸法,例えばウェハ表面の実際の溝の深さや線幅などを算出できる。
システム制御装置40は,例えばレシピ格納部352,装置パラメータ制御部353,演算部354,記憶部355などを備えている。システム制御装置40の記憶部355には,例えば複数のエッチング処理条件とエッチング処理によるウェハW表面のパターン構造の削れ量との相関データが格納されている。例えばシステム制御装置40の記憶部355には,図24(a)に示すようなエッチング処理時間とエッチング処理による深さ方向の削れ量との相関データと,図24(b)に示すようなエッチング処理時間及びエッチングガスの供給流量とエッチング処理による線幅削れ量との相関データが格納されている。
システム制御装置40の演算部354は,例えば構造判別装置80においてエッチング処理前に測定されたウェハW表面のパターン構造の深さ寸法及び線幅と,記憶部355に格納されている前記相関データに基づいて,エッチング処理後の深さ寸法と線幅が所望の寸法になるようにエッチング処理条件を決定できる。システム制御装置40の演算部354は,例えばレシピ格納部352に予め設定されていた初期のエッチング処理時間やエッチングガスの供給流量等のエッチング処理条件を前記決定された処理条件に設定変更できる。
なお,上記第2の実施の形態に係る処理システム2と同様の構成については,その説明を省略する。
次に,この処理システム6で行われる処理について説明する。図25は,かかる処理のフローチャートである。先ず,レジストパターンの現像処理が終了して例えば図26(a)に示すように被エッチング膜900とパターン化されたレジスト膜901が形成されたウェハWがロードポート50に収容される。続いて,ウェハWは,搬送機構60によりアライメント部70に搬送され,位置合わせされた後,構造判別装置80に搬送される。ウェハWが構造判別装置80に搬送されると,測定部350及び算出部351によりウェハW表面のパターン構造の例えばレジスト膜901の線幅と深さ方向の寸法が測定される(図25中のS701)。
構造判別装置80で測定された測定結果は,システム制御装置40に出力される。システム制御部40の演算部354では,当該出力された測定結果と記憶部355に記憶されている相関データに基づいて,エッチング処理後のウェハWの表面のパターン構造が所望の寸法になるようなエッチング条件が決定される(図25中のS702)。例えばレジスト膜901の線幅の測定結果が100nmの場合に,被エッチング膜900の深さ方向の目標削れ量200nmを実現するためには,図24(a)のエッチング時間と深さ削れ量との相関データより,エッチング処理時間が40secに決定される。さらに,目標線幅削れ量40nm(目標線幅削れ量=測定線幅(100nm)−目標線幅(60nm))を実現するためには,図24(b)のエッチング時間とガス流量との相関データより,エッチングガスの供給流量が38cm/minに決定される。エッチング処理時間とエッチングガスの供給流量が決定されると,システム制御装置40におけるエッチング処理条件の設定が変更される(図25中のS703)。
構造判別装置80において表面のパターン構造の寸法が測定されたウェハWは,例えば搬送機構60により,減圧処理装置10に搬送される。減圧処理装置10に搬送されたウェハWは,新たに設定されたエッチング処理条件でエッチング処理される(図25中のS704)。このエッチング処理により,図26(b)に示すように所望の寸法に被エッチング膜900とレジスト膜901が削られる。
減圧処理装置10においてエッチング処理が終了したウェハWは,搬送機構60によりロードポート50に回収される。
第6の実施の形態によれば,エッチング処理前にウェハW表面のパターン構造の寸法を測定し,当該寸法の測定結果と予め求められた相関データにより,目標の寸法になるための最適なエッチング処理条件を設定できる。したがって,仮にエッチング処理前の段階でレジストパターンに寸法誤差があってもエッチング処理時にその誤差を修正することができる。
また,ウェハ表面のパターン構造の寸法の測定を反射率測定法により行ったので,当該ウェハ表面のパターン構造の寸法をウェハWを破壊せずに,迅速に測定できる。
システム制御装置40ではウェハW表面のパターン構造の測定結果と相関データに基づき最適なエッチング処理条件を決定し,エッチング処理条件を設定変更できるので,エッチング処理条件の最適化を自動で行うことができる。この結果,ウェハWの高精度の加工処理を高いスループットで行うことができる。
第6の実施の形態では,反射率測定法によりウェハW表面のパターン構造の寸法を測定していたが,他のスキャトロメトリ法,例えばエリプソメトリ法によりウェハ表面のパターン構造の寸法を測定してもよい。また,この実施の形態では,ウェハ表面のパターン構造の測定結果に基づいてエッチング処理時間とエッチングガスの供給流量を変更していたが,例えばチャンバ11内の圧力,第1及び第2の高周波電源の電力及び周波数,ガス種,サセプタ12の温度,サセプタ12と上部電極13とのギャップ等の他のエッチング処理条件を変更してもよい。
また,上記第6の実施の形態は,レジストパターン形成後のエッチング処理に関するものであったが,本発明は,例えば半導体装置の製造工程で行われる他のエッチング処理,例えばレジスト膜の下層のハードマスクのエッチング処理,ハードマスク剥離除去時のエッチング処理,ポリシリコン膜除去時のエッチング処理などに対しても適用できる。
なお,以上の第5及び第6の実施の形態も,本発明の一例を示すものであり,本発明はこの例に限らず種々の態様を採りうるものである。例えば上記実施の形態は,ウェハWを処理する処理システムであったが,本発明は,ウェハ以外の被処理体,例えばFPD(フラットパネルディスプレイ),フォトマスク用のマスクレチクルなどの他の基板を処理する処理システムにも適用できる。
本発明の第1及び第3の実施の形態に係る処理システムの構成を示す図である。 本発明の実施の形態に係る減圧処理装置の構成を示す図である。 本発明の実施の形態に係る液処理装置の構成を示す図である。 本発明の第1及び第3の実施の形態に係る構造判別装置の構成を示す図である。 本発明の第1及び第3の実施の形態に係るライブラリ格納部が格納するライブラリにマトリクス状に配置して登録されている構造パラメータを示す図である。 本発明の第1及び第3の実施の形態に係るライブラリ格納部が格納するライブラリに登録されている各波長における位相差の余弦cosΔを示すグラフと、各波長における振幅の変位の正接tanΨを示すグラフと、を示す図である。 本発明の第1及び第3の実施の形態に係る解析部が、算出した位相差Δ及び振幅の変位Ψとライブラリとをパターンマッチングする動作の説明図である。 本発明の第1及び第3の実施の形態に係る解析部が構造パラメータを補正してウェハWの表面構造を推定し判別する動作の説明図である。 本発明の第1の実施の形態に係る処理動作を示すフローチャート図である。 本発明の第1の実施の形態に係る処理動作の各工程におけるウェハの構造を示す断面図である。 本発明の第2の実施の形態に係る処理システムの構成を示す図である。 本発明の第2及び第4の実施の形態に係る構造判別装置の構成を示す図である。 本発明の第2の実施の形態に係る処理動作を示すフローチャート図である。 本発明の第2の実施の形態に係る処理動作の各工程におけるウェハの構造を示す断面図である。 本発明の第3の実施の形態に係る処理動作を示すフローチャート図である。 本発明の第3の実施の形態に係る処理動作の各工程におけるウェハの構造を示す断面図である。 本発明の第4の実施の形態に係る処理システムの構成を示す図である。 本発明の第4の実施の形態に係る処理動作を示すフローチャート図である。 本発明の第4の実施の形態に係る処理動作を示すフローチャート図である。 本発明の第4の実施の形態に係る処理動作の各工程におけるウェハの構造を示す断面図である。 本発明の第5及び第6の実施の形態に係る処理システムの構成を示す図である。 本発明の第5の実施の形態に係る処理のフローチャートである。 エッチング処理前後のテストウェハ表面のパターン構造を示す縦断面図である。 エッチング処理条件とエッチング処理による削れ量との相関データを示す表である。 本発明の第6の実施の形態に係る処理のフローチャートである。 エッチング処理前後のウェハ表面のパターン構造を示す縦断面図である。
符号の説明
W ウェハ
1 処理システム
5 SiO2層
6 レジスト層
7 コンタクトホール
8 ポリマ
10 減圧処理装置
20 液処理装置
30 構造判別装置
40 システム制御装置
2 処理システム
9 変質硬化層
A ダメージ層
14 レシピ格納部
80 構造判別装置
3 処理システム
4 処理システム

Claims (6)

  1. 製品となる被処理体よりも単純なパターン構造を有するテスト用被処理体にエッチング処理を施すエッチング工程と,
    前記エッチング工程で処理されたテスト用被処理体の表面構造の寸法を,スキャトロメトリ法を用いて測定する表面構造測定工程と,
    前記表面構造測定工程で測定された表面構造の寸法を予め設定されている許容値と比較し,当該比較結果に基づいて,製品となる被処理体に対する前記エッチング処理と同一の処理の継続又は中断を決定する工程と,を有することを特徴とする,処理方法。
  2. 前記表面構造測定工程は,テスト用被処理体の表面構造の少なくとも2次元方向の寸法を測定することを特徴とする,請求項1に記載の処理方法。
  3. 前記表面構造測定工程は,テスト用被処理体の表面構造の深さ方向と水平方向の寸法を測定することを特徴とする,請求項1又は2のいずれかに記載の処理方法。
  4. 製品となる被処理体又は当該被処理体よりも単純なパターン構造を有するテスト用被処理体にエッチング処理を施すエッチング処理装置と,
    エッチング処理されたテスト用被処理体の表面構造の寸法を,スキャトロメトリ法を用いて測定する表面構造測定装置と,
    前記表面構造の測定寸法を予め設定されている許容値と比較し,当該比較結果に基づいて前記エッチング処理装置における製品となる被処理体に対するエッチング処理の継続又は中断を決定する制御装置と,を備えたことを特徴とする,処理システム。
  5. 前記表面構造測定装置は,テスト用被処理体の表面構造の少なくとも2次元方向の寸法を測定することを特徴とする,請求項4に記載の処理システム。
  6. 前記表面構造測定装置は,テスト用被処理体の表面構造の深さ方向と水平方向の寸法を測定することを特徴とする,請求項4又は5のいずれかに記載の処理システム。
JP2004168649A 2003-06-20 2004-06-07 処理方法及び処理システム Expired - Fee Related JP4694150B2 (ja)

Priority Applications (7)

Application Number Priority Date Filing Date Title
JP2004168649A JP4694150B2 (ja) 2003-06-20 2004-06-07 処理方法及び処理システム
TW093117748A TW200503102A (en) 2003-06-20 2004-06-18 Processing method and processing system
US10/869,913 US20040260420A1 (en) 2003-06-20 2004-06-18 Processing method and processing system
KR1020040045839A KR100710927B1 (ko) 2003-06-20 2004-06-19 처리 방법 및 처리 시스템
CNB2004100598967A CN100409413C (zh) 2003-06-20 2004-06-21 处理方法和处理***
CN2008100878557A CN101256945B (zh) 2003-06-20 2004-06-21 处理方法和处理***
US12/588,395 US8778205B2 (en) 2003-06-20 2009-10-14 Processing method and processing system

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
JP2003177237 2003-06-20
JP2003177237 2003-06-20
JP2004168649A JP4694150B2 (ja) 2003-06-20 2004-06-07 処理方法及び処理システム

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP2011017796A Division JP5199406B2 (ja) 2003-06-20 2011-01-31 処理方法及び処理システム

Publications (2)

Publication Number Publication Date
JP2005033187A JP2005033187A (ja) 2005-02-03
JP4694150B2 true JP4694150B2 (ja) 2011-06-08

Family

ID=33518600

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2004168649A Expired - Fee Related JP4694150B2 (ja) 2003-06-20 2004-06-07 処理方法及び処理システム

Country Status (5)

Country Link
US (2) US20040260420A1 (ja)
JP (1) JP4694150B2 (ja)
KR (1) KR100710927B1 (ja)
CN (1) CN100409413C (ja)
TW (1) TW200503102A (ja)

Families Citing this family (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7388677B2 (en) * 2004-03-22 2008-06-17 Timbre Technologies, Inc. Optical metrology optimization for repetitive structures
KR20080005190A (ko) * 2005-03-30 2008-01-10 마쯔시다덴기산교 가부시키가이샤 애싱 장치, 애싱 방법 및 불순물 도핑 장치
JP4363368B2 (ja) 2005-06-13 2009-11-11 住友電気工業株式会社 化合物半導体部材のダメージ評価方法、及び化合物半導体部材の製造方法
JP4771845B2 (ja) * 2006-03-22 2011-09-14 東京エレクトロン株式会社 基板処理方法及び記憶媒体
US7985699B2 (en) * 2006-03-22 2011-07-26 Tokyo Electron Limited Substrate processing method and storage medium
JP4900935B2 (ja) * 2006-11-10 2012-03-21 東京エレクトロン株式会社 光学定数算出方法及び基板処理システム
US20080119055A1 (en) * 2006-11-21 2008-05-22 Lam Research Corporation Reducing twisting in ultra-high aspect ratio dielectric etch
JP2008171911A (ja) * 2007-01-10 2008-07-24 Tokyo Electron Ltd ラフネス評価方法及びシステム
US20080203056A1 (en) * 2007-02-26 2008-08-28 Judy Wang Methods for etching high aspect ratio features
US8283255B2 (en) * 2007-05-24 2012-10-09 Lam Research Corporation In-situ photoresist strip during plasma etching of active hard mask
JP2010050276A (ja) * 2008-08-21 2010-03-04 Tokyo Electron Ltd 基板処理装置及び光学定数算出方法並びにその方法を実行するプログラムを記憶した記録媒体
JP5391055B2 (ja) 2009-12-25 2014-01-15 東京エレクトロン株式会社 半導体装置の製造方法及び半導体装置の製造システム
CN102270600B (zh) * 2010-06-04 2013-09-25 中芯国际集成电路制造(北京)有限公司 通孔的形成方法
JP6085079B2 (ja) 2011-03-28 2017-02-22 東京エレクトロン株式会社 パターン形成方法、処理容器内の部材の温度制御方法、及び基板処理システム
US9612108B2 (en) * 2014-11-14 2017-04-04 Kabushiki Kaisha Toshiba Measurement apparatus and measurement method
JP6680040B2 (ja) * 2016-03-30 2020-04-15 東京エレクトロン株式会社 基板処理装置、液処理方法、及び記憶媒体
US11079564B2 (en) * 2017-07-20 2021-08-03 Cymer, Llc Methods and apparatuses for aligning and diagnosing a laser beam
CN107507771A (zh) * 2017-07-24 2017-12-22 武汉华星光电技术有限公司 一种多晶硅蚀刻方法
DE102021131839B4 (de) * 2021-12-02 2023-11-23 Hueck Rheinische Gmbh Verfahren zum Herstellen eines Presswerkzeugs mit tiefer Strukturierung

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH03287406A (ja) * 1990-04-04 1991-12-18 Kawasaki Heavy Ind Ltd 後輪懸架機構
JPH10172942A (ja) * 1996-12-05 1998-06-26 Morita Kagaku Kogyo Kk 半導体装置用洗浄液及びそれを用いた半導体装置の製造方法
JPH10233374A (ja) * 1997-02-19 1998-09-02 Hitachi Ltd 半導体装置の製造方法およびそのシステム
JP2005510083A (ja) * 2001-11-16 2005-04-14 アドバンスト・マイクロ・ディバイシズ・インコーポレイテッド 統合計測データをフィードフォワードデータとして利用するための方法および装置

Family Cites Families (27)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5254830A (en) * 1991-05-07 1993-10-19 Hughes Aircraft Company System for removing material from semiconductor wafers using a contained plasma
US5304437A (en) * 1992-04-03 1994-04-19 At&T Bell Laboratories Mask for x-ray pattern delineation
US5399229A (en) * 1993-05-13 1995-03-21 Texas Instruments Incorporated System and method for monitoring and evaluating semiconductor wafer fabrication
EP0692141B1 (en) * 1994-02-03 2002-12-04 Applied Materials, Inc. Stripping, passivation and corrosion inhibition of semiconductor substrates
JP3942213B2 (ja) * 1996-10-08 2007-07-11 株式会社ルネサステクノロジ 半導体の製造方法及び検査方法並びにそのための装置
US5902704A (en) * 1997-07-02 1999-05-11 Lsi Logic Corporation Process for forming photoresist mask over integrated circuit structures with critical dimension control
US6081334A (en) * 1998-04-17 2000-06-27 Applied Materials, Inc Endpoint detection for semiconductor processes
JP3287406B2 (ja) 1999-06-11 2002-06-04 日本電気株式会社 半導体装置の製造方法
EP1081751A3 (en) * 1999-09-02 2003-03-19 Applied Materials, Inc. Methods of pre-cleaning dielectric layers of substrates
US6813032B1 (en) * 1999-09-07 2004-11-02 Applied Materials, Inc. Method and apparatus for enhanced embedded substrate inspection through process data collection and substrate imaging techniques
TW459165B (en) * 1999-10-22 2001-10-11 Mosel Vitelic Inc Method for the rework of photoresist
KR20010064971A (ko) * 1999-12-20 2001-07-11 윤종용 반도체 공정에서의 패턴 형성 방법
KR20010063778A (ko) * 1999-12-24 2001-07-09 박종섭 스컴제거방법
KR20010084812A (ko) * 2000-02-29 2001-09-06 윤종용 포토레지스트 제거 방법
KR20010113396A (ko) * 2000-06-19 2001-12-28 주식회사 동진쎄미켐 암모늄 플로라이드를 함유하는 포토레지스트 리무버 조성물
US6485796B1 (en) * 2000-07-14 2002-11-26 3M Innovative Properties Company Method of making metal matrix composites
US6625497B2 (en) * 2000-11-20 2003-09-23 Applied Materials Inc. Semiconductor processing module with integrated feedback/feed forward metrology
WO2002065511A2 (en) * 2001-02-14 2002-08-22 Advanced Micro Devices, Inc. Method and apparatus for controlling etch selectivity
JP2002260994A (ja) * 2001-03-05 2002-09-13 Tokyo Electron Ltd 基板処理装置
JP2002260944A (ja) 2001-03-06 2002-09-13 Nec Tokin Corp コンタクトホールの形成方法
JP3708031B2 (ja) 2001-06-29 2005-10-19 株式会社日立製作所 プラズマ処理装置および処理方法
JP3989221B2 (ja) * 2001-10-25 2007-10-10 東京エレクトロン株式会社 熱処理装置および熱処理方法
JP2003158056A (ja) * 2001-11-21 2003-05-30 Tokyo Electron Ltd パターン形成システム
JP3686866B2 (ja) * 2001-12-18 2005-08-24 株式会社日立製作所 半導体製造装置及び製造方法
US6858361B2 (en) * 2002-03-01 2005-02-22 David S. L. Mui Methodology for repeatable post etch CD in a production tool
US20050252884A1 (en) * 2002-06-28 2005-11-17 Tokyo Electron Limited Method and system for predicting process performance using material processing tool and sensor data
JP2008171911A (ja) * 2007-01-10 2008-07-24 Tokyo Electron Ltd ラフネス評価方法及びシステム

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH03287406A (ja) * 1990-04-04 1991-12-18 Kawasaki Heavy Ind Ltd 後輪懸架機構
JPH10172942A (ja) * 1996-12-05 1998-06-26 Morita Kagaku Kogyo Kk 半導体装置用洗浄液及びそれを用いた半導体装置の製造方法
JPH10233374A (ja) * 1997-02-19 1998-09-02 Hitachi Ltd 半導体装置の製造方法およびそのシステム
JP2005510083A (ja) * 2001-11-16 2005-04-14 アドバンスト・マイクロ・ディバイシズ・インコーポレイテッド 統合計測データをフィードフォワードデータとして利用するための方法および装置

Also Published As

Publication number Publication date
CN1574242A (zh) 2005-02-02
CN100409413C (zh) 2008-08-06
TW200503102A (en) 2005-01-16
US20040260420A1 (en) 2004-12-23
US20100133231A1 (en) 2010-06-03
TWI365493B (ja) 2012-06-01
KR100710927B1 (ko) 2007-04-23
US8778205B2 (en) 2014-07-15
JP2005033187A (ja) 2005-02-03
KR20040111190A (ko) 2004-12-31

Similar Documents

Publication Publication Date Title
JP4694150B2 (ja) 処理方法及び処理システム
JP5577532B2 (ja) Dc/rfハイブリッド処理システム
JP5416329B2 (ja) 一体型計測を使用して誘電体エッチング効率を改善する方法及び装置
US7344993B2 (en) Low-pressure removal of photoresist and etch residue
US7700494B2 (en) Low-pressure removal of photoresist and etch residue
KR100938636B1 (ko) 제조 장비에서 포스트 에칭 cd를 반복하기 위한 방법
US7514277B2 (en) Etching method and apparatus
KR20200123854A (ko) 통합된 단부-대-단부 완전 자가-정렬된 인터커넥트 프로세스를 위한 플랫폼 및 동작 방법
US20030220708A1 (en) Integrated equipment set for forming shallow trench isolation regions
WO2004001841A2 (en) Method and system for realtime critical dimention microloading control
US20100255612A1 (en) Dry etching method
JP5199406B2 (ja) 処理方法及び処理システム
US7372582B2 (en) Method for fabrication semiconductor device
JP4068986B2 (ja) 試料のドライエッチング方法及びドライエッチング装置
JP2004207703A (ja) プロセス制御システム及びプロセス制御方法
US7009714B2 (en) Method of dry etching a sample and dry etching system
KR20120001773A (ko) 플라즈마 에칭 방법
JPH07263418A (ja) 平坦度制御装置および平坦度制御方法

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20070606

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20090904

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20091006

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20091202

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20100727

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20100927

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20101130

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20110131

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20110222

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20110223

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20140304

Year of fee payment: 3

R150 Certificate of patent or registration of utility model

Ref document number: 4694150

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

LAPS Cancellation because of no payment of annual fees