JP4673266B2 - Pattern forming method and mold - Google Patents

Pattern forming method and mold Download PDF

Info

Publication number
JP4673266B2
JP4673266B2 JP2006211745A JP2006211745A JP4673266B2 JP 4673266 B2 JP4673266 B2 JP 4673266B2 JP 2006211745 A JP2006211745 A JP 2006211745A JP 2006211745 A JP2006211745 A JP 2006211745A JP 4673266 B2 JP4673266 B2 JP 4673266B2
Authority
JP
Japan
Prior art keywords
pattern
block copolymer
block
substrate
block chain
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP2006211745A
Other languages
Japanese (ja)
Other versions
JP2008036491A (en
Inventor
徹 山口
浩司 山口
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Nippon Telegraph and Telephone Corp
Original Assignee
Nippon Telegraph and Telephone Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Nippon Telegraph and Telephone Corp filed Critical Nippon Telegraph and Telephone Corp
Priority to JP2006211745A priority Critical patent/JP4673266B2/en
Publication of JP2008036491A publication Critical patent/JP2008036491A/en
Application granted granted Critical
Publication of JP4673266B2 publication Critical patent/JP4673266B2/en
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Description

本発明は、ミクロ相分離構造のより精密な配向制御を行うことでより微細なパターンを形成するパターン形成方法及びこのパターン形成方法により形成されたモールドに関するものである。   The present invention relates to a pattern formation method for forming a finer pattern by performing more precise orientation control of a microphase separation structure, and a mold formed by this pattern formation method.

近年、大規模集積回路(LSI)のさらなる微細化に伴い、大きさが50nmを切るような構造体を加工する技術が実現しつつある。これらの加工技術の解像性や精度は、主にリソグラフィー技術により決定付けられる。リソグラフィー技術とは、少なくとも次の工程を有するものである。被加工基板の上に感光性樹脂膜を形成した後、感光性樹脂膜の上の所定の領域のみに感光性樹脂膜が感光する放射線源、例えば、紫外線、X線、電子線、イオン線などを選択的に照射し、さらに必要ならば熱処理を行うことにより、照射領域に化学的な変化をもたらし、潜像を形成する。このようにして潜像を形成した後、現像液に浸漬する。照射領域内における感光性樹脂の化学的変化により、照射領域と非照射領域との現像液に対する溶解速度の違いが生じ、この溶解速度の遅い領域が、パターンとして残る。照射領域が溶解除去されるものをポジ型感光性樹脂と呼び、非照射領域が溶解除去されるものをネガ型感光性樹脂と呼ぶ。現像後に残存するパターンをエッチングのマスクとして用いることにより、照射領域の形状を下地の基板に転写することができる。   In recent years, with further miniaturization of large-scale integrated circuits (LSIs), a technique for processing a structure having a size of less than 50 nm is being realized. The resolution and accuracy of these processing techniques are mainly determined by lithography techniques. The lithography technique has at least the following steps. After a photosensitive resin film is formed on a substrate to be processed, a radiation source in which the photosensitive resin film is exposed only to a predetermined region on the photosensitive resin film, such as ultraviolet rays, X-rays, electron beams, ion beams, etc. Is selectively irradiated, and if necessary, heat treatment is performed, thereby causing a chemical change in the irradiated region and forming a latent image. Thus, after forming a latent image, it is immersed in a developing solution. Due to the chemical change of the photosensitive resin in the irradiated region, a difference in dissolution rate in the developer between the irradiated region and the non-irradiated region occurs, and the region having a low dissolution rate remains as a pattern. Those in which the irradiated region is dissolved and removed are called positive photosensitive resins, and those in which the non-irradiated regions are dissolved and removed are called negative photosensitive resins. By using the pattern remaining after development as an etching mask, the shape of the irradiated region can be transferred to the underlying substrate.

ところで、米国半導体協会が発表している国際半導体ロードマップ2005年版によれば、2020年には、線幅14nmでパターンピッチ28nmの繰り返しパターンの形成が必要になるとされている。しかしながら、現在量産に用いられている、エキシマレーザーリソグラフィーにおいては、線幅65nm,パターンピッチ130nmの密集(繰り返し)パターンを作製するのが限界である。また、既存の露光法のうちで最も解像性の高い電子線露光法を用いても、ピッチ23nmのパターン形成が限界である。しかしながら、将来の高機能デバイスとして期待されている単電子トランジスタ,量子コンピューター,及び発光素子などの電子デバイスを十分高温で動作させるためには、10nm程度以下のサイズのナノ構造を、このサイズと同等の距離に近接させて形成することが要求されている。このような高解像かつ高密度なパターンの形成は、既存のリソグラフィー技術のようなトップダウン技術で作製するのは現時点では困難である。   By the way, according to the 2005 edition of the International Semiconductor Roadmap published by the American Semiconductor Association, it is necessary to form a repetitive pattern with a line width of 14 nm and a pattern pitch of 28 nm in 2020. However, in the excimer laser lithography currently used for mass production, it is limited to produce a dense (repeated) pattern having a line width of 65 nm and a pattern pitch of 130 nm. Even when an electron beam exposure method having the highest resolution among the existing exposure methods is used, pattern formation with a pitch of 23 nm is the limit. However, in order to operate electronic devices such as single-electron transistors, quantum computers, and light-emitting elements that are expected as high-performance devices in the future at sufficiently high temperatures, a nanostructure of about 10 nm or less in size is equivalent to this size. It is required to be formed close to this distance. Formation of such a high-resolution and high-density pattern is currently difficult to produce by a top-down technique such as an existing lithography technique.

上述したような状況の中で、分子の自己組織化などの、いわゆるボトムアップ技術を用いて微細パターンを形成しようとする試みが始まっている。このようなボトムアップ技術を利用する手法として最も着目を浴びている方法が、ブロック共重合体の自己組織化構造の1つであるミクロ相分離構造をエッチングのマスクとして用いる方法である。例えば、特許文献1では、ブロック共重合体を基板の上に塗布してミクロ相分離構造を形成した後、オゾンに暴露し、特定のブロック鎖により形成されたブロック相を選択的に除去し、この後残存するパターンをエッチングマスクとして下地基板に転写する方法が開示されている。   In the situation as described above, an attempt to form a fine pattern using a so-called bottom-up technique such as molecular self-assembly has begun. The method that has received the most attention as a technique using such a bottom-up technique is a method using a microphase separation structure, which is one of the self-organized structures of a block copolymer, as an etching mask. For example, in Patent Document 1, a block copolymer is applied on a substrate to form a microphase separation structure, and then exposed to ozone to selectively remove a block phase formed by a specific block chain, Thereafter, a method of transferring the remaining pattern to an underlying substrate as an etching mask is disclosed.

また、ミクロ相分離構造を形成した後、ドライエッチング又はエネルギー線の照射により特定のブロック相を選択的に除去し、残存するパターンをエッチングマスクとして利用し、電気化学セル及び中空糸フィルターなどを製造する方法が提案されている。
また、電界を用いてミクロ相分離構造を配向させ、ナノシリンダー構造を形成する方法が提案されている。これらのような微細加工の手法は、ブロック共重合体リソグラフィーと呼ばれている。
In addition, after forming a microphase separation structure, specific block phases are selectively removed by dry etching or energy ray irradiation, and the remaining pattern is used as an etching mask to produce electrochemical cells and hollow fiber filters. A method has been proposed.
In addition, a method for forming a nanocylinder structure by orienting a microphase separation structure using an electric field has been proposed. Such microfabrication techniques are called block copolymer lithography.

ブロック共重合体リソグラフィーをデバイス製造及び他の応用に用いるためには、ミクロ相分離により形成された自己組織化ナノ構造を特定の領域のみに形成し、かつ所望の方向へ配列させることが必須となる。これらの位置制御及び配向制御を実現するために、以下に示すような種々の方法が提案されている。   In order to use block copolymer lithography for device manufacturing and other applications, it is essential to form self-organized nanostructures formed by microphase separation only in specific regions and to arrange them in a desired direction. Become. In order to realize these position control and orientation control, various methods as described below have been proposed.

従来技術1として、溝構造を配列のガイドパターンとして用いた、埋込み型のブロック共重合体の自己組織化ナノ構造の配列方法について説明する。この技術では、先ず、基板の表面にあらかじめ溝構造を作製しておき、作製してある溝構造内のみで埋込型の自己組織化ナノ構造を形成させると、これらが溝構造の側壁に沿って配列する。埋込み構造とは、ブロック共重合体の1つのブロック成分からなるドットやシリンダー(円柱)構造を、もう片方のブロック成分が取り囲み、膜内に埋め込まれている構造である。   As Prior Art 1, a method for arranging self-assembled nanostructures of an embedded block copolymer using a groove structure as an alignment guide pattern will be described. In this technique, first, a groove structure is prepared in advance on the surface of a substrate, and when an embedded self-organized nanostructure is formed only in the prepared groove structure, these are formed along the side wall of the groove structure. Arrange. The embedded structure is a structure in which a dot or cylinder (cylindrical) structure composed of one block component of the block copolymer is surrounded by the other block component and embedded in the film.

例えば、非特許文献1では、ナノインプリント法により、ノボラック樹脂膜に金型を押し付けて溝構造を作製し、この中で、ポリスチレンとポリメチルメタクリレートからなるブロック共重合体のミクロ相分離構造を形成し、40nm程度のポリスチレンの埋込み型のドット構造を配列させる方法を開示している。さらに、非特許文献2では、電子線露光法とドライエッチング法により、シリコン酸化膜の溝構造を作製し、この中で、ポリスチレンとポリフエロセニルジメチルシラン(PFS)からなるブロック共重合体のミクロ相分離構造を形成し、20nm程度のPFSの埋込み型のドット構造を配列させる方法を開示している。   For example, in Non-Patent Document 1, a groove structure is produced by pressing a mold against a novolac resin film by a nanoimprint method, and in this, a microphase separation structure of a block copolymer made of polystyrene and polymethylmethacrylate is formed. Discloses a method of arranging embedded dot structures of polystyrene of about 40 nm. Further, in Non-Patent Document 2, a groove structure of a silicon oxide film is produced by an electron beam exposure method and a dry etching method, in which a micro block of a block copolymer made of polystyrene and polyferrocenyldimethylsilane (PFS) is produced. A method of forming a phase separation structure and arranging a PFS embedded dot structure of about 20 nm is disclosed.

加えて、非特許文献2では、溝構造の幅を調節することにより、ドット配列の列数を制御する方法を開示している。さらに、非特許文献3では、60度の角をもつ2次元の溝構造を水素化シルセスキオキサンを用いて作製し、上記埋込み型のドットを上記角の部分に配置させる方法を開示している。   In addition, Non-Patent Document 2 discloses a method for controlling the number of rows of dot arrays by adjusting the width of the groove structure. Further, Non-Patent Document 3 discloses a method of producing a two-dimensional groove structure having a corner of 60 degrees using silsesquioxane hydride, and arranging the embedded dot at the corner portion. Yes.

また、非特許文献4では、フォトリソグラフィーとドライエッチングにより、シリコン窒化膜の溝構造を形成し、その中で、ポリスチレンとポリエチレンプロピレンからなるブロック共重合体のミクロ相分離を形成し、23nmピッチのポリスチレンの埋込み型のシリンダー構造を基板表面及び溝構造の側壁に沿って配向させる方法を開示している。   In Non-Patent Document 4, a groove structure of a silicon nitride film is formed by photolithography and dry etching, in which a microphase separation of a block copolymer made of polystyrene and polyethylenepropylene is formed, and a pitch of 23 nm is formed. A method of orienting a polystyrene embedded cylinder structure along the substrate surface and sidewalls of the groove structure is disclosed.

次に、従来技術2として、溝構造を用いた、貫通型のブロック共重合体の自己組織化ナノ構造の配列方法について説明する。従来技術1とほぼ同様であるが、基板の上に溝構造を形成した後、この表面を化学修飾することによって、基板表面の表面自由エネルギーを、ブロック共重合体の各ブロック鎖の表面自由エネルギーの中間の値に制御する工程が加わることが、従来技術2の特徴である。この工程により、特定のブロック鎖が基板表面に偏析することなく、基板表面に垂直に配向した自己組織化ナノ構造を形成することができる。   Next, as a conventional technique 2, a method for arranging self-organized nanostructures of a penetrating block copolymer using a groove structure will be described. Although it is almost the same as prior art 1, after the groove structure is formed on the substrate, this surface is chemically modified to change the surface free energy of the substrate surface to the surface free energy of each block chain of the block copolymer. It is a feature of the prior art 2 that a process of controlling to an intermediate value is added. This step can form a self-organized nanostructure oriented perpendicular to the substrate surface without segregation of specific block chains on the substrate surface.

例えば、非特許文献5の技術では、あらかじめ溝構造を形成しておき、さらに、形成した溝構造の表面に自己組織化単分子膜を形成することにより、溝構造表面の表面自由エネルギーを調節する。この後、溝構造の中でポリスチレンとポリメチルメタクリレートからなるブロック共重合体のミクロ相分離構造を形成し、基板表面に対して垂直方向に配向した自己組織化シリンダー構造を形成するようにしている。また、非特許文献6の技術では、上記同様に溝構造を形成し、さらに、形成した溝構造の表面に、ポリスチレンとポリメチルメタクリレートのランダム共重合体を化学的に結合させて、溝構造表面を修飾する。この後、溝構造の中で、ポリスチレンとポリメチルメタクリレートからなるブロック共重合体のミクロ相分離構造を形成し、基板表面に対して垂直方向に配向させるようにしている。   For example, in the technique of Non-Patent Document 5, the surface free energy of the groove structure surface is adjusted by forming a groove structure in advance and forming a self-assembled monolayer on the surface of the formed groove structure. . Thereafter, a microphase separation structure of a block copolymer made of polystyrene and polymethylmethacrylate is formed in the groove structure to form a self-assembled cylinder structure oriented in a direction perpendicular to the substrate surface. . In the technique of Non-Patent Document 6, a groove structure is formed in the same manner as described above, and a random copolymer of polystyrene and polymethylmethacrylate is chemically bonded to the surface of the formed groove structure to form a groove structure surface. To qualify. Thereafter, a microphase separation structure of a block copolymer made of polystyrene and polymethylmethacrylate is formed in the groove structure and oriented in a direction perpendicular to the substrate surface.

次に、従来技術3として、化学修飾ナノパターンを用いた、ブロック共重合体の自己組織化ナノ構造の配列方法について説明する。この技術では、形成するミクロ相分離構造と同等のサイズ及びピッチ間隔をもつ、厚さ数nmの極薄の化学修飾パターンを基板表面にあらかじめ形成しておく。この際、パターン部分は、各ブロック鎖と親和性の高い材料、すなわち各ブロック鎖の表面自由エネルギーと近い表面自由エネルギーをもつ材料で形成されている。この後、パターンの上に、ポリスチレンとポリメチルメタクリレートからなるブロック共重合体のミクロ相分離構造を形成し、48nmピッチのラメラ構造を基板に対して垂直に配向させるようにしている(特許文献2及び非特許文献7参照)。さらに、2次元状の化学修飾パターンを用いることにより、2次元のラインパターンを形成する方法も提案されている(非特許文献8参照)。   Next, as a conventional technique 3, a method for arranging self-assembled nanostructures of block copolymers using chemically modified nanopatterns will be described. In this technique, an ultrathin chemical modification pattern with a thickness of several nanometers having the same size and pitch interval as the microphase separation structure to be formed is formed in advance on the substrate surface. At this time, the pattern portion is formed of a material having high affinity with each block chain, that is, a material having a surface free energy close to the surface free energy of each block chain. Thereafter, a microphase separation structure of a block copolymer made of polystyrene and polymethylmethacrylate is formed on the pattern, and a lamella structure with a pitch of 48 nm is oriented perpendicular to the substrate (Patent Document 2). And Non-Patent Document 7). Furthermore, a method of forming a two-dimensional line pattern by using a two-dimensional chemical modification pattern has also been proposed (see Non-Patent Document 8).

U.S.Patent 5,948,470U.S.Patent 5,948,470 U.S.Patent 6,746,825,B2U.S.Patent 6,746,825, B2 K.Asakawa et al., "Nano-patterning for patterned medhia using block-copolymer", Journal of Photopolymer Science and Technology, Vol.15, pp.465-470,2002.K. Asakawa et al., "Nano-patterning for patterned medhia using block-copolymer", Journal of Photopolymer Science and Technology, Vol.15, pp.465-470,2002. J.Y.Cheng et al., "Nanostructure engineering by templated self-assembly of blockcopolymers", Nature materials, Vol.3, pp.823-828, 2004.J.Y.Cheng et al., "Nanostructure engineering by templated self-assembly of blockcopolymers", Nature materials, Vol.3, pp.823-828, 2004. J.Y.Cheng et al., "Pattern Registration Between Spherical Block-Copolymer Domains and Topographical Templates", Advanced Materials, Vol.18, pp.597-601, 2006.J.Y.Cheng et al., "Pattern Registration Between Spherical Block-Copolymer Domains and Topographical Templates", Advanced Materials, Vol.18, pp.597-601, 2006. D.Sundrani et al.,"Guiding Polymers to Perfection: Maicroscopic Alignment of Nanoscale Domains", Nano Letters, Vol.4, pp.273-276, 2004.D. Sundrani et al., "Guiding Polymers to Perfection: Maicroscopic Alignment of Nanoscale Domains", Nano Letters, Vol. 4, pp.273-276, 2004. X.Yang et al.,"Nanoscopic templates using self-assembled cylindrical diblock copolymers for patterned media", Journal of Vacuum Science and Technology, B22, pp.3331-3334, 2004.X. Yang et al., "Nanoscopic templates using self-assembled cylindrical diblock copolymers for patterned media", Journal of Vacuum Science and Technology, B22, pp.3331-3334, 2004. S.Xiao et al.,"Graphoepitaxy of cylinder-foaming block copolymers for use as templates to pattern magnetic metal dot arrays", Nanotechnology, Vol.16, pp.S324-S329,2005.S.Xiao et al., “Graphoepitaxy of cylinder-foaming block copolymers for use as templates to pattern magnetic metal dot arrays”, Nanotechnology, Vol.16, pp.S324-S329,2005. S.O.Kim et ai.,"Epitaxial self-assembly of block copolymers on lithographically defined nanopatternd substrates", Nature, Vol.424, pp.411-414, 2004S.O.Kim et ai., "Epitaxial self-assembly of block copolymers on lithographically defined nanopatternd substrates", Nature, Vol.424, pp.411-414, 2004 M.P.Stpukovich et al.,"Directed Assembly of Block Copolymer Blends into Nonregular Device-Oriented Structures", Science, Vol.308, pp.1422-1446, 2005.M.P.Stpukovich et al., "Directed Assembly of Block Copolymer Blends into Nonregular Device-Oriented Structures", Science, Vol.308, pp.1422-1446, 2005.

しかしながら、従来技術1に示した手法では、埋込み型の自己組織化ドット又はシリンダー構造の単純な繰り返し構造にしか適用することができないという問題があった。また、これらの埋込み型構造では、転写マスクのパターンサイズに相当する横方向のサイズと深さ方向のサイズが等しいことから、高々アスペクト比が1しか得られない。このような低アスペクト比の転写マスクでは、エッチング耐性が不十分となる。さらに、パターンサイズが小さくなるとともに、エッチング速度の局所的なバラツキやナノ構造の深さ方向のサイズバラツキを無視することができず、忠実な転写は困難となる。従って、従来技術1に示した手法では、パターンを忠実に転写できないという問題があった。   However, the technique shown in the prior art 1 has a problem that it can be applied only to a simple repetitive structure of embedded self-assembled dots or a cylinder structure. Further, in these embedded structures, since the horizontal size corresponding to the pattern size of the transfer mask is equal to the size in the depth direction, only an aspect ratio of 1 can be obtained. Such a low aspect ratio transfer mask has insufficient etching resistance. Furthermore, as the pattern size becomes smaller, local variations in the etching rate and size variations in the depth direction of the nanostructure cannot be ignored, making faithful transfer difficult. Therefore, the method shown in the prior art 1 has a problem that the pattern cannot be faithfully transferred.

また、従来技術1に示した手法では、あらかじめ基板に溝構造を作製する必要があり、作製プロセスが煩雑となる問題があった。さらに、非特許文献3で開示された方法では、溝構造の材料として、水素化シルセスキオキサンを用いているが、溝構造の側壁のラフネスを低減する目的のみで使用されており、酸化膜で形成した溝構造を用いるのと本質的に変わらず、上記の問題は解消していない。   Further, in the technique shown in the prior art 1, it is necessary to prepare a groove structure in the substrate in advance, and there is a problem that the manufacturing process becomes complicated. Further, in the method disclosed in Non-Patent Document 3, hydrogenated silsesquioxane is used as the material of the groove structure, but it is used only for the purpose of reducing the roughness of the side wall of the groove structure, and the oxide film This is essentially the same as using the groove structure formed in (1), and the above problem has not been solved.

次に、従来技術2に示した手法では、自己組織化シリンダー構造を用いたドットやホール構造の作製にしか適用できないという問題があった。また、溝構造の底面と側面の表面親和性を別々に制御することができないため、非特許文献5に示されているように、溝構造側壁部分で、2つのドメインが共存してしまい、溝構造内にシリンダー構造を完全に分離して形成することができないという問題があった。また、非特許文献4では、シリンダー構造を溝構造内に完全分離して形成しているが、用いるブロック共重合体ごとに、表面修飾材料、方法、形成条件を見出さなければならず、多大な労力がかかるという問題があった。なお、非特許文献4では、表面修飾材料、方法、形成条件は一切開示されていない。また、あらかじめ基板に溝精造を形成する必要があり、従来技術1と同様に、作製プロセスが複雑となる問題があった。   Next, the technique shown in the prior art 2 has a problem that it can be applied only to the production of a dot or hole structure using a self-assembled cylinder structure. Further, since the surface affinity of the bottom surface and the side surface of the groove structure cannot be controlled separately, as shown in Non-Patent Document 5, two domains coexist in the groove structure side wall portion, and the groove There was a problem that the cylinder structure could not be completely separated in the structure. In Non-Patent Document 4, the cylinder structure is completely separated into the groove structure. However, surface modification materials, methods, and formation conditions must be found for each block copolymer to be used. There was a problem that labor was required. Note that Non-Patent Document 4 does not disclose any surface modifying material, method, or formation condition. Further, it is necessary to form a groove on the substrate in advance, and there is a problem that the manufacturing process becomes complicated as in the case of the prior art 1.

また、従来技術1,2に示した手法では、周期的な構造を形成することは可能であるが、周期的でなく、かつ複雑な形状をもった2次元パターンを形成すること、さらにはドットとラインを自己整合的に形成することは不可能であった。   Further, in the methods shown in the prior arts 1 and 2, although it is possible to form a periodic structure, it is possible to form a two-dimensional pattern that is not periodic and has a complicated shape, and further, a dot. It was impossible to form a line in a self-aligning manner.

次に、従来技術3に示した手法では、アスペクト比が高く、かつ2次元の複雑な形状のラインパターンを形成することができるものの、得られるラインパターンのサイズは、化学修飾パターンのサイズとほぼ同じである。従って、化学修飾パターンを形成するのに用いる既存のリソグラフィー技術の解像度を超えることができないという問題があった。さらに、得られるパターン形状は、ガイドパターンである化学修飾パターンのレイアウトと同じであり、ガイドパターンと異なる形状のパターンを形成することはできないという問題があった。   Next, in the technique shown in the prior art 3, although a line pattern having a high aspect ratio and a two-dimensional complicated shape can be formed, the size of the obtained line pattern is almost equal to the size of the chemical modification pattern. The same. Therefore, there is a problem that the resolution of the existing lithography technique used for forming the chemical modification pattern cannot be exceeded. Furthermore, the pattern shape to be obtained is the same as the layout of the chemical modification pattern which is a guide pattern, and there is a problem that a pattern having a shape different from the guide pattern cannot be formed.

本発明は、以上のような問題点を解消するためになされたものであり、既存のリソグラフィー技術よりも小さい寸法を有した様々な形状のパターンが形成できるようにすることを目的とする。   The present invention has been made to solve the above-described problems, and an object of the present invention is to be able to form patterns having various shapes having dimensions smaller than those of existing lithography techniques.

本発明に係るパターン形成方法は、基板の上に互いに異なる表面自由エネルギーを持つ少なくとも2つのブロック鎖から構成されたブロック共重合体よりなる薄膜を形成し、ブロック共重合体をミクロ相分離することでパターンを形成するパターン形成方法において、基板の上のブロック共重合体が接触する面が、2つのブロック鎖の表面自由エネルギーの中間の値の表面自由エネルギーとされた状態とする第1工程と、基板の上に、側面の表面自由エネルギーが2つのブロック鎖の一方の表面自由エネルギーに近い表面自由エネルギーとされた複数のガイドパターンが形成された状態とする第2工程と、ガイドパターンの間の基板の上に、ブロック共重合体よりなる薄膜が形成された状態とする第3工程と、薄膜を構成するブロック共重合体がミクロ相分離されて、2つのブロック鎖の相よりなる2つのパターン領域が薄膜に形成された状態とする第4工程とを少なくとも備えるようにしたものである。この結果、例えば2つのガイドパターンの対向する側面の間に、基板の法線方向の面で分離されて2つのパターン領域が、ミクロ相分離による寸法レベルの間隔で交互に配列され、かつ、各パターン領域はガイドパターンの延在方向に配向される。   In the pattern forming method according to the present invention, a thin film made of a block copolymer composed of at least two block chains having different surface free energies is formed on a substrate, and the block copolymer is microphase-separated. In the pattern forming method of forming a pattern in the first step, the surface of the substrate on which the block copolymer contacts is in a state where the surface free energy is a value intermediate between the surface free energies of the two block chains; Between the guide pattern and the second step in which a plurality of guide patterns in which the surface free energy on the side surface is close to the surface free energy of one of the two block chains are formed on the substrate. A third step in which a thin film made of a block copolymer is formed on the substrate, and a block copolymer constituting the thin film There is microphase separation, in which two pattern regions consisting of a phase of the two block chain has to include at least a fourth step of a state of being formed into a thin film. As a result, for example, two pattern regions separated by a plane in the normal direction of the substrate between the opposing side surfaces of the two guide patterns are alternately arranged at a dimension level interval by microphase separation, and each The pattern region is oriented in the extending direction of the guide pattern.

また、上記パターン形成方法において、第1工程では、基板の上に2つのブロック鎖の表面自由エネルギーの中間の値の表面自由エネルギーとされた中性層を形成することで、基板の上のブロック共重合体が接触する面が、2つのブロック鎖の表面自由エネルギーの中間の値の表面自由エネルギーとされている状態とし、第3工程では、中性層の上に接して薄膜が形成された状態とするとよい。この場合、中性層は、ブロック共重合体を構成する各ブロック鎖のモノマーを含む共重合体から形成すればよい。   In the pattern forming method, in the first step, a neutral layer having a surface free energy having an intermediate value between the surface free energies of the two block chains is formed on the substrate, thereby forming a block on the substrate. In the third step, a thin film was formed in contact with the neutral layer in a state where the surface in contact with the copolymer had a surface free energy intermediate between the surface free energies of the two block chains. It is good to be in a state. In this case, the neutral layer may be formed from a copolymer containing monomers of each block chain constituting the block copolymer.

また、中性層は、少なくとも2つ以上のモノマー成分からなる共重合体を含んで形成し、ガイドパターンは、中性層が含むいずれかのモノマー成分からなるホモポリマーを含んで形成し、ブロック共重合体は、中性層が含むモノマー成分からなるブロック鎖を含んでいるようにすればよい。例えば、中性層が含む1つのモノマーは、スチレンであり、ガイドパターンは、ポリスチレンを含んで形成し、ブロック共重合体は、ポリスチレンからなるブロック鎖を含んでいればよい。   Further, the neutral layer is formed including a copolymer composed of at least two monomer components, and the guide pattern is formed including a homopolymer composed of any monomer component included in the neutral layer. What is necessary is just to make it the copolymer contain the block chain which consists of a monomer component which a neutral layer contains. For example, one monomer included in the neutral layer may be styrene, the guide pattern may be formed including polystyrene, and the block copolymer may include a block chain made of polystyrene.

また、ガイドパターンは、少なくともブロック共重合体のいずれかのブロック鎖と同じモノマー成分からなるポリマーを含んだ状態に形成することで、側面の表面自由エネルギーが2つのブロック鎖の一方の表面自由エネルギーに近い表面自由エネルギーとされた状態とすればよい。   The guide pattern is formed so as to include at least a polymer composed of the same monomer component as any one of the block chains of the block copolymer, so that the surface free energy of the side surface is one of the two block chains. The surface free energy may be close to.

また、上記パターン形成方法において、ガイドパターンの表面に化学修飾層を形成することで、ガイドパターンの側面が、2つのブロック鎖の一方の表面自由エネルギーに近い表面自由エネルギーとされた状態とし、この後、ブロック共重合体よりなる薄膜が形成された状態とするようにしてもよい。   Further, in the pattern forming method, by forming a chemically modified layer on the surface of the guide pattern, the side surface of the guide pattern is set to a surface free energy close to the surface free energy of one of the two block chains. Thereafter, a thin film made of a block copolymer may be formed.

この場合、化学修飾層は、少なくともブロック共重合体のいずれかのブロック鎖のモノマー成分を含むポリマーから形成し、化学修飾層を形成するポリマーは、少なくとも分子末端に水酸基又はカルボキシル基を持つようにすればよい。   In this case, the chemically modified layer is formed from a polymer containing at least a monomer component of any block chain of the block copolymer, and the polymer forming the chemically modified layer has at least a hydroxyl group or a carboxyl group at the molecular end. do it.

上記パターン形成方法において、中性層は、少なくとも2つ以上のモノマー成分からなる共重合体を含んで形成し、ガイドパターンは、少なくともポリシロキサンを含む感光性樹脂を用いて形成し、化学修飾層は、中性層が含むいずれか1のモノマー成分からなるブロック鎖を含み、かつ少なくとも分子末端に水酸基又はカルボキシル基を持つポリマーから形成し、ブロック共重合体は、中性層が含むモノマー成分からなるブロック鎖を含んでいるようにすればよい。   In the pattern forming method, the neutral layer is formed including a copolymer composed of at least two monomer components, the guide pattern is formed using a photosensitive resin including at least polysiloxane, and the chemically modified layer. Is formed from a polymer having a block chain composed of any one monomer component contained in the neutral layer and having at least a hydroxyl group or a carboxyl group at the molecular end, and the block copolymer is formed from the monomer component contained in the neutral layer. It may be made to contain the block chain which becomes.

例えば、中性層が含む1つのモノマーは、スチレンであり、化学修飾層は、少なくとも分子末端に水酸基又はカルボキシル基を持つポリスチレンを含んで形成し、ブロック共重合体は、ポリスチレンからなるブロック鎖を含んでいるようにすればよい。また、中性層が含むモノマーは、スチレン及びメチルメタクリレートであり、化学修飾層は、少なくとも分子末端に水酸基又はカルボキシル基を持つポリスチレン、もしくは、少なくとも分子末端に水酸基又はカルボキシル基を持つポリメチルメタクリレートの一方を含んで形成し、ブロック共重合体は、ポリスチレンとポリメチルメタクリレートのブロック共重合体から構成されているようにしてもよい。なお、ポリシロキサンは、水素化シルセスキオキサンであればよい。   For example, one monomer included in the neutral layer is styrene, the chemically modified layer is formed including polystyrene having at least a hydroxyl group or a carboxyl group at the molecular end, and the block copolymer includes a block chain made of polystyrene. It should be included. The monomer contained in the neutral layer is styrene and methyl methacrylate, and the chemically modified layer is made of polystyrene having at least a hydroxyl group or a carboxyl group at the molecular end, or polymethyl methacrylate having at least a hydroxyl group or a carboxyl group at the molecular end. The block copolymer may be formed of a block copolymer of polystyrene and polymethyl methacrylate. The polysiloxane may be hydrogenated silsesquioxane.

また、上記パターン形成方法において、複数のガイドパターンは、互いに平行に配置されているものであればよい。また、複数のガイドパターンは、多角形状に配置され、薄膜は、多角形状の2次元的な閉空間内に形成されるようにしてもよい。   Moreover, in the said pattern formation method, the some guide pattern should just be arrange | positioned mutually parallel. The plurality of guide patterns may be arranged in a polygonal shape, and the thin film may be formed in a polygonal two-dimensional closed space.

上記パターン形成方法において、上記工程に加えて、薄膜のいずれかのパターン領域を選択的に除去してマスクパターンが形成された状態とする第5工程と、マスクパターンを用いて基板の上に新たなパターンが形成された状態とする第6工程とを備える。   In the pattern forming method, in addition to the above steps, a fifth step of selectively removing any pattern region of the thin film to form a mask pattern, and a new pattern on the substrate using the mask pattern And a sixth step in which a simple pattern is formed.

また、本発明に係るモールドは、上述したパターン形成方法により形成されたモールドであって、新たなパターンが形成された基板より構成されているものである。このモールドによれば、既存のリソグラフィー技術よりも小さい寸法を有した様々な形状の転写用のパターンを備えることがで、このモールドを用いたインプリントにより既存のリソグラフィー技術よりも小さい寸法のパターン形成が可能となる。   The mold according to the present invention is a mold formed by the above-described pattern forming method, and is composed of a substrate on which a new pattern is formed. According to this mold, it is possible to provide patterns for transfer of various shapes having dimensions smaller than those of the existing lithography technology, and by imprinting using this mold, pattern formation of a size smaller than that of the existing lithography technology can be provided. Is possible.

以上説明したように、本発明では、基板の上のブロック共重合体が接触する面は、ブロック共重合体を構成する互いに異なる表面自由エネルギーをもつ2つのブロック鎖の表面自由エネルギーの中間の値の表面自由エネルギーとし、ガイドパターンの側面は、2つのブロック鎖の一方の表面自由エネルギーに近い表面自由エネルギーとし、このようにしたガイドパターンの間の基板の上に、上記ブロック共重合体よりなる薄膜が形成された状態とするようにした。この結果、本発明によれば、既存のリソグラフィー技術よりも小さい寸法を有した様々な形状のパターンが形成できるようになるという優れた効果が得られる。   As described above, in the present invention, the surface of the substrate on which the block copolymer contacts is an intermediate value of the surface free energies of two block chains having different surface free energies constituting the block copolymer. The surface free energy of the surface of the guide pattern is close to the surface free energy of one of the two block chains, and the block copolymer is formed on the substrate between the guide patterns. A thin film was formed. As a result, according to the present invention, it is possible to obtain an excellent effect that patterns of various shapes having dimensions smaller than those of the existing lithography technique can be formed.

以下、本発明の実施の形態について図を参照して説明する。
はじめに、バルク状態のブロック共重合体のミクロ相分離について間単に説明する。なお、高分子もしくはポリマーとは、モノマー分子が、重合反応により、長く鎖状につながったものである。単一のモノマーが重合してできた高分子をホモポリマー、2種類以上のモノマーが重合してできた高分子を共重合体と呼ぶ。さらに、2種類以上の異なるモノマーがランダム配列している高分子をランダム共重合体、2種類の異なるモノマーが交互に配列している高分子を交互共重合体と呼ぶ。また、異なる2種類以上のホモポリマーが、直線的に化学した高分子をブロック共重合体と呼ぶ。
Hereinafter, embodiments of the present invention will be described with reference to the drawings.
First, the microphase separation of the block copolymer in the bulk state will be briefly described. The polymer or polymer is a long chain structure of monomer molecules by a polymerization reaction. A polymer formed by polymerizing a single monomer is called a homopolymer, and a polymer formed by polymerizing two or more monomers is called a copolymer. Furthermore, a polymer in which two or more different monomers are randomly arranged is called a random copolymer, and a polymer in which two different monomers are alternately arranged is called an alternating copolymer. In addition, a polymer in which two or more different homopolymers are linearly chemistry is called a block copolymer.

一般に、2種類以上のホモポリマーを混合すると、均一に混合することは稀であり、異なるホモポリマー同士が反発する結果、同種のホモポリマー同士が凝集して相分離を起こす。ブロック共重合体においても同様な相分離を起こすが、異なるホモポリマーが分子内で化学的に結合しているため、相の大きさは大きくなることができず、ブロック共重合体の大きさと同程度となる。このようなブロック共重合体の相分離は、ミクロ相分離と呼ばれ、数nmから100nm程度の相領域が形成される。   In general, when two or more types of homopolymers are mixed, it is rare that they are mixed uniformly. As a result, different homopolymers repel each other, and the same type of homopolymers aggregate to cause phase separation. A similar phase separation occurs in the block copolymer, but since the different homopolymers are chemically bonded in the molecule, the phase size cannot be increased and is the same as that of the block copolymer. It will be about. Such phase separation of the block copolymer is called microphase separation, and a phase region of several nm to 100 nm is formed.

ミクロ相分離したドメインの形状は、ブロック共重合体の重合度,各ブロック鎖の化学的性質,及び各ブロック鎖の体積分率などに大きく依存する。以下、ジブロック共重合体を例に挙げて説明する。ジブロック共重合体とは、2種類のホモポリマーが結合した共重合体であり、2種類のブロック鎖A及びブロック鎖Bから構成される。   The shape of the microphase-separated domain greatly depends on the degree of polymerization of the block copolymer, the chemical properties of each block chain, the volume fraction of each block chain, and the like. Hereinafter, the diblock copolymer will be described as an example. The diblock copolymer is a copolymer in which two types of homopolymers are bonded, and is composed of two types of block chains A and block chains B.

図1に、上述したブロック共重合体のミクロ相分離の相図を示す。図1において、横軸は、ブロック鎖Aの体積分率を表し、縦軸はχNを表す。χは、ブロック鎖Aとブロック鎖Bの相互作用パラメーターと呼ばれる定数であり、χの値が大きいほど相溶性が低く、相分離しやすい。また、Nは重合度であり、Nが大きいほど相分離しやすい。従って、両者の積χNは、相分離のしやすさの指標として用いられており、χNの値が大きいほど相分離しやすく、逆にχNの値が小さくなりすぎると、もはや相分離せずに無秩序構造となる。   In FIG. 1, the phase diagram of the micro phase separation of the block copolymer mentioned above is shown. In FIG. 1, the horizontal axis represents the volume fraction of the block chain A, and the vertical axis represents χN. χ is a constant called an interaction parameter between the block chain A and the block chain B. The larger the value of χ, the lower the compatibility and the easier the phase separation. N is the degree of polymerization, and the greater the N, the easier the phase separation. Therefore, the product χN of both is used as an index of the ease of phase separation. The larger the value of χN, the easier the phase separation occurs. Conversely, when the value of χN becomes too small, the phase separation no longer occurs. It becomes a disordered structure.

χNの値が十分大きく相分離が起きる場合、ミクロ相分離したドメインの形状は各ブロック鎖の体積分率に大きく依存する。例えば、ブロック鎖Aの体積分率が0から0.5に変化する場合、ドメイン形状は、無秩序構造,球状構造,シリンダー構造,ラメラ構造の順に変化していく。さらに、ブロック鎖Aの体積分率が0.5から1.0に増加する場合、ラメラ構造,シリンダー構造,球状構造,無秩序構造と逆の順に変化していく。   When the value of χN is sufficiently large and phase separation occurs, the shape of the microphase-separated domain greatly depends on the volume fraction of each block chain. For example, when the volume fraction of the block chain A changes from 0 to 0.5, the domain shape changes in the order of disordered structure, spherical structure, cylinder structure, and lamellar structure. Further, when the volume fraction of the block chain A increases from 0.5 to 1.0, the block chain A changes in the reverse order of the lamellar structure, the cylinder structure, the spherical structure, and the disordered structure.

次に、薄膜状態でのブロック共重合体のミクロ相分離について、簡単に説明する。薄膜状態では、ブロック鎖同士の相互作用に加えて、界面とブロック鎖との相互作用を考慮する必要がある。平坦な基板の上に、ジブロック共重合体膜を形成してミクロ相分離を誘起した場合、基板表面と親和性の高いブロック鎖が、選択的に基板表面に接触する。一方、薄膜表面では、表面自由エネルギーの小さいブロック鎖が表面に露出しやすい。   Next, microphase separation of the block copolymer in a thin film state will be briefly described. In the thin film state, in addition to the interaction between the block chains, it is necessary to consider the interaction between the interface and the block chain. When a diblock copolymer film is formed on a flat substrate to induce microphase separation, block chains having high affinity with the substrate surface selectively come into contact with the substrate surface. On the other hand, on the thin film surface, a block chain having a small surface free energy is likely to be exposed on the surface.

図2に、ラメラ構造をとるブロック共重合体の構成例を示す。ここでは、ブロック鎖Aの方が、ブロック鎖Bよりも表面自由エネルギーが低い場合を考える。ブロック鎖Aが基板201の表面との親和性が高い場合、図2(a)に示すように、ブロック共重合体薄膜203は、膜厚がnLに量子化された対称構造をとる。ここで、nは整数、Lは、ブロック共重合体を相分離させたときの、繰り返し周期の幅である。ジブロック共重合体の場合、A相204→A相204→B相205→B相205→A相204→A相204→B相205→B相205・・・の順に相分離し、連続した2つのA相204と2つのB相205により、繰り返しの単位(ミクロ相分離構造)が構成される。この繰り返しの単位がLである。   FIG. 2 shows a configuration example of a block copolymer having a lamellar structure. Here, a case where the surface free energy of the block chain A is lower than that of the block chain B is considered. When the block chain A has high affinity with the surface of the substrate 201, as shown in FIG. 2A, the block copolymer thin film 203 has a symmetrical structure in which the film thickness is quantized to nL. Here, n is an integer, and L is the width of the repeating cycle when the block copolymer is phase-separated. In the case of a diblock copolymer, the phases were separated in the order of A phase 204 → A phase 204 → B phase 205 → B phase 205 → A phase 204 → A phase 204 → B phase 205 → B phase 205. The two A phases 204 and the two B phases 205 constitute a repeating unit (microphase separation structure). The repeating unit is L.

図2の場合、ブロック鎖Aが基板201の表面との親和性が高いため、基板201の表面より、A相204が開始されるため、ミクロ相分離構造は、A相204→B相205→B相205→A相204の順に積層されたものとなり、これらミクロ相分離構想が積層されるブロック共重合体薄膜203は、相が積層される方向に対称な構造となる。   In the case of FIG. 2, since the block chain A has a high affinity with the surface of the substrate 201, the A phase 204 is started from the surface of the substrate 201, so that the microphase separation structure is A phase 204 → B phase 205 → The block copolymer thin film 203 in which the microphase separation concept is laminated has a symmetric structure in the direction in which the phases are laminated.

一方、ブロック鎖Bが基板201の表面との親和性が高い場合、図2(b)に示すように、基板201に接触してB相205から開始され、この上にA層204が形成され、この上に、A相204→B相205→B相205→A相204の順に積層されたミクロ相分離構造が繰り返される構造となる。このように、ブロック鎖Bが基板201の表面との親和性が高い場合、ブロック共重合体薄膜203aは、膜厚が(n+1/2)Lに量子化された非対称構造をとる。このように、ラメラ構造の各ドメイン界面が基板の表面と平行に配向している構造をパラレルラメラ構造と呼ぶこととする。   On the other hand, when the block chain B has high affinity with the surface of the substrate 201, as shown in FIG. 2 (b), the substrate 201 contacts the substrate 201 and starts from the B phase 205, and the A layer 204 is formed thereon. On top of this, a microphase separation structure in which the A phase 204, the B phase 205, the B phase 205, and the A phase 204 are laminated in this order is repeated. Thus, when the block chain B has high affinity with the surface of the substrate 201, the block copolymer thin film 203a has an asymmetric structure in which the film thickness is quantized to (n + 1/2) L. A structure in which each domain interface of the lamella structure is oriented in parallel with the surface of the substrate is called a parallel lamella structure.

図3に、ドットあるいはシリンダー構造をとるブロック共重合体の構成例を示す。ここでは、ブロック鎖Bがドットやシリンダーを形成し、かつブロック鎖Aの方が、ブロック鎖Bよりも表面自由エネルギーが低い場合を考える。ブロック鎖Aが基板表面と親和性が高い場合、図3(a)に示すように、ブロック共重合体薄膜303は、ブロック鎖Bからなるドットあるいはシリンダー構造のB相305がブロック鎖AからなるA層304に埋め込まれた構造のミクロ相分離構造が繰り返され、膜厚がnLに量子化された対称構造となる。この場合、繰り返しの単位(L)となる1つのミクロ相分離構造では、A層304は、連続した2つのA相から構成され、これら連続した2つのA相に挟まれて、ドットあるいはシリンダー構造のB相305が配置されているものと考えることができる。   FIG. 3 shows a configuration example of a block copolymer having a dot or cylinder structure. Here, a case is considered where the block chain B forms dots or cylinders, and the block chain A has a lower surface free energy than the block chain B. When the block chain A has a high affinity with the substrate surface, the block copolymer thin film 303 has a block chain B dot or a cylindrical B phase 305 of the block chain A, as shown in FIG. The microphase separation structure of the structure embedded in the A layer 304 is repeated, resulting in a symmetrical structure in which the film thickness is quantized to nL. In this case, in one microphase separation structure which becomes a repeating unit (L), the A layer 304 is composed of two continuous A phases, and is sandwiched between the two continuous A phases to form a dot or cylinder structure. It can be considered that the B phase 305 is arranged.

一方、ブロック鎖Bが基板表面との親和性が高い場合、図3(b)に示すように、基板301に接触してブロック鎖BからなるB相305aが形成され、この上に、ブロック鎖AからなるA層304aが形成され、この上に、B相305がA層304に埋め込まれたミクロ相分離構造が繰り返される構造となる。このように、ブロック鎖Bが基板表面との親和性が高い場合、ブロック共重合体薄膜303aは、(n+1/2)Lに量子化された非対称構造をとることになる。   On the other hand, when the block chain B has a high affinity with the substrate surface, as shown in FIG. 3 (b), a B phase 305a composed of the block chain B is formed in contact with the substrate 301, on which the block chain B is formed. An A layer 304 a made of A is formed, and a microphase separation structure in which a B phase 305 is embedded in the A layer 304 is repeated thereon. Thus, when the block chain B has high affinity with the substrate surface, the block copolymer thin film 303a has an asymmetric structure quantized to (n + 1/2) L.

次に、第3として、溝構造内でのブロック共重合体薄膜のミクロ相分離について、簡単に説明する。先ず、溝構造内での、ドットあるいはシリンダー構造をとるブロック共重合体のミクロ相分離の様子を、図4を用いて説明する。図4に示すように、溝構造401は、凹部401aの幅Ws、凸部401bの幅Wgとされている。このように形成された溝構造401の上に、ブロック鎖Bが基板表面との親和性が高く、かつブロック鎖Aの方がブロック鎖Bよりも表面自由エネルギーが低いブロック共重合体の膜を適当な膜厚に形成し、ミクロ相分離を誘起する。このことにより、先ず、溝構造401の表面に接触してブロック鎖BからなるB相405aが形成され、この上にブロック鎖AからなるA相404aが形成される。   Thirdly, the microphase separation of the block copolymer thin film within the groove structure will be briefly described. First, the state of microphase separation of a block copolymer having a dot or cylinder structure in the groove structure will be described with reference to FIG. As shown in FIG. 4, the groove structure 401 has a width Ws of the concave portion 401a and a width Wg of the convex portion 401b. On the groove structure 401 formed in this manner, a block copolymer film in which the block chain B has a higher affinity with the substrate surface and the block chain A has a lower surface free energy than the block chain B is formed. It is formed to an appropriate film thickness and induces microphase separation. As a result, first, a B phase 405a composed of the block chain B is formed in contact with the surface of the groove structure 401, and an A phase 404a composed of the block chain A is formed thereon.

また、凹部401aでは、膜厚が(3/2)Lに量子化され、A相404aに続き、ドットあるいはシリンダー構造のB相405がA層404の中に埋め込まれた構造が形成される。この構造では、A層404は、連続した2つのA相から構成され、これら連続した2つのA相に挟まれて、ドットあるいはシリンダー構造のB相405が配置されているものと考えることができる。   In the recess 401a, the film thickness is quantized to (3/2) L, and a structure in which a B phase 405 having a dot or cylinder structure is embedded in the A layer 404 is formed following the A phase 404a. In this structure, the A layer 404 is composed of two continuous A phases, and it can be considered that a B phase 405 having a dot or cylinder structure is disposed between the two continuous A phases. .

一方、凸部401bの上には、膜厚が(1/2)Lに量子化され、ドットあるいはシリンダー構造のB相が形成されることがない。このように、溝構造内での、埋込み型のドットあるいはシリンダー構造の形成は、膜厚の量子化が非常に有効に働いて、溝構造内のみに自己組織化構造が形成される。さらに、溝構造の溝(凸部)の延在方向に沿って、ドット構造やシリンダー構造を配列又は配向させることができる。   On the other hand, the film thickness is quantized to (1/2) L on the convex portion 401b, and a B phase having a dot or cylinder structure is not formed. Thus, in the formation of the embedded dot or cylinder structure in the groove structure, the quantization of the film thickness works very effectively, and the self-organized structure is formed only in the groove structure. Furthermore, the dot structure or the cylinder structure can be arranged or oriented along the extending direction of the groove (convex portion) of the groove structure.

しかしながら、従来技術で述べたように、埋込み型のドットあるいはシリンダー構造では、十分なエッチング耐性が得られないため、高解像性パターンの転写マスクとしては、不適当である。転写マスクとしては、界面が基板表面の法線方向に配向したシリンダー構造やラメラ構造を用いることにより、アスペクト比が高く、十分なエッチング耐性をもった転写マスクをとして用いることができる。   However, as described in the prior art, the embedded dot or cylinder structure is not suitable as a transfer mask for a high resolution pattern because sufficient etching resistance cannot be obtained. As the transfer mask, by using a cylinder structure or a lamellar structure whose interface is oriented in the normal direction of the substrate surface, a transfer mask having a high aspect ratio and sufficient etching resistance can be used.

次に、溝構造内での、ラメラ構造をとるブロック共重合体のミクロ相分離の様子を、図5を用いて説明する。ブロック鎖Bが基板表面との親和性が高く、かつブロック鎖Aの方が、ブロック鎖Bよりも表面自由エネルギーが低いものを用いた場合を説明する。図5に示すように、溝構造501は、凹部501aの幅Ws、凸部501bの幅Wgとされている。このように形成された溝構造501の上に、上記構成のブロック共重合体の膜を適当な膜厚に形成し、ミクロ相分離を誘起すると、前述同様に、凹部501aでは膜厚が(3/2)Lに量子化され、凸部501bの上では膜厚が(1/2)Lに量子化される。   Next, the state of microphase separation of the block copolymer having a lamellar structure in the groove structure will be described with reference to FIG. The case where the block chain B has a higher affinity with the substrate surface and the block chain A has a lower surface free energy than the block chain B will be described. As shown in FIG. 5, the groove structure 501 has a width Ws of the concave portion 501a and a width Wg of the convex portion 501b. When the block copolymer film having the above-described structure is formed to an appropriate film thickness on the groove structure 501 thus formed, and microphase separation is induced, the film thickness in the recess 501a is (3 / 2) Quantized to L, and the film thickness is quantized to (1/2) L on the convex portion 501b.

ラメラ構造をとる場合、各相の界面は基板表面と平行に配向し、凹部501aでは、B相505a,A相504,及びこの上のA相504に続き、2つのB相からなるB相505が形成される。このように、A相とB相とが基板表面の法線方向に配列されないため、ラメラ構造を下地基板に転写することは不可能である。   In the case of adopting a lamellar structure, the interface of each phase is oriented parallel to the substrate surface, and in the recess 501a, following the B phase 505a, the A phase 504, and the upper A phase 504, a B phase 505 composed of two B phases. Is formed. Thus, since the A phase and the B phase are not arranged in the normal direction of the substrate surface, it is impossible to transfer the lamellar structure to the base substrate.

ラメラ構造の界面を基板表面に垂直に配向させるためには、特定のブロック鎖が選択的に基板表面に接触しないように、基板表面の表面自由エネルギーを、ブロック共重合体を構成するいずれのブロック鎖の表面自由エネルギーとも異なる値にすればよい。例えば、ブロック共重合体を構成するブロック鎖Aとブロック鎖Bの表面自由エネルギーの中間の値に、基板表面の表面自由エネルギーの値を設定する中性化処理を行えばよい。この中性化処理により、どちらかのブロック鎖が選択的に基板の表面と接して形成されることが抑制され、両ブロック鎖が基板表面に共存することができるようになる。結果として、ラメラ構造の各ドメイン界面が基板表面に対して垂直に形成されやすくなる。この構造をラテラルラメラ構造と呼ぶこととする。   In order to align the interface of the lamella structure perpendicularly to the substrate surface, the surface free energy of the substrate surface is set so that a specific block chain does not selectively contact the substrate surface. A value different from the surface free energy of the chain may be used. For example, a neutralization process may be performed in which the surface free energy value of the substrate surface is set to an intermediate value between the surface free energies of the block chains A and B constituting the block copolymer. By this neutralization treatment, formation of either block chain in selective contact with the substrate surface is suppressed, and both block chains can coexist on the substrate surface. As a result, each domain interface of the lamella structure is easily formed perpendicular to the substrate surface. This structure is called a lateral lamellar structure.

ところが、表面を中性化した溝構造内では、次に示すように、基板表面(凹部底面)に平行な方向には、ランダムに配向してしまう。表面を中性化処理した溝構造内での、ラメラ構造をとるブロック共重合体のミクロ相分離の様子を図6を用いて説明する。基板601の表面に中性化処理による中性層602が形成された状態とし、この状態で、溝構造内にブロック鎖Aとブロック鎖Bとからなるブロック共重合体の膜を適当な膜厚に形成し、ミクロ相分離を誘起する。中性層602の表面は、ブロック鎖Aとブロック鎖Bの表面自由エネルギーの中間の値の表面自由エネルギーとされている。   However, in the groove structure having a neutralized surface, it is randomly oriented in a direction parallel to the substrate surface (the bottom surface of the recess) as shown below. The state of microphase separation of the block copolymer having a lamellar structure in the groove structure having a neutralized surface will be described with reference to FIG. In this state, a neutral layer 602 is formed on the surface of the substrate 601, and in this state, a block copolymer film composed of block chains A and B is formed in the groove structure. To induce microphase separation. The surface of the neutral layer 602 has a surface free energy that is an intermediate value between the surface free energies of the block chain A and the block chain B.

このような状態では、ミクロ相分離したブロック鎖AによるA層603及びブロック鎖BによるB層604は、凹部底面に対して垂直に配向するが、また同時に、溝構造の側壁に対しても、界面が垂直に配向する。この結果、溝構造の側壁に沿って配向する原動力がなくなり、溝構造の側壁形状とは無関係にランダム配向する。   In such a state, the microphase-separated A layer 603 by the block chain A and the B layer 604 by the block chain B are oriented perpendicular to the bottom surface of the recess, and at the same time, also to the side wall of the groove structure, The interface is oriented vertically. As a result, there is no motive force for orientation along the side wall of the groove structure, and random orientation is performed regardless of the side wall shape of the groove structure.

従って、ラメラ構造を溝構造の側壁に沿って配向させるためには、溝構造の凹部底面と側壁面の表面自由エネルギーを独立に制御する必要がある。発明者らは、この問題を解決すべく、鋭意検討を重ねた結果、この問題を解決する方法を見出した。本発明では、いずれのブロック鎖とも異なる表面自由エネルギーの状態の基板の上に、いずれかのブロック鎖の表面自由エネルギーの状態と等しくされた表面自由エネルギーを備えるガイドパターンを形成するようにした。この状態で、ブロック共重合体薄膜を形成してミクロ相分離を誘起することで、形成されるラメラ構造がガイドパターンに配向させるようにした。   Therefore, in order to orient the lamella structure along the side wall of the groove structure, it is necessary to independently control the surface free energy of the bottom surface of the recess and the side wall surface of the groove structure. As a result of intensive studies to solve this problem, the inventors have found a method for solving this problem. In the present invention, a guide pattern having a surface free energy equal to the surface free energy state of any block chain is formed on a substrate having a surface free energy state different from any block chain. In this state, a block copolymer thin film was formed to induce microphase separation so that the formed lamellar structure was oriented in the guide pattern.

以下に、本発明のパターン形成方法について、より詳細に説明する。
(第1の実施形態)
図7は、本発明の実施形態に係るパターン形成方法を説明するための工程図である。図7に示す例では、下地となる基板701の表面に中性層801を形成し、この上にブロック共重合体のミクロ相分離構造を配向させるためのガイドパターン702を形成することで溝構造を実現し、かつガイドパターン702を、ブロック共重合体のブロック鎖のいずれかと類似したホモポリマーを含んだ材料から構成することにより、基板表面の表面自由エネルギー及びガイドパターン側壁の表面自由エネルギーを各々個別に制御し、ミクロ相分離構造のより精密な配向(及びこの制御)を実現するようにしたものである。
Below, the pattern formation method of this invention is demonstrated in detail.
(First embodiment)
FIG. 7 is a process diagram for explaining the pattern forming method according to the embodiment of the present invention. In the example shown in FIG. 7, a neutral layer 801 is formed on the surface of a base substrate 701, and a guide pattern 702 for orienting a microphase separation structure of a block copolymer is formed thereon, thereby forming a groove structure. And the guide pattern 702 is made of a material containing a homopolymer similar to one of the block chains of the block copolymer, whereby the surface free energy of the substrate surface and the surface free energy of the side walls of the guide pattern are respectively These are individually controlled to realize a more precise orientation (and this control) of the microphase separation structure.

先ず、図7(a)に示すように、基板701を準備する。ここでは、基板701は、単なる基台として用いるため、材質は、金属,半導体,絶縁体など、特に材料を選ばずに用いることができる。また、基板701の形状は、特に問わないが、配向制御を行う領域においては、平坦な領域が存在することが望ましい。   First, as shown in FIG. 7A, a substrate 701 is prepared. Here, since the substrate 701 is used as a simple base, any material such as a metal, a semiconductor, or an insulator can be used. The shape of the substrate 701 is not particularly limited, but it is desirable that a flat region exists in the region where orientation control is performed.

次に、図7(b)に示すように、基板701の平坦な領域の表面上に中性層801を形成する。中性層801は、表面自由エネルギーが、用いるブロック共重合体の各ブロック鎖の表面自由エネルギーの範囲内に存在する材料から構成する。例えば、2つのブロック鎖からなるブロック共重合体を用いる場合、2つのブロック鎖の表面自由エネルギーの中間の値の表面自由エネルギーとされていればよい。中性層801を形成する第1の方法としては、ブロック共重合体を構成する各モノマーからなる共重合体の薄膜から構成すればよい。このような共重合体薄膜として、用いるブロック共重合体の各ブロック鎖の組成に応じたランダム共重合体を用いればよい。この場合のブロック鎖の組成比は、実験結果に応じて最適化して用いればよい。また、ブロック共重合体の組成比が1:1に近い場合は、交互共重合体も用いることができる。   Next, as illustrated in FIG. 7B, a neutral layer 801 is formed on the surface of the flat region of the substrate 701. The neutral layer 801 is made of a material whose surface free energy is within the range of the surface free energy of each block chain of the block copolymer to be used. For example, when a block copolymer composed of two block chains is used, the surface free energy may be an intermediate value between the surface free energies of the two block chains. As a first method for forming the neutral layer 801, a thin film of a copolymer composed of each monomer constituting the block copolymer may be used. What is necessary is just to use the random copolymer according to the composition of each block chain of the block copolymer to be used as such a copolymer thin film. In this case, the composition ratio of the block chain may be optimized according to the experimental result. Further, when the composition ratio of the block copolymer is close to 1: 1, an alternating copolymer can also be used.

これらの薄膜は、ブロック共重合体の塗布液を塗布する際に、塗布液の溶剤に完全に溶解しないよう、可能な範囲で高分子量のものを用いるのが好ましい。少なくとも10万以上、この好ましくは20万以上、より好ましくは50万以上の分子量をもつ共重合体が好ましい。また、これらの共重合体と少なくとも架橋剤を含む薄膜を形成した後、加熱処理,光及び放射の照射などを用い、共重合体同士を架橋させることにより、有機溶剤に不溶化させることもできる。この場合は、分子量10万以下のランダム共重合体あるいは交互共重合体を用いることができる。さらに、分子末端に、基板701の表面上に存在する水酸基と反応して結合する官能基をもつランダム共重合体あるいは交互共重合体からなる薄膜を形成し、基板701の表面に化学的に結合させて表面グラフトポリマー層を形成することにより、後の工程で用いる有機溶剤に対して不溶化させることが望ましい。上記官能基としては、例えば、水酸基(−OH)、カルボキシル基(−COOH)を用いることができる。   These thin films preferably have a high molecular weight as much as possible so as not to be completely dissolved in the solvent of the coating solution when the coating solution of the block copolymer is applied. A copolymer having a molecular weight of at least 100,000 or more, preferably 200,000 or more, more preferably 500,000 or more is preferred. Moreover, after forming a thin film containing these copolymers and at least a crosslinking agent, they can be insolubilized in an organic solvent by crosslinking the copolymers using heat treatment, irradiation of light and radiation, or the like. In this case, a random copolymer or an alternating copolymer having a molecular weight of 100,000 or less can be used. Further, a thin film made of a random copolymer or an alternating copolymer having a functional group that reacts with and binds to a hydroxyl group present on the surface of the substrate 701 is formed at the molecular end, and chemically bonded to the surface of the substrate 701. By forming the surface graft polymer layer, it is desirable to insolubilize in an organic solvent used in a later step. As the functional group, for example, a hydroxyl group (—OH) or a carboxyl group (—COOH) can be used.

中性層801を形成する第2の方法として、シランカップリング剤を用い、液相あるいは気相化学吸着法により、基板701の表面に自己組織化単分子膜を形成する方法を用いることができる。この場合は、吸着分子の被覆率を、吸着時間及び吸着温度を変えることにより、形成された中性層801の表面自由エネルギーを制御することが可能である。また一旦基板701の表面全体を表面修飾した後、紫外線,X線,及び電子線などの放射線を照射することにより、自己組織化単分子膜を部分的に分解し、表面自由エネルギーの制御を行ってもかまわない。シランカップリング剤としては、末端に、ハロゲン基,アルコキシ基,シラザン基をもつものを用いることができる。   As a second method of forming the neutral layer 801, a method of forming a self-assembled monomolecular film on the surface of the substrate 701 by using a silane coupling agent and using a liquid phase or gas phase chemical adsorption method can be used. . In this case, the surface free energy of the formed neutral layer 801 can be controlled by changing the adsorption rate of the adsorbed molecules and the adsorption time and adsorption temperature. Once the entire surface of the substrate 701 is modified, the self-assembled monolayer is partially decomposed by irradiating with radiation such as ultraviolet rays, X-rays, and electron beams, thereby controlling the surface free energy. It doesn't matter. As the silane coupling agent, one having a halogen group, an alkoxy group, or a silazane group at the terminal can be used.

次に、図7(c)に示すように、上述のことにより形成した中性層801の上に、配向のための、互いに並行とされた複数のガイドパターン702を形成する。ガイドパターン702の主な機能は、ブロック共重合体の特定のブロック鎖を選択的にガイドパターン702の側壁表面に接触させることにある。このためには、特定のブロック鎖のガイドパターン側壁への親和性が高ければ高いほど良い。このためには、ガイドパターン702の側壁表面の表面自由エネルギーが、接触させたいブロック鎖の表面自由エネルギーに近いことが好ましい。ここでは、表面自由エネルギーを表面張力と置き換えてもかまわない。   Next, as shown in FIG. 7C, on the neutral layer 801 formed as described above, a plurality of parallel guide patterns 702 for alignment are formed. The main function of the guide pattern 702 is to selectively bring a specific block chain of the block copolymer into contact with the side wall surface of the guide pattern 702. For this purpose, the higher the affinity of the specific block chain for the side wall of the guide pattern, the better. For this purpose, the surface free energy of the side wall surface of the guide pattern 702 is preferably close to the surface free energy of the block chain to be contacted. Here, the surface free energy may be replaced with the surface tension.

表面張力を測定する手法として、水滴の接触角を測定する方法が挙げられる。例えば、ガイドパターン702の表面上及び特定のブロック鎖の成分からなる薄膜上での水滴の接触角が等しければ、両者の表面張力は等しく、言い換えれば、両者の表面自由エネルギーは等しい。このとき、特定のブロック鎖のガイドパターン702の表面への親和性が高く、特定のブロック鎖が選択的にガイドパターン702の表面に接触することになる。以下の説明では、濡れ性の評価指標として、統一して表面自由エネルギーを用いて記述する。   As a method for measuring the surface tension, there is a method for measuring the contact angle of a water droplet. For example, if the contact angles of water droplets on the surface of the guide pattern 702 and the thin film composed of a specific block chain component are equal, the surface tensions of both are equal, in other words, the surface free energies of both are equal. At this time, the affinity of the specific block chain to the surface of the guide pattern 702 is high, and the specific block chain selectively contacts the surface of the guide pattern 702. In the following description, surface free energy is used as a unified evaluation index for wettability.

さらには、ガイドパターン702の材料として、ガイドパターン702に選択的に接触させたい特定のブロック鎖と類似(同じ)の成分からなるポリマーであることが好ましく、さらに好ましくは、これらのポリマーが感光性樹脂(レジスト)であることが、より好ましい。ガイドパターンが、ブロック共重合体のいずれかのブロック鎖と同じモノマー成分からなるポリマーを含んでいれば、ガイドパターン702の表面(側面)の表面自由エネルギーは、ブロック共重合体の一方のブロック鎖の表面エネルギーに近い状態となる。   Furthermore, it is preferable that the material of the guide pattern 702 is a polymer composed of components similar to (same as) a specific block chain to be selectively brought into contact with the guide pattern 702, and more preferably, these polymers are photosensitive. A resin (resist) is more preferable. If the guide pattern includes a polymer composed of the same monomer component as any block chain of the block copolymer, the surface free energy of the surface (side surface) of the guide pattern 702 is one block chain of the block copolymer. It becomes a state close to the surface energy of.

次に、ガイドパターン702として、ポリマー材料を用いた場合について説明する。基板701の上にポリマー材料膜を形成した後、この上にさらに感光性樹脂(レジスト)膜を形成し、露光・現像を行い、レジストパターンを形成する。次いで、形成したレジストパターンをマスクに、上記ポリマー材料膜をエッチングしてポリマー材料からなるガイドパターン702を形成する。このときエッチングしすぎると、下地の中性層801も除去されてしまうので、エッチング条件を慎重に選ぶ必要がある。また、インプリントリソグラフィー技術を用いて、モールド上に形成したポリマー材料からなるパターンを基板701の上に移植することで、ガイドパターン702が形成された状態としても良い。   Next, a case where a polymer material is used as the guide pattern 702 will be described. After a polymer material film is formed on the substrate 701, a photosensitive resin (resist) film is further formed thereon, and exposure and development are performed to form a resist pattern. Next, using the formed resist pattern as a mask, the polymer material film is etched to form a guide pattern 702 made of a polymer material. If the etching is performed too much at this time, the underlying neutral layer 801 is also removed, so that the etching conditions must be carefully selected. Alternatively, the guide pattern 702 may be formed by implanting a pattern made of a polymer material formed on a mold onto the substrate 701 using an imprint lithography technique.

次に、ガイドパターン702として、感光性を有するレジストを用いた場合について説明する。基板701上に上記レジストの膜を形成した後、露光及び現像を行い、レジストパターンを形成する。この際、現像は、有機溶剤あるいは弱アルカリ現像液を用いて、レジスト溶解させるだけであるため、下地の中性層801にプラズマなどによるダメージを与えることなく、ガイドパターン702を形成することが可能となる。ガイドパターン702としては、ポジ型レジスト及びネガ型レジストともに用いることができる。なお、中性層801が、露光によりダメージを受けやすい材料の場合は、ポジ型レジストよりもネガ型レジストの方が好ましい。ネガ型の場合は、ガイドパターン702を形成しようとする領域に露光がされ、現像後に中性層801が露出する領域には露光しなくて済む。このため、ガイドパターン702が配置されない露出される領域における中性層801への、露光によるダメージが低減できる。   Next, a case where a photosensitive resist is used as the guide pattern 702 will be described. After the resist film is formed on the substrate 701, exposure and development are performed to form a resist pattern. At this time, since the development is performed only by dissolving the resist using an organic solvent or a weak alkaline developer, the guide pattern 702 can be formed without damaging the underlying neutral layer 801 by plasma or the like. It becomes. As the guide pattern 702, both a positive resist and a negative resist can be used. Note that when the neutral layer 801 is a material that is easily damaged by exposure, a negative resist is preferable to a positive resist. In the case of the negative type, the region where the guide pattern 702 is to be formed is exposed, and the region where the neutral layer 801 is exposed after development need not be exposed. For this reason, damage to the neutral layer 801 in the exposed region where the guide pattern 702 is not disposed can be reduced.

また、後の工程であるミクロ相分離形成工程において熱処理を行うため、ガイドパターン702に用いる材料は、熱処理中においてもパターン形状が変形しないように、できるだけ軟化温度が高い材料であることが望ましい。一般には、架橋することにより軟化温度が高くなるため、架橋型ネガ型レジストの方がより好ましい。   In addition, since heat treatment is performed in the subsequent microphase separation forming process, the material used for the guide pattern 702 is desirably a material having a softening temperature as high as possible so that the pattern shape is not deformed even during the heat treatment. In general, since a softening temperature is increased by crosslinking, a crosslinked negative resist is more preferable.

ガイドパターン702の材料としては、例えば、ポリスチレン、ポリ(α−メチルスチレン)、クロロメチル化ポリスチレン、ポリシロキサン、水素化シルセスキオキサン、カリックスアレン誘導体、フラーレン誘導体などのネガ型電子線レジストを挙げることができる。また、ポリメチルメタクリレート、ポリ(t−ブチルメタクリレート)、ポリメチルメタクリレートとポリメチルメタクリル酸の共重合体、ポリメチルメタクリレートとポリスチレンの共重合体、ポリメチルメタクリレートとポリ(α−メチルスチレン)の共重合体、ポリ(α−クロロアクリレート)とポリ(α−メチルスチレン)の共重合体などのポジ型電子線レジストを挙げることができる。さらに、電子線レジストに限らず、各種光源の波長に対応したg線用、i線用、KrF用、ArF用、EUV用のフォトレジストも用いることができる。より好ましくは、後の工程で用いるブロック共重合体のいずれかのブロック鎖と同じモノマーからなるポリマーを含んでいることが望ましい。   Examples of the material of the guide pattern 702 include negative electron beam resists such as polystyrene, poly (α-methylstyrene), chloromethylated polystyrene, polysiloxane, hydrogenated silsesquioxane, calixarene derivatives, and fullerene derivatives. be able to. In addition, polymethyl methacrylate, poly (t-butyl methacrylate), a copolymer of polymethyl methacrylate and polymethyl methacrylic acid, a copolymer of polymethyl methacrylate and polystyrene, and a copolymer of polymethyl methacrylate and poly (α-methyl styrene). A positive electron beam resist such as a polymer and a copolymer of poly (α-chloroacrylate) and poly (α-methylstyrene) can be mentioned. Further, not only electron beam resists but also g-line, i-line, KrF, ArF, and EUV photoresists corresponding to wavelengths of various light sources can be used. More preferably, it contains a polymer composed of the same monomer as any block chain of the block copolymer used in the subsequent step.

次に、ガイドパターン702の線幅について述べる。一般に、段差をもつ表面にポリマーなどの薄膜を形成する場合、段差の部分でポリマー薄膜の膜厚が厚くなりやすい。これに対し、薄膜形成後の熱処理により、例えばポリマーをガラス転移点以上の温度として流動化させることにより、平坦化することができる。この平坦化の程度は、凸部の幅、すなわちガイドパターン702の幅が狭いほど大きくなる。ブロック共重合体薄膜中のミクロ相分離は、膜厚に大きく依存する場合もあるので、ブロック共重合体薄膜の膜厚はできるだけ均一であることが好ましい。従って、ガイドパターン702の線幅は、できるだけ細い方が好ましい。   Next, the line width of the guide pattern 702 will be described. In general, when a thin film such as a polymer is formed on a stepped surface, the thickness of the polymer thin film tends to increase at the stepped portion. On the other hand, it can planarize by heat-processing after thin film formation, for example, by making a polymer fluidize as the temperature more than a glass transition point. The degree of flattening increases as the width of the convex portion, that is, the width of the guide pattern 702 decreases. Since the microphase separation in the block copolymer thin film may greatly depend on the film thickness, the film thickness of the block copolymer thin film is preferably as uniform as possible. Therefore, it is preferable that the line width of the guide pattern 702 be as narrow as possible.

次に、図7(d)に示すように、ガイドパターン702が形成された中性層801上に、例えば2種類のブロック鎖A及びブロック鎖Bから構成されたブロック共重合体(ジブロック共重合体)よりなるブロック共重合体薄膜703を形成する。ここでは、ブロック鎖Bが、ガイドパターン702の表面への親和性が高く、ブロック共重合体薄膜703をミクロ相分離させた場合、ブロック鎖Bが選択的にガイドパターン702の表面に接触するものとする。なお、ブロック共重合体薄膜703は、ブロック共重合体を有機溶媒に溶解した溶液(塗布液)を用い、スピン塗布法,キャスト法,ディップ法を用いて形成すればよい。塗布液を用いて薄膜を形成するためには、膜厚均一性の優れたスピン塗布法を用いるのが好ましい。   Next, as shown in FIG. 7D, on the neutral layer 801 on which the guide pattern 702 is formed, for example, a block copolymer (diblock copolymer) composed of two types of block chains A and block chains B is formed. A block copolymer thin film 703 made of a polymer is formed. Here, the block chain B has a high affinity for the surface of the guide pattern 702, and when the block copolymer thin film 703 is microphase-separated, the block chain B selectively contacts the surface of the guide pattern 702. And The block copolymer thin film 703 may be formed by using a solution (coating solution) obtained by dissolving the block copolymer in an organic solvent, using a spin coating method, a casting method, or a dip method. In order to form a thin film using a coating solution, it is preferable to use a spin coating method with excellent film thickness uniformity.

また、ブロック共重合体薄膜703のブロック共重合体を構成するブロック鎖(ブロック鎖A,ブロック鎖B)としては、先ず、ポリメタクリル酸エステル誘導体ポリマー鎖として、ポリ(メチルメタクリレート)、ポリ(エチルメタクリレート)、ポリ(n−プロピルメタクリレート)、ポリ(n−ブチルメタクリレート、ポリ(i−ブチルメタクリレート)、ポリ(t−ブチルメタクリレート)、ポリ(ネオペンチルメタクリレート)、ポリ(シクロヘキシルメタクリレート)、ポリ(2−ヒドロキシエチルメタクリレート)、ポリ(2−ヒドロキシプロピルメタクリレート)、ポリ(トリフルオロエチルメタクリレート)などを用いることができる。   In addition, as the block chain (block chain A, block chain B) constituting the block copolymer of the block copolymer thin film 703, first, poly (methyl methacrylate), poly (ethyl) as a polymethacrylate derivative polymer chain. Methacrylate), poly (n-propyl methacrylate), poly (n-butyl methacrylate, poly (i-butyl methacrylate), poly (t-butyl methacrylate), poly (neopentyl methacrylate), poly (cyclohexyl methacrylate), poly (2 -Hydroxyethyl methacrylate), poly (2-hydroxypropyl methacrylate), poly (trifluoroethyl methacrylate) and the like can be used.

また、ブロック共重合体を構成するブロック鎖としては、ポリアクリル酸エステル誘導体ポリマー鎖として、ポリ(メチルアクリレート)、ポリ(エチルアクリレート)、ポリ(t−ブチルアクリレート)、ポリ(n−ブチルアクリレート)、ポリ(ネオペンチルアクリレート)、ポリ(n−ノニルアクリレート)、ポリ(n−オクチルアクリレート)、ポリ(2−エチルヘキシルアクリレート)、ポリ(1−エトキシエチルアクリレート)、ポリ(ヒドロキシエチルアクリレート)などを用いることができる。   Moreover, as a block chain which comprises a block copolymer, as a polyacrylic acid ester derivative polymer chain, poly (methyl acrylate), poly (ethyl acrylate), poly (t-butyl acrylate), poly (n-butyl acrylate) , Poly (neopentyl acrylate), poly (n-nonyl acrylate), poly (n-octyl acrylate), poly (2-ethylhexyl acrylate), poly (1-ethoxyethyl acrylate), poly (hydroxyethyl acrylate) and the like are used. be able to.

また、ブロック共重合体を構成するブロック鎖としては、ポリスチレン誘導体ポリマー鎖として、ポリスチレン、ポリ(α−メチルスチレン)、ポリ(2−ビニルピリジン)、ポリ(4−ビニルピリジン)、ポリ(4−ヒドロキシスチレン)、ポリ(t−ブチルスチレン)、ポリ(t−ブトキシスチレン)、ポリ(4−アミノメチルスチレン)、ポリ(4−メチオキシスチレン)、ポリ(p−クロロメチルスチレン)などを用いることができる。   In addition, as a block chain constituting the block copolymer, polystyrene, poly (α-methylstyrene), poly (2-vinylpyridine), poly (4-vinylpyridine), poly (4- Hydroxystyrene), poly (t-butylstyrene), poly (t-butoxystyrene), poly (4-aminomethylstyrene), poly (4-methyloxystyrene), poly (p-chloromethylstyrene), etc. Can do.

また、ブロック共重合体を構成するブロック鎖としては、ポリジエン誘導体ポリマー鎖として、ポリ(1,2付加ブタジエン)、ポリ(1,4付加ブタジエン)、ポリ(1,2付加イソプレン、ポリ(1,4付加イソプレン)、ポリ(1,4付加水素化イソプレン)、ポリ(イソブチレン)などを用いることができる。   As the block chain constituting the block copolymer, poly (1,2-added butadiene), poly (1,4-added butadiene), poly (1,2-added isoprene, poly (1,1, 4-addition isoprene), poly (1,4-addition hydrogenated isoprene), poly (isobutylene), and the like can be used.

また、ブロック共重合体を構成するブロック鎖としては、ポリシロキサン鎖として、ポリ(ジメチルシロキサン)、ポリ(ジフェニルシロキサン)、ポリ(メチルフエニルシロキサン)などを用いることができる。他に、ブロック共重合体を構成するブロック鎖としては、ポリ(フエロセニルジメチルシラン)、ポリアクリロニトリル、ポリアクリルアミド、ポリ(N,N−ジメチルアクリルアミド)、ポリ(ε−カプロラクトン)、ポリ(エチレンオキサイド)、ポリ(プロピレンオキサイド)、ポリアクリル酸、ポリメタクリル酸などを用いることができる。   As the block chain constituting the block copolymer, poly (dimethylsiloxane), poly (diphenylsiloxane), poly (methylphenylsiloxane), or the like can be used as a polysiloxane chain. In addition, the block chain constituting the block copolymer includes poly (ferrocenyldimethylsilane), polyacrylonitrile, polyacrylamide, poly (N, N-dimethylacrylamide), poly (ε-caprolactone), poly (ethylene). Oxide), poly (propylene oxide), polyacrylic acid, polymethacrylic acid, and the like.

また、ブロック共重合体薄膜703には、A−B型ジブロック共重合体に限らず、上述したブロック鎖の組み合わせからなる、A−B−A型トリブロック共重合体、A−B−C型トリブロック共重合体などを用いることができる。各ブロック鎖の体積分率がほぼ等しいブロック共重合体、すなわちA−B型ジブロック共重合体、A−B−A型トリブロック共重合体の場合は、各ブロック鎖の体積分率が50%程度のブロック共重合体を用いれば、後に説明するミクロ相分離工程において、ラメラ構造を形成することができる。また、A−B−C型トリブロック共重合の場合は、各ブロック鎖の体積分率が33%程度のブロック共重合体を用いれば、後に説明するミクロ相分離工程において、ラメラ構造を形成することができる。また、A−B型ジブロック共重合体、A−B−A型トリブロック共重合体の場合は、ブロック鎖Aの体積分率が30%程度のブロック共重合体を用いれば、形成面の法線方向に伸びるシリンダー構造を形成することができる。   In addition, the block copolymer thin film 703 is not limited to the AB type diblock copolymer, but includes an ABA type triblock copolymer, ABC type, which is a combination of the above block chains. Type triblock copolymer and the like can be used. In the case of a block copolymer having almost the same volume fraction of each block chain, that is, an AB type diblock copolymer or an ABA type triblock copolymer, the volume fraction of each block chain is 50. If a block copolymer of about% is used, a lamellar structure can be formed in the microphase separation step described later. In the case of the ABC type triblock copolymer, if a block copolymer having a volume fraction of each block chain of about 33% is used, a lamellar structure is formed in the microphase separation step described later. be able to. In the case of an AB type diblock copolymer and an AB type triblock copolymer, if a block copolymer having a block chain A volume fraction of about 30% is used, A cylinder structure extending in the normal direction can be formed.

次に、ブロック共重合体の分子量について説明する。分子量100,000g/mol程度のブロック共重合体を用いると、50nm周期程度のラメラ構造あるいはシリンダー構造が形成される。このように、50nm周期にミクロ相分離構造が形成できれば、以下に説明するように、50nm周期の微細なパターンが形成可能である。また、分子量80,000g/mol程度以下のブロック共重合体を用いることで、40nm以下の周期のミクロ相分離構造が形成可能であり、既存のリソグラフィー技術では形成が難しい解像度のパターンが形成可能となる。   Next, the molecular weight of the block copolymer will be described. When a block copolymer having a molecular weight of about 100,000 g / mol is used, a lamellar structure or a cylinder structure having a period of about 50 nm is formed. Thus, if a microphase separation structure can be formed in a 50 nm period, a fine pattern having a 50 nm period can be formed as described below. In addition, by using a block copolymer having a molecular weight of about 80,000 g / mol or less, a microphase separation structure with a period of 40 nm or less can be formed, and a pattern with a resolution that is difficult to form with existing lithography technology can be formed. Become.

さらに、分子量40,000g/mol程度以下のブロック共重合体を用いることで、周期が30nm以下のミクロ相分離構造が形成可能となり、分子量20,000g/mol程度以下のブロック共重合体を用いることで、周期が20nm以下のミクロ相分離構造が形成可能となる。なお、上述の分子量は、例えば、よく知られたサイズ排除クロマトグラフィーによる分子量分布測定で測定された数平均分子量である。   Furthermore, by using a block copolymer having a molecular weight of about 40,000 g / mol or less, a microphase separation structure having a period of 30 nm or less can be formed, and a block copolymer having a molecular weight of about 20,000 g / mol or less is used. Thus, a microphase separation structure with a period of 20 nm or less can be formed. The molecular weight described above is, for example, the number average molecular weight measured by molecular weight distribution measurement by well-known size exclusion chromatography.

次に、ブロック共重合体の塗布について説明する。先ず、塗布液に用いる有機溶剤としては、用いるブロック共重合体を構成する各ブロック鎖と相溶性の高いものを用いることが好ましい。このような有機溶剤としては、トルエン、ベンゼン、クロロベンゼン、エチルベンゼン、オルトジクロロベンゼン、アニソール、2−メトキシエチルアセテート、2−エトキシエチルアセテート、ジエチレングリコールジメチルエーテル、テトラヒドロフラン、1,4−ジオキサン、アセトン、メチルエチルケトン、シクロヘキサン、シクロヘキサノン、クロロホルム、酢酸エチル、酢酸シクロヘキシル、エタノール、イソプロパノールを挙げることができる。   Next, application of the block copolymer will be described. First, as the organic solvent used in the coating solution, it is preferable to use a solvent having high compatibility with each block chain constituting the block copolymer to be used. Such organic solvents include toluene, benzene, chlorobenzene, ethylbenzene, orthodichlorobenzene, anisole, 2-methoxyethyl acetate, 2-ethoxyethyl acetate, diethylene glycol dimethyl ether, tetrahydrofuran, 1,4-dioxane, acetone, methyl ethyl ketone, cyclohexane. , Cyclohexanone, chloroform, ethyl acetate, cyclohexyl acetate, ethanol and isopropanol.

次に、ガイドパターン702及びブロック共重合体薄膜703の膜厚について説明する。ブロック共重合体薄膜703の膜厚は、ミクロ相分離構造の周期Lもしくは、周期Lの整数倍にできるだけ近い方が望ましい。また、ガイドパターン702の高さ(膜厚)は、ブロック共重合体薄膜703の膜厚と少なくとも同程度以上であることが望ましい。さらに、ガイドパターン702の高さにバラツキが存在する場合には、ガイドパターン703の高さは、ブロック共重合体薄膜703の膜厚よりも、3σ以上高い方が望ましい。ここで、σは、ガイドパターン702の高さの標準偏差である。   Next, the film thickness of the guide pattern 702 and the block copolymer thin film 703 will be described. The thickness of the block copolymer thin film 703 is desirably as close as possible to the period L of the microphase separation structure or an integer multiple of the period L. The height (film thickness) of the guide pattern 702 is desirably at least about the same as the film thickness of the block copolymer thin film 703. Furthermore, when there is a variation in the height of the guide pattern 702, the height of the guide pattern 703 is desirably 3σ or more higher than the thickness of the block copolymer thin film 703. Here, σ is a standard deviation of the height of the guide pattern 702.

次に、図7(e)に示すように、ミクロ相分離を誘起し、各ガイドパターン702の間に、ブロック共重合体を構成しているブロック鎖Aより構成されたドメインであるブロック鎖A領域(パターン領域)704とブロック鎖Bより構成されたドメインであるブロック鎖B領域(パターン領域)705とが交互に配列された状態とする。各領域は、基板701表面の平面方向に分離された状態となる。   Next, as shown in FIG. 7 (e), microphase separation is induced, and a block chain A that is a domain composed of block chains A constituting a block copolymer between the guide patterns 702. Assume that regions (pattern regions) 704 and block chain B regions (pattern regions) 705 that are domains composed of block chains B are alternately arranged. Each region is in a state of being separated in the plane direction of the surface of the substrate 701.

ここで、ガイドパターン702の表面への親和性は、ブロック鎖Bの方が高いので、ガイドパターン702の側壁面より、ブロック鎖B相705a,ブロック鎖A相704a,ブロック鎖A相704a,ブロック鎖B相705a,ブロック鎖B相705a,ブロック鎖A相704a,ブロック鎖A相704a・・・の順に、相分離した各相が配列されていく。ブロック鎖A領域704は、2つのブロック鎖A層704aから構成され、ブロック鎖B領域705は、2つのブロック鎖B層705aから構成されている。   Here, since the affinity to the surface of the guide pattern 702 is higher in the block chain B, the block chain B phase 705a, the block chain A phase 704a, the block chain A phase 704a, the block than the side wall surface of the guide pattern 702. The phase-separated phases are arranged in the order of chain B phase 705a, block chain B phase 705a, block chain A phase 704a, block chain A phase 704a,. The block chain A region 704 is composed of two block chain A layers 704a, and the block chain B region 705 is composed of two block chain B layers 705a.

次に、ミクロ相分離を誘起してミクロ相分離構造を形成することについて説明する。ブロック共重合体薄膜703を形成した後、熱処理を行うことにより、ミクロ相分離を誘起した後、直ちに自然放冷もしくは強制冷却することにより、上述したミクロ相分離構造を形成する。熱処理方法としては、オーブンやホットプレートを用いて行うことができる。この熱処理において、酸化によるブロック共重合体薄膜703の劣化を防ぐため、窒素やアルゴンなどの反応性の低いガス雰囲気で行うのが好ましい。   Next, a description will be given of inducing microphase separation to form a microphase separation structure. After the block copolymer thin film 703 is formed, the above-described microphase separation structure is formed by inducing microphase separation by performing a heat treatment and then immediately allowing natural cooling or forced cooling. As a heat treatment method, an oven or a hot plate can be used. In order to prevent the block copolymer thin film 703 from being deteriorated due to oxidation, this heat treatment is preferably performed in a gas atmosphere having a low reactivity such as nitrogen or argon.

また、上記熱処理の温度は、少なくともブロック共重合体のガラス転移温度以上かつ分解温度以下であることが好ましい。また、ブロック共重合体の相分離系が、低温側では相溶しているが、温度を上げると相分離を起こす下限臨界共溶温度型相図をもつ場合は、下限臨界共溶温度以上の温度で熱処理するのが好ましい。一方、ブロック共重合体の相分離系が、低温側ではミクロ相分離しているが、温度を上げると相溶する上限臨界共用温度型相図を持つ場合は、上限臨界共用温度以下の温度で熱処理するのが好ましい。   The temperature of the heat treatment is preferably at least the glass transition temperature and the decomposition temperature of the block copolymer. If the phase separation system of the block copolymer is compatible on the low temperature side but has a lower critical eutectic temperature phase diagram that causes phase separation when the temperature is raised, it is above the lower critical eutectic temperature. Heat treatment is preferably performed at a temperature. On the other hand, if the phase separation system of the block copolymer is microphase-separated on the low temperature side, but has an upper critical shared temperature phase diagram that dissolves when the temperature is raised, the temperature is below the upper critical shared temperature. Heat treatment is preferred.

このようにして形成したブロック共重合体のミクロ相分離構造は、図7(f)に示すように、ガイドパターン702の間に交互に配列されたブロック鎖A領域704及びブロック鎖B領域705が、ガイドパターン702の延在する方向に配向された状態となる。言い換えると、各領域は、ガイドパターン702と同じ方向に延在した状態となる。なお、図7(f)は、図7(e)のff線断面図である。前述したように、分子量20,000g/mol程度以下のブロック共重合体を用いれば、周期が20nm程度のミクロ相分離構造が形成されるので、各々幅10nmのブロック鎖A領域704とブロック鎖B領域705とからなる微細なパターンが得られる。なお、各ガイドパターン702の間の間隔(対辺間の距離)は、nL(ミクロ相分離の周期の自然数倍)とすればよい。但し、対辺間の距離Wsは、正確にnLである必要はなく、ある範囲内で同様な効果が得られる。経験的には、(n−0.25)L<Ws<(n+0.25)L(nは自然数)とされていればよい。   The microphase separation structure of the block copolymer thus formed has block chain A regions 704 and block chain B regions 705 arranged alternately between guide patterns 702 as shown in FIG. The guide pattern 702 is oriented in the extending direction. In other words, each region extends in the same direction as the guide pattern 702. FIG. 7F is a cross-sectional view taken along the line ff in FIG. As described above, when a block copolymer having a molecular weight of about 20,000 g / mol or less is used, a microphase-separated structure with a period of about 20 nm is formed. Therefore, a block chain A region 704 and a block chain B each having a width of 10 nm are formed. A fine pattern including the region 705 is obtained. The interval between the guide patterns 702 (distance between opposite sides) may be nL (a natural number times the period of microphase separation). However, the distance Ws between opposite sides does not need to be exactly nL, and the same effect can be obtained within a certain range. Empirically, it is sufficient that (n−0.25) L <Ws <(n + 0.25) L (n is a natural number).

次に、本発明のパターン形成方法に係る他の実施の形態について説明する。
(第2の実施形態)
図8は、本発明の第2の実施形態に係るパターン形成方法を説明するための工程図である。図8に示す例では、図7に示したパターン形成方法に加えて、ガイドパターンの表面に化学修飾層を形成し、ミクロ相分離構造がより配向しやすくなるようにしたものである。また、化学修飾層を形成することにより、ガイドパターンの材料に依存することなく、ミクロ相分離させるブロック共重合体に適用可能な材料の選択幅を増大させることが可能となる。
Next, another embodiment according to the pattern forming method of the present invention will be described.
(Second Embodiment)
FIG. 8 is a process diagram for explaining the pattern forming method according to the second embodiment of the present invention. In the example shown in FIG. 8, in addition to the pattern forming method shown in FIG. 7, a chemically modified layer is formed on the surface of the guide pattern so that the microphase separation structure is more easily oriented. Further, by forming the chemically modified layer, it is possible to increase the selection range of materials applicable to the block copolymer to be microphase-separated without depending on the material of the guide pattern.

先ず、図8(a)に示すように、基板701を準備する。次に、図8(b)に示すように、基板701の平坦な領域の表面上に中性層801を形成する。次に、図8(c)に示すように、上述のことにより形成した中性層801の上に、配向のためのガイドパターン702を形成する。以上のことは、図7を用いて説明したパターン形成方法と同様である。   First, as shown in FIG. 8A, a substrate 701 is prepared. Next, as shown in FIG. 8B, a neutral layer 801 is formed on the surface of the flat region of the substrate 701. Next, as shown in FIG. 8C, a guide pattern 702 for orientation is formed on the neutral layer 801 formed as described above. The above is the same as the pattern forming method described with reference to FIG.

次に、図8(d)に示すように、ガイドパターン702の表面に、化学修飾層901を形成する。ガイドパターン702の表面のみに化学修飾層901を形成するためには、化学修飾する分子をガイドパターン702の表面に化学的に結合させる必要がある。化学修飾層901としては、例えば、自己組織化単分子膜や表面グラフトポリマーが挙げられる。これらの化学修飾層901の主成分として、後の工程で用いるブロック共重合体のいずれかのブロック鎖であり、かつガイドパターンに接触させたい方のブロック鎖からなるポリマー鎖を含むことが望ましい。こうすることにより、化学修飾層901の表面の表面自由エネルギーは、ブロック共重合体の一方(所望)のブロック鎖の表面エネルギーに近い状態となり、確実に所望のブロック鎖をガイドパターン702の側壁に形成された化学修飾層901に接触させることが可能となる。   Next, as shown in FIG. 8D, a chemically modified layer 901 is formed on the surface of the guide pattern 702. In order to form the chemically modified layer 901 only on the surface of the guide pattern 702, it is necessary to chemically bond molecules to be chemically modified to the surface of the guide pattern 702. Examples of the chemically modified layer 901 include a self-assembled monolayer and a surface graft polymer. As a main component of these chemically modified layers 901, it is desirable to include a polymer chain that is one of the block chains of a block copolymer used in a later step and that is composed of the block chain that is to be brought into contact with the guide pattern. By doing so, the surface free energy of the surface of the chemically modified layer 901 becomes close to the surface energy of one (desired) block chain of the block copolymer, and the desired block chain is surely placed on the side wall of the guide pattern 702. It becomes possible to make contact with the formed chemically modified layer 901.

次に、化学修飾層901として、自己組織化単分子膜を用いる方法について説明する。ガイドパターン702の材料と自己組織化単分子膜材料の組み合わせとして、主のものは、金属と有機硫黄分子、酸化膜と有機シラン分子が良く知られている。前者の組み合わせについては、ガイドパターン702を、Au,Ag,Cu,Pt,及びPdなどの金属から形成し、この表面に、分子末端にチオール(SH)基をもつ有機硫黄分子の自己組織化単分子膜を形成する。金属からなるガイドパターン702は、例えば、よく知られたリフトオフ法などにより形成すればよい。   Next, a method using a self-assembled monolayer as the chemically modified layer 901 will be described. As the combination of the material of the guide pattern 702 and the self-assembled monomolecular film material, the main ones are well-known metals and organic sulfur molecules, oxide films and organic silane molecules. For the former combination, a guide pattern 702 is formed from a metal such as Au, Ag, Cu, Pt, and Pd, and on this surface, a self-organized single molecule of an organic sulfur molecule having a thiol (SH) group at the molecular end. A molecular film is formed. The guide pattern 702 made of metal may be formed by, for example, a well-known lift-off method.

また、後者の組み合わせについては、例えば、ネガ型レジストであるポリシロキサン材料よりガイドパターン702を形成すればよい。ポリシロキサンは、電子線,X線,及び紫外線などの照射により架橋反応が起こり、シロキサン(Si−O)のネットワーク構造が形成され、この表面には水酸基が存在する状態となる。従って、このように形成されたガイドパターン702の表面には、シランカップリング剤を用いて、液相あるいは気相化学吸着法により、自己組織化単分子膜を形成することが可能である。   For the latter combination, for example, the guide pattern 702 may be formed from a polysiloxane material that is a negative resist. Polysiloxane undergoes a crosslinking reaction by irradiation with electron beams, X-rays, and ultraviolet rays to form a network structure of siloxane (Si—O), and this surface has a hydroxyl group. Therefore, a self-assembled monolayer can be formed on the surface of the guide pattern 702 formed in this way by a liquid phase or gas phase chemical adsorption method using a silane coupling agent.

シランカップリング剤としては、例えば、末端にハロゲン基,アルコキシ基,アセトキシ基,及びシラザン基をもつものを用いることができる。なお、ガイドパターン材料と自己組織化単分子膜材料の組み合わせは、上述した2つに限定されるものではなく、ガイドパターンが形成可能な材料であれば、他の組み合わせを用いてもかまわない。下地の中性層801には、反応性の官能基が存在しないため、上述した自己組織化単分子膜は、ガイドパターン702表面のみに形成されることとなる。   As the silane coupling agent, for example, one having a halogen group, an alkoxy group, an acetoxy group, and a silazane group at the terminal can be used. Note that the combination of the guide pattern material and the self-assembled monolayer material is not limited to the two described above, and other combinations may be used as long as the guide pattern can be formed. Since the reactive layer is not present in the underlying neutral layer 801, the self-assembled monolayer described above is formed only on the surface of the guide pattern 702.

次に、化学修飾層901として、表面グラフトポリマーを用いる方法について説明する。ガイドパターン材料としては、例えば、ネガ型レジストであるポリシロキサン材料が挙げられる。ポリシロキサンは、電子線,X線,紫外線の照射により架橋し、シロキサン(Si−O)構造が形成される。従って、シリコン酸化膜と同様に、架橋したシロキサン構造表面にも水酸基が存在する。表面グラフトポリマー材料としては、分子末端に水酸基、あるいはカルボキシル基をもつポリマーを用いる。これらのポリマーを有機溶剤に溶解したポリマー溶液を、ガイドパターン702が形成された基板701の上にスピン塗布し、加熱を行う。   Next, a method using a surface graft polymer as the chemically modified layer 901 will be described. An example of the guide pattern material is a polysiloxane material that is a negative resist. Polysiloxane is crosslinked by irradiation with electron beams, X-rays, and ultraviolet rays to form a siloxane (Si—O) structure. Therefore, like the silicon oxide film, hydroxyl groups exist on the surface of the crosslinked siloxane structure. As the surface graft polymer material, a polymer having a hydroxyl group or a carboxyl group at the molecular end is used. A polymer solution obtained by dissolving these polymers in an organic solvent is spin-coated on the substrate 701 on which the guide pattern 702 is formed, and heating is performed.

この加熱により、ガイドパターン702の表面に存在する水酸基と、表面修飾ポリマーの末端に存在する水酸基あるいはカルボキシル基とが、脱水縮合する。この脱水縮合のとき、中性層801の表面には水酸基が存在しないため、脱水縮合反応はガイドパターン702の表面のみで起こる。この後、有機溶剤で、未反応の表面修飾ポリマーを溶解除去すれば、ガイドパターン702の表面に化学的に結合した表面修飾ポリマーのみ残存し、ガイドパターン702表面のみに、表面グラフトポリマーよりなる化学修飾層901が形成されることとなる。   By this heating, the hydroxyl groups present on the surface of the guide pattern 702 and the hydroxyl groups or carboxyl groups present at the ends of the surface modified polymer undergo dehydration condensation. At the time of this dehydration condensation, there is no hydroxyl group on the surface of the neutral layer 801, so the dehydration condensation reaction occurs only on the surface of the guide pattern 702. Thereafter, if the unreacted surface modified polymer is dissolved and removed with an organic solvent, only the surface modified polymer chemically bonded to the surface of the guide pattern 702 remains, and only the surface of the guide pattern 702 has a chemistry composed of a surface graft polymer. The modification layer 901 is formed.

次に、図8(e)に示すように、第1の実施形態と同様に、ブロック共重合体薄膜703を形成した後、ミクロ相分離を誘起する。この結果、図7を用いて説明したパターン形成方法と同様に、化学修飾層901が形成されたガイドパターン702の間に、ブロック鎖A領域704とブロック鎖B領域705とが交互に配列された状態が得られる(図8(f),図8(g))。   Next, as shown in FIG. 8E, as in the first embodiment, after the block copolymer thin film 703 is formed, microphase separation is induced. As a result, similar to the pattern formation method described with reference to FIG. 7, the block chain A regions 704 and the block chain B regions 705 are alternately arranged between the guide patterns 702 on which the chemically modified layers 901 are formed. A state is obtained (FIG. 8 (f), FIG. 8 (g)).

次に、本発明の実施の形態に係る他のパターン形成方法について説明する。
(第3の実施形態)
図9,図10は、第3の実施形態に係るパターン形成方法を説明するための工程図である。以下に示すパターン形成方法は、図7及び図8を用いて説明したパターン形成方法により形成されたミクロ相分離構造を、下地の基板701に転写し、特定のブロック鎖からなるミクロ相分離ドメインの形状を反映したパターンを、基板701に形成するようにしたものである。
Next, another pattern forming method according to the embodiment of the present invention will be described.
(Third embodiment)
9 and 10 are process diagrams for explaining the pattern forming method according to the third embodiment. In the pattern formation method shown below, the microphase separation structure formed by the pattern formation method described with reference to FIGS. 7 and 8 is transferred to an underlying substrate 701, and a microphase separation domain composed of specific block chains is transferred. A pattern reflecting the shape is formed on the substrate 701.

はじめに、図7を用いて説明したパターン形成方法により形成されたミクロ相分離構造を転写する場合について説明する。先ず、図9(a)に示すように、基板701を用意し、次に、図9(b)に示すように、基板701の上(表面)に中性層801が形成された状態とし、次に、図9(c)に示すように、中性層801の上にガイドパターン702が形成された状態とする。次に、図9(d)に示すように、ガイドパターン702が形成された中性層801の上に、ブロック共重合体薄膜703が形成された状態とする。次に、図9(e)に示すように、ミクロ相分離を誘起し、各ガイドパターン702の間に、ブロック鎖A領域704とブロック鎖B領域705とが交互に配列された状態とする。以上のことは、図7(a)〜図7(e)を用いて説明したパターン形成方法と同様である。   First, a case where the microphase separation structure formed by the pattern forming method described with reference to FIG. 7 is transferred will be described. First, as shown in FIG. 9A, a substrate 701 is prepared, and then, as shown in FIG. 9B, a neutral layer 801 is formed on the substrate 701 (surface). Next, as illustrated in FIG. 9C, the guide pattern 702 is formed on the neutral layer 801. Next, as shown in FIG. 9D, the block copolymer thin film 703 is formed on the neutral layer 801 on which the guide pattern 702 is formed. Next, as shown in FIG. 9E, microphase separation is induced, and the block chain A regions 704 and the block chain B regions 705 are alternately arranged between the guide patterns 702. The above is the same as the pattern forming method described with reference to FIGS. 7 (a) to 7 (e).

次に、ブロック鎖B領域705などブロック鎖Bより構成された部分を選択的に除去し、図9(f)に示すように、各ガイドパターン702の間の領域に、ガイドパターン702の延在方向に配列(配向)されたブロック鎖A領域704からなるパターンが形成され、これらよりなるマスクパターンが形成された状態とする。この場合、形成されるマスクパターンは、ブロック鎖B領域の部分に、下層にまで貫通する開口領域を備えたものとなる。   Next, a portion composed of the block chain B such as the block chain B region 705 is selectively removed, and the guide pattern 702 extends in a region between the guide patterns 702 as shown in FIG. A pattern composed of block chain A regions 704 arranged (orientated) in the direction is formed, and a mask pattern composed of these is formed. In this case, the mask pattern to be formed has an opening region penetrating to the lower layer in the block chain B region.

ここで、特定のブロック鎖の領域のみを選択的に除去することについて、より詳細に説明すると、先ず、第1の方法として、ブロック鎖Aとブロック鎖Bとのエッチング速度の差を利用する方法が挙げられる。例えば、ブロック共重合体薄膜703を、エッチング速度が異なる2種類以上のブロック鎖からなるブロック共重合体より構成しておけば、ミクロ相分離した状態の各領域に対して所定条件のドライエッチングを行うと、エッチング速度の速いブロック鎖の領域が先に除去され、エッチング速度の遅いブロック鎖の領域が残存する。この結果として、ミクロ相分離構造を反映した、周期パターンが形成されるようになる。この残存したパターンを転写マスクとして用いることができる。   Here, the selective removal of only a specific block chain region will be described in more detail. First, as a first method, a method using a difference in etching rate between the block chain A and the block chain B Is mentioned. For example, if the block copolymer thin film 703 is composed of a block copolymer composed of two or more types of block chains having different etching rates, dry etching under predetermined conditions is performed on each region in a microphase-separated state. When this is done, the block chain region having a high etching rate is removed first, and the block chain region having a low etching rate remains. As a result, a periodic pattern reflecting the microphase separation structure is formed. This remaining pattern can be used as a transfer mask.

次に、エッチング速度が異なるブロック鎖について説明する。一般に、ポリマー中の酸素原子の含有率が低くないほど、例えば酸素ガスプラズマを用いたドライエッチングのエッチング速度が減少することが知られている。また、芳香族環をもつポリマーあるいは、シリコン原子を含むポリマーは、ドライエッチング速度が遅いことが知られている。従って、ドライエッチング速度の遅いブロック鎖として、酸素をできるだけ含まないポリマーを用いるか、もしくは芳香族環を含むポリマーを用いるか、又はシリコン原子を含むポリマーを用いることで、前述した選択的な除去が可能となる。   Next, block chains with different etching rates will be described. In general, it is known that the etching rate of dry etching using, for example, oxygen gas plasma decreases as the content of oxygen atoms in the polymer is not low. Further, it is known that a polymer having an aromatic ring or a polymer containing a silicon atom has a low dry etching rate. Therefore, by using a polymer containing as little oxygen as possible, or using a polymer containing an aromatic ring, or using a polymer containing a silicon atom as a block chain having a slow dry etching rate, the selective removal described above can be achieved. It becomes possible.

ドライエッチング速度の遅いブロック鎖としては、例えば、ポリスチレン誘導体ポリマー鎖、ポリジエン誘導体ポリマー鎖、ポリシロキサンポリマー鎖を用いることができる。一方、ドライエッチング速度の速いブロック鎖としては、例えば、ポリメタクリルエステル誘導体ポリマー鎖、ポリアクリル酸エステル誘導体ポリマー鎖を用いることができる。これらの、ドライエッチング速度の遅いポリマーからなるブロック鎖とのドライエッチング速度の速いポリマーからなるブロック鎖を含むブロック共重合体を用いれば、前述したドライエッチング法により、選択的に一方のブロック鎖の領域を除去することで、ミクロ相分離構造を反映したパターンが形成可能である。   As the block chain having a low dry etching rate, for example, a polystyrene derivative polymer chain, a polydiene derivative polymer chain, or a polysiloxane polymer chain can be used. On the other hand, as the block chain having a high dry etching rate, for example, a polymethacrylic ester derivative polymer chain or a polyacrylic ester derivative polymer chain can be used. By using a block copolymer containing a block chain made of a polymer having a high dry etching rate and a block chain made of a polymer having a slow dry etching rate, one block chain can be selectively formed by the dry etching method described above. By removing the region, a pattern reflecting the microphase separation structure can be formed.

次に、上記のエッチングの方法について説明すると、エッチング方法としては、不活性ガスを利用したスパッタリング現象による物理的なドライエッチングや、酸素,塩素系,及びフッ素系などの反応性ガスを用いた反応性イオンエッチング(RIE)を用いることができる。また、プラズマの生成法として、電子サイクロトロン共鳴(ECR)によるプラズマや、誘導結合によるプラズマ(ICP)などがある。   Next, the above etching method will be described. As an etching method, physical dry etching by a sputtering phenomenon using an inert gas, or reaction using a reactive gas such as oxygen, chlorine, or fluorine is used. Reactive ion etching (RIE) can be used. Plasma generation methods include plasma by electron cyclotron resonance (ECR) and plasma by inductive coupling (ICP).

また、エッチングガスとしては、Ar,H2,N2,O2,CO,CO2,CF4,CHF3,CH22,C26,C36,C48,NH3,Cl2,BCl3,及びSF6などの、よく知られたドライエッチングに使用するガスを単独もしくは混合して用いることができる。なお、ドライエッチング速度の速いブロック鎖とドライエッチング速度の遅いブロック鎖のエッチング速度の比は、少なくとも1以上であることが望ましい。忠実な転写を行うには、エッチング速度の比が大きければ大きいほど良い。従って、異なるブロック鎖のエッチング速度比は、2以上であることがより好ましい。 As the etching gas, Ar, H 2, N 2 , O 2, CO, CO 2, CF 4, CHF 3, CH 2 F 2, C 2 F 6, C 3 F 6, C 4 F 8, NH Gases used for dry etching, such as 3 , Cl 2 , BCl 3 , and SF 6 , can be used alone or in combination. Note that the ratio of the etching rate of the block chain having a high dry etching rate to that of the block chain having a low dry etching rate is preferably at least 1. In order to perform faithful transfer, the larger the ratio of the etching rates, the better. Therefore, the etching rate ratio of different block chains is more preferably 2 or more.

特定のブロック鎖を選択的に除去する第2の方法として、感光性を備えたブロック鎖より構成されたブロック共重合体を用いる方法が挙げられる。このようなブロック共重合体をミクロ相分離させた後、露光もしくは露光・現像を行うことで、感光性を備えたブロック鎖のみを選択的に除去することができる。感光性を備えるブロック鎖としては、放射線崩壊型のものを用いることが好ましい。放射線崩壊型であれば、露光のみで選択的に除去することが可能である。   As a second method for selectively removing a specific block chain, there is a method using a block copolymer composed of a block chain having photosensitivity. By subjecting such a block copolymer to microphase separation and then exposure or exposure / development, only the block chains having photosensitivity can be selectively removed. As the block chain having photosensitivity, it is preferable to use a radiation decay type. If it is a radiation decay type, it can be selectively removed only by exposure.

放射線崩壊型の感光性をもつブロック鎖として用いることができるポリマーとしては、ポリメチルメタクリレート、ポリ(α−クロロアクリレート)、ポリブチルメタクリレート、ポリ(フルオロブチルメタクリレート)、ポリ(グリシジルメタクリレート)、ポリ(ブテン−1−スルフォン)、ポリ(スチレンスルフォン)などが挙げられる。また、露光に用いる放射線としては、紫外線,X線,電子線,及びイオン線を用いることができる。ただし、露光及び現像を行って感光性を備えるブロック鎖を除去する場合、乾燥時に、現像液もしくはリンス液の表面張力により、残存したブロック鎖からなるパターンの形状が崩れるなど変形する可能性がある。従って、露光のみで特定のブロック鎖を分解し、除去する方法の方が、微細パターン形成には好ましい。   Polymers that can be used as a radiation-decay-type photosensitive block chain include polymethyl methacrylate, poly (α-chloroacrylate), polybutyl methacrylate, poly (fluorobutyl methacrylate), poly (glycidyl methacrylate), poly ( Butene-1-sulfone), poly (styrene sulfone) and the like. Further, ultraviolet rays, X-rays, electron beams, and ion beams can be used as radiation used for exposure. However, when the block chain having photosensitivity is removed by exposure and development, there is a possibility that the pattern shape of the remaining block chain may be deformed due to the surface tension of the developer or the rinse liquid during drying. . Therefore, a method of decomposing and removing a specific block chain only by exposure is preferable for forming a fine pattern.

以上に説明したいずれかの方法により、各ガイドパターン702の間の領域、ブロック鎖A領域704からなるパターンが配列(配向)された状態とした後、図9(g)に示すように、残存したブロック鎖A領域704からなるパターンをマスクとし、この下の各層をエッチング加工する。例えば、ドライエッチング法、又はウエットエッチング法を用いることで、残存したブロック鎖A領域704からなるパターンをマスクとし、このパターン形状を下層の基板701に転写すればよい。   After the region between the guide patterns 702 and the pattern composed of the block chain A regions 704 are arranged (orientated) by any of the methods described above, as shown in FIG. Using the pattern formed of the block chain A region 704 as a mask, each layer below is etched. For example, by using a dry etching method or a wet etching method, a pattern including the remaining block chain A region 704 may be used as a mask, and this pattern shape may be transferred to the lower substrate 701.

なお、ここで、基板701の材料について説明すると、本例では、中性層801を用いているため、基板701は、上記のマスクを用いた選択的なエッチングが可能な材料から構成されていればよい。例えば、金属,半導体,及び絶縁体など、特に材料を選ばずに用いることができる。なお、基板701は、この上に中性層801が形成可能な材料から構成されている必要がある。   Note that here, the material of the substrate 701 will be described. Since the neutral layer 801 is used in this example, the substrate 701 may be formed of a material that can be selectively etched using the mask. That's fine. For example, any material such as metal, semiconductor and insulator can be used. The substrate 701 needs to be made of a material on which the neutral layer 801 can be formed.

基板701に適用可能な金属材料としては、Au、PtAu、AuZn、AuGe、AuZnNi,AuGeNiなどのAu系の合金、また、Al、Cr、Ti、TiN、Zn、Sn、W、Mo、Taなどを用いることができる。また、半導体材料としては、Si、poly−Si、GaP、GaAs、GaAsP、GaAlAs、InPなどを用いることができる。また、絶縁体材料としては、ガラス、石英、サファイア、SiO2、SiNx、TiO2、Al23などを用いることができる。 Examples of metal materials applicable to the substrate 701 include Au alloys such as Au, PtAu, AuZn, AuGe, AuZnNi, and AuGeNi, and Al, Cr, Ti, TiN, Zn, Sn, W, Mo, Ta, and the like. Can be used. As the semiconductor material, Si, poly-Si, GaP, GaAs, GaAsP, GaAlAs, InP, or the like can be used. Further, as the insulating material may be a glass, quartz, sapphire, SiO 2, SiNx, or the like TiO 2, Al 2 0 3.

次に、パターン転写のためのエッチングについて、より詳細に説明する。パターン転写のためのエッチングとしては、ドライエッチングやウエットエッチングを用いた下地基板(基板701)への転写が挙げられる。ドライエッチングの場合は、スパッタリング現象による物理的なドライエッチングや、酸素,塩素系,及びフッ素系などの反応性ガスを用いた反応性イオンエッチング(RIE)を用いることができる。また、プラズマの生成法として、電子サイクロトロン共鳴(ECR)によるプラズマや、誘導結合によるプラズマ(ICP)などがある。例えば、基板701がシリコンから構成されている場合は、Cl2,SF6,Cl2+O2などのガスを用いたドライエッチングでパターンの転写が可能である。なお、リフトオフを用いることで、基板701の上に金属などのパターンを形成することも可能である。 Next, the etching for pattern transfer will be described in more detail. Etching for pattern transfer includes transfer to a base substrate (substrate 701) using dry etching or wet etching. In the case of dry etching, physical dry etching by sputtering phenomenon or reactive ion etching (RIE) using reactive gases such as oxygen, chlorine, and fluorine can be used. Plasma generation methods include plasma by electron cyclotron resonance (ECR) and plasma by inductive coupling (ICP). For example, when the substrate 701 is made of silicon, the pattern can be transferred by dry etching using a gas such as Cl 2 , SF 6 , or Cl 2 + O 2 . Note that a pattern of metal or the like can be formed over the substrate 701 by using lift-off.

また、基板701が、酸化シリコン,ガラス,及び石英などから構成されている場合、フッ酸を用いたウエットエッチングにより、上記パターンの転写が可能である。また、基板701が、単結晶シリコンから構成されていれば、水酸化カリウム(KOH)やテトラメチルアンモニウムハイドロオキサイド(TMAH)などのアルカリ性のエッチング液を用いたウエットエッチングにより、異方性エッチングを行うこともできる。   In the case where the substrate 701 is made of silicon oxide, glass, quartz, or the like, the pattern can be transferred by wet etching using hydrofluoric acid. If the substrate 701 is made of single crystal silicon, anisotropic etching is performed by wet etching using an alkaline etching solution such as potassium hydroxide (KOH) or tetramethylammonium hydroxide (TMAH). You can also

以上のようにして、基板701にパターンが転写された後、残存するブロック鎖A領域704,ガイドパターン702及び中性層801を除去し、図9(h)に示すように、平行に配列された複数の溝711からなるパターンが、基板701の上に形成された状態とする。例えば、材質が有機材料の場合には、酸素プラズマへの暴露によりこれらの除去が可能である。また、材質が無機材料の場合には、材質に合わせたウエットエッチング法により除去すればよい。   After the pattern is transferred to the substrate 701 as described above, the remaining block chain A region 704, the guide pattern 702, and the neutral layer 801 are removed and arranged in parallel as shown in FIG. 9 (h). Further, a pattern including a plurality of grooves 711 is formed on the substrate 701. For example, when the material is an organic material, these can be removed by exposure to oxygen plasma. If the material is an inorganic material, it may be removed by a wet etching method that matches the material.

以上の工程により、ブロック共重合体のミクロ相分離構造であり、線条に形成されたガイドパターン702の延在方向に配向した周期的なラインの構造であるラテラルラメラ構造を、下地の基板701に転写することができる。このように、上述したパターン形成方法は、ラメラ構造の横方向配向の形状とされたパターン形成に非常に有効であるが、当然のことながら、垂直シリンダー構造が配列したパターン形成にも同様に適用することができる。また、上述したパターン形成方法により、例えば、溝711からなるパターンが形成された基板701より構成されたインプリント用のモールドを形成することが可能である。   Through the above steps, a lateral lamella structure, which is a microphase separation structure of a block copolymer and is a periodic line structure oriented in the extending direction of the guide pattern 702 formed on the filament, is converted into an underlying substrate 701. Can be transferred to. As described above, the pattern forming method described above is very effective for forming a pattern in which the lamellar structure is oriented in the lateral direction. However, as a matter of course, the pattern forming method is similarly applied to pattern forming in which vertical cylinder structures are arranged. can do. Further, by the pattern forming method described above, for example, an imprint mold including a substrate 701 on which a pattern including grooves 711 is formed can be formed.

次に、図8を用いて説明したパターン形成方法により形成されたミクロ相分離構造を転写する場合について説明する。先ず、図10(a)に示すように、基板701を用意し、次に、図10(b)に示すように、基板701の上(表面)に中性層801が形成された状態とし、次に、図10(c)に示すように、中性層801の上にガイドパターン702が形成された状態とする。次に、図10(d)に示すように、ガイドパターン702の表面に、選択的に化学修飾層901が形成された状態とする。   Next, a case where the microphase separation structure formed by the pattern forming method described with reference to FIG. 8 is transferred will be described. First, as shown in FIG. 10A, a substrate 701 is prepared, and then, as shown in FIG. 10B, a neutral layer 801 is formed on the substrate 701 (surface). Next, as illustrated in FIG. 10C, the guide pattern 702 is formed on the neutral layer 801. Next, as shown in FIG. 10 (d), the chemically modified layer 901 is selectively formed on the surface of the guide pattern 702.

次に、図10(e)に示すように、化学修飾層901に覆われたガイドパターン702が形成された中性層801の上に、ブロック共重合体薄膜703が形成された状態とする。次に、図10(f)に示すように、ミクロ相分離を誘起し、各ガイドパターン702の間に、ブロック鎖A領域704とブロック鎖B領域705とが交互に配列された状態とする。以上のことは、図8(a)〜図8(f)を用いて説明したパターン形成方法と同様である。   Next, as shown in FIG. 10E, the block copolymer thin film 703 is formed on the neutral layer 801 on which the guide pattern 702 covered with the chemically modified layer 901 is formed. Next, as shown in FIG. 10 (f), microphase separation is induced so that the block chain A regions 704 and the block chain B regions 705 are alternately arranged between the guide patterns 702. The above is the same as the pattern forming method described with reference to FIGS.

次に、ブロック鎖B領域705などブロック鎖Bより構成された部分を、前述同様に選択的に除去し、図10(g)に示すように、各ガイドパターン702の間の領域に、ガイドパターン702の延在方向に配列(配向)されたブロック鎖A領域704からなるパターンが形成され、これらよりなるマスクパターンが形成された状態とする。この場合、形成されるマスクパターンは、ブロック鎖B領域の部分に、下層にまで貫通する開口領域を備えたものとなる。   Next, the portion composed of the block chain B such as the block chain B region 705 is selectively removed in the same manner as described above, and a guide pattern is formed in a region between the guide patterns 702 as shown in FIG. A pattern composed of block chain A regions 704 arranged (oriented) in the extending direction of 702 is formed, and a mask pattern composed of these is formed. In this case, the mask pattern to be formed has an opening region penetrating to the lower layer in the block chain B region.

次に、図10(h)に示すように、残存したブロック鎖A領域704からなるパターンをマスクとし、前述同様に、この下の各層をエッチング加工する。この後、前述同様に、残存するブロック鎖A領域704,化学修飾層901,ガイドパターン702及び中性層801を除去し、図10(i)に示すように、平行に配列された複数の溝711からなるパターンが、基板701の上に形成された状態とする。このパターン形成方法においても、溝711からなるパターンが形成された基板701より構成されたモールドを形成することが可能である。   Next, as shown in FIG. 10 (h), the pattern including the remaining block chain A region 704 is used as a mask, and the layers below are etched as described above. Thereafter, as described above, the remaining block chain A region 704, the chemically modified layer 901, the guide pattern 702, and the neutral layer 801 are removed, and a plurality of grooves arranged in parallel as shown in FIG. It is assumed that the pattern 711 is formed on the substrate 701. Also in this pattern forming method, it is possible to form a mold including the substrate 701 on which a pattern including the grooves 711 is formed.

次に、本発明の実施の形態に係る他のパターン形成方法について説明する。上述では、所定の方向に延在する直線状の線条パターンよりガイドパターンを構成し、これに配向する周期的な線状のパターンを形成する場合について説明したが、これに限るものではない。図11に示すように、直線の線条パターンを組み合わせて多角形状にガイドパターンを構成し、このガイドパターンの2次元的な閉空間内に、ガイドパターンに配向する環状のパターンを形成することも可能である。例えば、図11(a)の平面図に示すように、正方格子状のガイドパターン1101を用い、この中に、ブロック鎖Aとブロック鎖Bとから構成されたブロック共重合体薄膜を形成し、これをミクロ相分離する。なお、ガイドパターン1101に対してブロック鎖Bの方が親和性が高いものとし、ブロック共重合体は、ミクロ相分離により周期Lのラメラ構造を発現するものを用いる。   Next, another pattern forming method according to the embodiment of the present invention will be described. In the above description, the guide pattern is formed from the linear line pattern extending in a predetermined direction, and the periodic line pattern oriented to the guide pattern is formed. However, the present invention is not limited to this. As shown in FIG. 11, a linear guide pattern is combined to form a guide pattern in a polygonal shape, and an annular pattern oriented to the guide pattern may be formed in the two-dimensional closed space of the guide pattern. Is possible. For example, as shown in the plan view of FIG. 11A, a square lattice guide pattern 1101 is used, and a block copolymer thin film composed of block chains A and B is formed therein, This is microphase-separated. Note that the block chain B has a higher affinity for the guide pattern 1101, and a block copolymer that exhibits a lamellar structure with a period L by microphase separation is used.

このことにより、ガイドパターン1101の格子内の基板(図示せず)の上に、ブロック鎖Aからなるブロック鎖A領域1102と、ブロック鎖Bからなるブロック鎖B領域1103とが、格子形状を反映して同心枠形状のラテラルラメラ構造に交互に形成され、中央部には、ドット(垂直配向シリンダー)状のブロック鎖A領域1102が形成される。図11(a)の例では、ガイドパターン1101の対向する側面の間隔Wsが、5L程度となっている。なお、Wsの大きさは、5Lに限定されるわけではなく、nL(nは整数)であれば、ラテラルラメラ構造が形成される。また、格子内の中央部に形成されるドットは、nが奇数の場合にはAブロック鎖から構成され、nが偶数の場合はBブロック鎖から構成される。また、格子の形状は、矩形に限らず、図11(b)に示すように、六角格子状のガイドパターン1101aであっても同様である。   As a result, the block chain A region 1102 composed of the block chain A and the block chain B region 1103 composed of the block chain B reflect the lattice shape on the substrate (not shown) in the lattice of the guide pattern 1101. Thus, a concentric frame-shaped lateral lamellar structure is alternately formed, and a dot (vertical alignment cylinder) -like block chain A region 1102 is formed at the center. In the example of FIG. 11A, the interval Ws between the opposing side surfaces of the guide pattern 1101 is about 5L. In addition, the magnitude | size of Ws is not necessarily limited to 5L, If it is nL (n is an integer), a lateral lamella structure will be formed. The dots formed at the center in the lattice are composed of A block chains when n is an odd number, and are composed of B block chains when n is an even number. The shape of the lattice is not limited to a rectangle, and the same applies to a hexagonal lattice-shaped guide pattern 1101a as shown in FIG.

また、ガイドパターンの形状は、格子状でなく、多角形1個でも同様な効果が得られる。また、格子の形状は、四角形や六角形に限定されるものではなく、任意の多角形において、同様な効果が得られる。ただし、平行な対辺が存在する偶数の辺をもつ多角形格子の方が、対辺間の距離WsをnLに設定すればよいので、設計が容易となる。また、対辺間の距離Wsは、正確にnLである必要はなく、ある範囲内で同様な効果が得られる。経験的には、ポリメチルメタクリレート(PMMA)とポリスチレン(PS)からなるブロック共重合体を用いた場合、L=28nm、Ws=3Lで、Wsのバラツキが6nmの範囲で同心円(枠)状のストライプパターンが形成された。さらに、ガイドパターンの形状は、任意の多角形に限定されるものではなく、閉ループ構造をとっていれば、閉ループ構造の形をある程度反映した同心の枠状のパターンを形成することができる。   Further, the same effect can be obtained even if the shape of the guide pattern is not a lattice shape but a single polygon. Further, the shape of the lattice is not limited to a quadrangle or a hexagon, and the same effect can be obtained in an arbitrary polygon. However, a polygonal lattice having an even number of sides having parallel opposite sides only needs to set the distance Ws between opposite sides to nL, so that the design becomes easier. Further, the distance Ws between opposite sides does not need to be exactly nL, and a similar effect can be obtained within a certain range. Empirically, when a block copolymer made of polymethyl methacrylate (PMMA) and polystyrene (PS) is used, L = 28 nm, Ws = 3 L, and Ws variation is 6 nm. A stripe pattern was formed. Further, the shape of the guide pattern is not limited to an arbitrary polygon, and if a closed loop structure is adopted, a concentric frame-like pattern reflecting the shape of the closed loop structure to some extent can be formed.

また、以下に説明するように、屈曲したガイドパターンを用いても良い。以下、屈曲したガイドパターンの角(屈曲部)を利用した配向制御によるパターン形成方法の例を説明する。図12(a)は、基板1100の上に形成された2つのL字型のガイドパターン1101を用いる場合を示している。また、図12(b)は、基板1100の上に形成された4つのL字型のガイドパターン1101aの4つの角を向き合わせ、これらによる十字型の領域を備える場合を示している。どちらにおいても、対向するガイドパターン側面の間隔は、周期Lの3倍程度としていいる。   Further, as described below, a bent guide pattern may be used. Hereinafter, an example of a pattern forming method based on orientation control using the corners (bent portions) of the bent guide pattern will be described. FIG. 12A shows a case where two L-shaped guide patterns 1101 formed on the substrate 1100 are used. FIG. 12B shows a case where four corners of four L-shaped guide patterns 1101a formed on the substrate 1100 are faced to form a cross-shaped region. In either case, the interval between the opposing guide pattern side surfaces is set to about three times the period L.

このように構成されたガイドパターン1101及びガイドパターン1101aを用い、ガイドパターンの間に、Aブロック鎖及びBブロック鎖からなるジブロック共重合体の薄膜を形成し、ミクロ相分離により周期Lのラメラ構造を発現させる。図12(a)のL字型のガイドパターンでは、ミクロ相分離を誘起すると、直線部分では、Aブロック鎖領域1102とBブロック鎖領域1103とが交互に現れる3周期のラテラルラメラ構造が、ガイドパターン1101に沿って配向する。また、角の領域では、局所的に対辺の間の距離が1.4倍程度に広くなるため、この空間を埋めるために、ブロック鎖Bからなるドット(垂直配向シリンダー)構造1103aが自己組織的に形成される。   Using the guide pattern 1101 and the guide pattern 1101a configured as described above, a thin film of a diblock copolymer composed of an A block chain and a B block chain is formed between the guide patterns, and a lamella having a period L is obtained by microphase separation. The structure is expressed. In the L-shaped guide pattern of FIG. 12A, when microphase separation is induced, a three-cycle lateral lamella structure in which the A block chain region 1102 and the B block chain region 1103 alternately appear in the linear portion is a guide. Oriented along the pattern 1101. Further, in the corner region, the distance between the opposite sides is locally increased by about 1.4 times. Therefore, in order to fill this space, the dot (vertical alignment cylinder) structure 1103a composed of the block chain B is self-organizing. Formed.

このように、局所的にガイドパターン間の距離を変えると、距離を変更した部分(屈曲部分)に形成されるラメラ構造の中に、ドットのような変則的な構造を自己組織化に誘起することが可能である。ガイドパターンの形状は、L字である必要はなく、ガイドパターン間の距離が局所的に変化しているのであれば構わない。例えば、直線領域においては、対辺の間の距離をnL(nは3以上の整数)、距離を変更した領域においては、ガイドパターン間の距離を(n+1)Lに設定すれば、距離を変更した領域にのみ、ドットを形成することができる。確実に形成させるためには、ガイドパターン間の距離を、自己組織化しやすい3Lに設定するのが好ましい。距離を変更する領域の長さは、長くなると配向が乱れやすくなるため、直線領域でのガイドパターン間の距離と同程度であることが望ましい。   As described above, when the distance between the guide patterns is locally changed, an irregular structure such as a dot is induced in self-organization in the lamella structure formed in the portion where the distance is changed (bent portion). It is possible. The shape of the guide pattern does not need to be L-shaped, and any shape can be used as long as the distance between the guide patterns changes locally. For example, in a linear region, the distance between opposite sides is set to nL (n is an integer of 3 or more), and in a region where the distance is changed, the distance is changed by setting the distance between the guide patterns to (n + 1) L. Dots can be formed only in the region. In order to ensure the formation, it is preferable to set the distance between the guide patterns to 3L, which facilitates self-organization. Since the length of the region where the distance is changed tends to be disturbed when the length is increased, it is desirable that the distance is approximately the same as the distance between the guide patterns in the linear region.

同様に、図12(b)の十字型のガイドでは、ミクロ相分離を誘起すると、直線部分では、Aブロック鎖領域1102とBブロック鎖領域1103とが交互に現れる3周期のラテラルラメラ構造が、ガイドパターン1101aに沿って配向する。また、交差の領域では、局所的に対辺の間の距離が1.4倍程度に広くなるため、この空間を埋めるために、ブロック鎖Bからなるドット(垂直配向シリンダー)構造1103aが自己組織的に形成される。このように、図12(b)のような、十字型のガイドを用いれば、1つのドット相に対して、4本のライン相を、ラメラ周期Lの半分の距離だけ離して近接させることが可能となる。   Similarly, in the cross-shaped guide of FIG. 12B, when microphase separation is induced, a three-cycle lateral lamella structure in which the A block chain region 1102 and the B block chain region 1103 appear alternately in the straight line portion, It is oriented along the guide pattern 1101a. In the intersecting region, the distance between the opposite sides is locally increased by about 1.4 times. Therefore, in order to fill this space, the dot (vertical alignment cylinder) structure 1103a composed of the block chain B is self-organizing. Formed. In this way, when a cross-shaped guide as shown in FIG. 12B is used, four line phases can be brought close to each dot phase by a distance of half the lamella cycle L. It becomes possible.

また、上述したように、ミクロ相分離により得られた構造を下地の基板1100に転写し、得られたラインに各々電極を接続し、ソース電極,ドレイン電極,サイドゲート電極として用いれば、量子ドット及び近接ゲートを自己整合的に形成することが可能である。   In addition, as described above, if the structure obtained by microphase separation is transferred to the underlying substrate 1100, electrodes are connected to the obtained lines and used as a source electrode, a drain electrode, and a side gate electrode, a quantum dot In addition, the proximity gate can be formed in a self-aligned manner.

以下、本発明の実施例について説明する。ただし、本発明はこれらの実施例のみに限定されるものではない。   Examples of the present invention will be described below. However, the present invention is not limited to only these examples.

(実施例1)
先ず、直径が4インチの円板状のシリコン基板を、硫酸/過酸化水素水(体積比3/1)の混合溶液に4分間浸漬した後、水洗・乾燥し、シリコン基板の表面に約2nmの酸化膜を形成した。次に、形成した酸化膜の上に、中性層を形成した。形成した中性層の材料として、以下のようにして作製(調整)したポリメチルメタクリレート(PMMA)とポリ(α−メチルスチレン)(PαMSt)の交互共重合体の架橋膜を用いた。数平均分子量Mn:114,000、多分散度1.96の交互共重合体100重量部に対し、架橋剤として1,3,5−トリメチル−2,4,6−トリアセトキシメチルベンゼンを30重量部、熱酸発生剤としてシクロヘキシルメチル(2−オキソシクロヘキシル)スルフォニウムトリフルオロメタンスルフォネートを10重量部混合し、これらを2−メトキシエチルアセテートに溶解する。この後、作製した溶液を0.2μm径のフィルターで濾過し、濃度1重量%の交互共重合体溶液を調整した。
Example 1
First, a disk-shaped silicon substrate having a diameter of 4 inches is dipped in a mixed solution of sulfuric acid / hydrogen peroxide solution (volume ratio 3/1) for 4 minutes, washed with water, dried, and about 2 nm on the surface of the silicon substrate. An oxide film was formed. Next, a neutral layer was formed on the formed oxide film. As a material for the formed neutral layer, a crosslinked film of an alternating copolymer of polymethyl methacrylate (PMMA) and poly (α-methylstyrene) (PαMSt) prepared (adjusted) as follows was used. 30 parts by weight of 1,3,5-trimethyl-2,4,6-triacetoxymethylbenzene as a crosslinking agent with respect to 100 parts by weight of an alternating copolymer having a number average molecular weight Mn of 114,000 and a polydispersity of 1.96 10 parts by weight of cyclohexylmethyl (2-oxocyclohexyl) sulfonium trifluoromethanesulfonate as a thermal acid generator is mixed and dissolved in 2-methoxyethyl acetate. Thereafter, the prepared solution was filtered through a 0.2 μm filter to prepare an alternating copolymer solution having a concentration of 1% by weight.

この交互共重合体溶液を、洗浄後のシリコン基板にスピン塗布した後、ホットプレート上で200℃・2分間の処理を行い、厚さ10nm程度の架橋ポリマー膜からなる中性層を形成した。この後、本基板をトルエン溶液にて10分間超音波洗浄を行い、未反応物質を溶解除去した。   This alternating copolymer solution was spin-coated on a cleaned silicon substrate, and then treated on a hot plate at 200 ° C. for 2 minutes to form a neutral layer made of a crosslinked polymer film having a thickness of about 10 nm. Thereafter, the substrate was subjected to ultrasonic cleaning with a toluene solution for 10 minutes to dissolve and remove unreacted substances.

次に、配向のためのガイドパターンを形成した。配向ガイドパターンの材料として、電子線ネガ型レジストであるポリスチレンを用いた。数平均分子量Mn:48,000、多分散度1.06のポリスチレンをモノクロロベンゼンに溶解した後、0.2μm径のフィルターで濾過し、濾過したポリスチレンレジスト溶液を作製した。このポリスチレンレジスト溶液を、上記中性層を形成した後に塗布し、厚さ40nmのポリスチレン薄膜を形成し、ホットプレート上で、120℃・2分間の加熱処理を行った。   Next, a guide pattern for orientation was formed. As an alignment guide pattern material, polystyrene, which is an electron beam negative resist, was used. Polystyrene having a number average molecular weight Mn of 48,000 and polydispersity of 1.06 was dissolved in monochlorobenzene, and then filtered through a 0.2 μm diameter filter to prepare a filtered polystyrene resist solution. This polystyrene resist solution was applied after forming the neutral layer, a polystyrene thin film having a thickness of 40 nm was formed, and a heat treatment was performed on a hot plate at 120 ° C. for 2 minutes.

この後、加熱処理を加えたポリスチレン膜に対し、加速電圧100kVの電子線を露光量9mC/cm2で露光し、次いで、キシレンにて30秒間現像を行い、この後、2プロパノールにて30秒間リンスを行った。このようにして形成されたポリスチレンレジストによるガイドパターンを走査電子顕微鏡(SEM)で観察したところ、線幅43nm、パターンピッチ100nm程度の直線状パターンが形成されていることが確認された。 Thereafter, the heat-treated polystyrene film is exposed to an electron beam with an acceleration voltage of 100 kV at an exposure amount of 9 mC / cm 2 , then developed with xylene for 30 seconds, and then with 2 propanol for 30 seconds. Rinse was done. When the guide pattern made of polystyrene resist thus formed was observed with a scanning electron microscope (SEM), it was confirmed that a linear pattern having a line width of 43 nm and a pattern pitch of about 100 nm was formed.

次に、形成したガイドパターンの間に、以下のブロック共重合体の薄膜を形成した。ブロック共重合体として、数平均分子量Mn:36000、多分散度1.07のPMMA(Mn:18000)とポリスチレン(Mn:18000)の対称ジブロックコポリマーを用いた。このブロック共重合体をトルエン溶液に溶解した後、0.2μm径のフィルターで濾過し、ブロック共重合体溶液を調整した。このブロック共重合体溶液を、ガイドパターンが形成されたシリコン基板の上にスピン塗布した後、ホットプレート上で110℃・2分間の加熱処理を行い、ガイドパターンの間に膜厚29nmのブロック共重合体薄膜を形成した。   Next, the following block copolymer thin film was formed between the formed guide patterns. As the block copolymer, a symmetrical diblock copolymer of PMMA (Mn: 18000) and polystyrene (Mn: 18000) having a number average molecular weight Mn of 36000 and a polydispersity of 1.07 was used. This block copolymer was dissolved in a toluene solution and then filtered through a 0.2 μm diameter filter to prepare a block copolymer solution. This block copolymer solution is spin-coated on a silicon substrate on which a guide pattern is formed, and then subjected to a heat treatment at 110 ° C. for 2 minutes on a hot plate. A polymer thin film was formed.

上述した構成では、先ず、中性層は、2つのモノマー成分からなる共重合体を含んだものとされている。なお、2つ以上のモノマー成分からなる共重合体を含んで中性層を形成しても良い。また、ガイドパターンは、中性層が含むモノマーであるスチレンを成分としたホモポリマー(ポリスチレン)から構成されている。なお、ガイドパターンは、中性層が含むいずれかのモノマー成分からなるホモポリマーから構成されていればよい。また、ブロック共重合体は、中性層を構成しているモノマー成分からなるブロック鎖より構成されている。   In the configuration described above, first, the neutral layer includes a copolymer composed of two monomer components. In addition, you may form a neutral layer including the copolymer which consists of two or more monomer components. Moreover, the guide pattern is comprised from the homopolymer (polystyrene) which made the component styrene which is the monomer which a neutral layer contains. In addition, the guide pattern should just be comprised from the homopolymer which consists of one of the monomer components which a neutral layer contains. Moreover, the block copolymer is comprised from the block chain which consists of the monomer component which comprises the neutral layer.

これらのように、中性層,ガイドパターン,及びブロック鎖を構成することで、基板の上のブロック共重合体が接触する面(中性層)が、2つのブロック鎖の表面自由エネルギーの中間の値の表面自由エネルギーとされ、基板の上に、側面の表面自由エネルギーが2つのブロック鎖の一方の表面自由エネルギーに近い表面自由エネルギーとされた複数のガイドパターンが形成された状態が得られる。   By forming the neutral layer, guide pattern, and block chain like these, the surface (neutral layer) on which the block copolymer on the substrate contacts is in the middle of the surface free energy of the two block chains. The surface free energy of the value is obtained, and a plurality of guide patterns in which the surface free energy of the side surface is close to the surface free energy of one of the two block chains is formed on the substrate. .

この後、窒素雰囲気下のオーブンにより、155℃・16時間の加熱処理を行った後、オーブンから取り出し、室温にて自然放冷を行った。シリコン基板のブロック共重合体薄膜を形成した面を原子間力顕微鏡(AFM)の位相像にて観察したところ、PMMA相によるPMMA領域(パターン領域)とポリスチレン相によるポリスチレン領域(パターン領域)とが交互に繰り返している28nmピッチのラメラ構造が1μm以上の長さに渡って配向している状態が観察された。   Then, after heat-processing for 155 degreeC and 16 hours by the oven of nitrogen atmosphere, it took out from oven and performed natural cooling at room temperature. When the surface of the silicon substrate on which the block copolymer thin film was formed was observed with a phase image of an atomic force microscope (AFM), a PMMA region (pattern region) by the PMMA phase and a polystyrene region (pattern region) by the polystyrene phase were found. It was observed that the 28 nm pitch lamellar structure alternately repeated was oriented over a length of 1 μm or more.

(比較例1)
実施例1おいて、中性層を形成せずに同様な検討を行った。本比較例1においては、中性層が形成されないため、基板表面には酸化膜が露出している。従って、酸化膜と親和性が高いPMMA相が選択的に酸化膜表面に接触しやすく、パラレルラメラ構造が形成され、ラテラルラメラ構造は形成されない。基板の上のガイドパターン領域をAFMにて観察したところ、ラテラルラメラ構造は観察されず、表面にはポリスチレン相のみが露出しているだけであった。
(Comparative Example 1)
In Example 1, the same examination was performed without forming a neutral layer. In this comparative example 1, since the neutral layer is not formed, the oxide film is exposed on the substrate surface. Therefore, the PMMA phase having a high affinity with the oxide film is likely to selectively come into contact with the oxide film surface, and a parallel lamella structure is formed, and a lateral lamella structure is not formed. When the guide pattern area on the substrate was observed with AFM, the lateral lamellar structure was not observed, and only the polystyrene phase was exposed on the surface.

(実施例2)
実施例1と全く同様にして、PMMAとポリスチレンのブロック共重合体のラテラルラメラ構造を、直線状ガイドパターンに対して配向させ、PMMA領域とポリスチレン領域とが交互に繰り返している28nmピッチのラメラ構造が、ガイドパターンに配向している状態を形成した。この後、電子サイクロトロン共鳴(ECR)エッチング装置にて、シリコン基板を酸素プラズマに暴露し、PMMA領域を選択的にエッチングする。この際のエッチングの条件は、反応ガスはO2ガスで、流量は例えば10sccm程度、プラズマ生成のためのマイクロ波パワーは例えば300W程度、エッチング時間は例えば12秒程度である。なお、sccmは流量の単位であり、0℃・1気圧の流体が1分間に1cm3流れることを示す。上述したエッチングにより、PMMA領域、さらに、この下層の中性層がエッチングされ、下地の酸化膜表面が露出する。このエッチングにより、残存するポリスチレン領域と、PMMA領域が除去されたことによる貫通領域とからなるマスクパターンが形成されたことになる。
(Example 2)
In exactly the same manner as in Example 1, the lamellar structure of 28 nm pitch in which the lateral lamella structure of the block copolymer of PMMA and polystyrene is oriented with respect to the linear guide pattern, and the PMMA region and the polystyrene region are alternately repeated. However, the state which orientated to the guide pattern was formed. Thereafter, the silicon substrate is exposed to oxygen plasma by an electron cyclotron resonance (ECR) etching apparatus, and the PMMA region is selectively etched. The etching conditions at this time are a reactive gas of O 2 gas, a flow rate of about 10 sccm, a microwave power for plasma generation of about 300 W, and an etching time of about 12 seconds, for example. Note that sccm is a unit of flow rate, and indicates that a fluid at 0 ° C. and 1 atm flows 1 cm 3 per minute. By the etching described above, the PMMA region and the neutral layer below this are etched, and the underlying oxide film surface is exposed. By this etching, a mask pattern composed of the remaining polystyrene region and the through region obtained by removing the PMMA region is formed.

次いで、反応性イオンエッチング(RIE)装置にて、残存するポリスチレン領域からなる上記マスクパターンを用い、露出した酸化膜部分をエッチングする。この際のエッチング条件は、例えば、反応ガスは、例えばCF4/Arガスで、流量は、例えば各々40sccm/120sccm程度、真空度は、例えば6Pa程度、高周波(RF)の自己バイアス電圧は、例えば400V、エッチング時間は、例えば10秒程度で行った。このエッチングにより、酸化膜の層がエッチングされ、下地のシリコン基板の表面が露出する。 Next, the exposed oxide film portion is etched by a reactive ion etching (RIE) apparatus using the mask pattern made of the remaining polystyrene region. The etching conditions at this time are, for example, the reactive gas is, for example, CF 4 / Ar gas, the flow rate is, for example, about 40 sccm / 120 sccm, the degree of vacuum is, for example, about 6 Pa, and the self-bias voltage of radio frequency (RF) is, for example, The etching time was 400 seconds, for example, about 10 seconds. By this etching, the oxide film layer is etched, and the surface of the underlying silicon substrate is exposed.

次に、ECRエッチング装置にて、残存するポリスチレン領域よりなる上記マスクパターンを用い、露出したシリコン基板表面をエッチングする。この際のエッチング条件は、反応ガスは、例えばC12/O2/SF6ガスで、流量は、例えば15sccm/1.5sccm/1.5sccm程度で、マイクロ波パワーは、例えば400W程度で、エッチング時間は、例えば60秒程度で行った。 Next, the exposed silicon substrate surface is etched by an ECR etching apparatus using the mask pattern made of the remaining polystyrene region. Etching conditions at this time, the reaction gas is for example C1 2 / O 2 / SF 6 gas, the flow rate, for example at about 15 sccm / 1.5 sccm / 1.5 sccm, microwave power, for example, about 400W, etching The time was about 60 seconds, for example.

この後、アッシング装置にて残存するポリマー(マスクパターン)を剥離し、さらに、濃度1%の弗酸溶液にて、酸化膜を除去した。このようにしてパターンが転写されたシリコン基板を、走査型電子顕微鏡(SEM)で観察したところ、ピッチ28nm程度、深さ50nm程度の直線状のシリコンパターンが形成されている様子が観察された。   Thereafter, the remaining polymer (mask pattern) was removed with an ashing apparatus, and the oxide film was removed with a hydrofluoric acid solution having a concentration of 1%. When the silicon substrate onto which the pattern was transferred in this way was observed with a scanning electron microscope (SEM), it was observed that a linear silicon pattern having a pitch of about 28 nm and a depth of about 50 nm was formed.

次いで、パターンが転写されたシリコン基板を2cm角に切り出してチップを形成し、このチップを硫酸/過酸化水素水(体積比3/1)の混合溶液に4分間浸漬した後、水洗・乾燥して、シリコン基板よりなるチップの表面に約2nmの酸化膜を形成した。次いで、チップを、オクタデシルトリクロロシランのヘキサデカン溶液に24時間浸漬した後、テトラヒドロフランによる洗浄と乾燥とを行い、上記パターンが形成されているチップの表面に離型層を形成して、インプリント用のモールドを作製した。   Next, the silicon substrate on which the pattern was transferred was cut into 2 cm squares to form chips, and the chips were immersed in a mixed solution of sulfuric acid / hydrogen peroxide (volume ratio 3/1) for 4 minutes, then washed with water and dried. Thus, an oxide film of about 2 nm was formed on the surface of the chip made of a silicon substrate. Next, the chip was immersed in a hexadecane solution of octadecyltrichlorosilane for 24 hours, washed with tetrahydrofuran and dried, and a release layer was formed on the surface of the chip on which the pattern was formed. A mold was produced.

(実施例3)
下地基板として、主表面が(110)面とされた単結晶シリコンよりなる基板を用いた以外は実施例1と全く同様にし、PMMAとポリスチレンのブロック共重合体のラテラルラメラ構造を、<112>軸と平行に形成したガイドパターンに対して配向させた。このようにして上述同様のPMMA領域とポリスチレン領域と形成した後、ECRエッチング装置にて、基板を酸素プラズマに暴露し、PMMA領域を選択的にエッチングする。この際のエッチングの条件は、反応ガスはO2ガスで、流量は例えば10sccm程度、マイクロ波パワーは例えば300W程度、エッチング時間は、例えば12秒程度である。このエッチングにより、PMMA領域、さらにこの下層の中性層がエッチングされ、下地の酸化膜表面が露出する。このエッチングにより、残存するポリスチレン領域と、PMMA領域が除去されたことによる貫通領域とからなるマスクパターンが形成されたことになる。
(Example 3)
A lateral lamella structure of a block copolymer of PMMA and polystyrene was made in exactly the same manner as in Example 1 except that a substrate made of single crystal silicon having a main surface of (110) plane was used as the base substrate. <112> Orientation was performed with respect to a guide pattern formed parallel to the axis. After the PMMA region and the polystyrene region similar to those described above are formed in this way, the substrate is exposed to oxygen plasma by an ECR etching apparatus, and the PMMA region is selectively etched. The etching conditions at this time are a reactive gas of O 2 gas, a flow rate of about 10 sccm, a microwave power of about 300 W, and an etching time of about 12 seconds, for example. By this etching, the PMMA region and the neutral layer below this are etched, and the surface of the underlying oxide film is exposed. By this etching, a mask pattern composed of the remaining polystyrene region and the through region obtained by removing the PMMA region is formed.

次に、RIE装置にて、上記マスクパターンをマスクにして、露出した酸化膜部分をエッチングする。この際のエッチング条件は、例えば、反応ガスは、例えばCF4/Arガスで、流量は、例えば各々40sccm/120sccm程度、真空度は、例えば6Pa程度、高周波(RF)の自己バイアス電圧は、例えば400V、エッチング時間は、例えば10秒程度で行った。このエッチングにより、酸化膜層がエッチングされ、下地のシリコン基板表面が露出する。 Next, the exposed oxide film portion is etched by the RIE apparatus using the mask pattern as a mask. The etching conditions at this time are, for example, the reactive gas is, for example, CF 4 / Ar gas, the flow rate is, for example, about 40 sccm / 120 sccm, the degree of vacuum is, for example, about 6 Pa, and the self-bias voltage of radio frequency (RF) is, for example, The etching time was 400 seconds, for example, about 10 seconds. By this etching, the oxide film layer is etched and the underlying silicon substrate surface is exposed.

次に、上記マスクパターン及び酸化膜のパターンをマスクに、水酸化カリウム溶液よりなるエッチング液を用い、下地シリコン基板の異方性ウエットエッチングを行った。エッチング条件は、エッチング液における水酸化カリウムの濃度は、例えば20重量%、エッチング温度は、例えば10℃、エッチング時間は、例えば4分間である。   Next, using the mask pattern and the oxide film pattern as a mask, anisotropic wet etching of the underlying silicon substrate was performed using an etching solution made of a potassium hydroxide solution. As the etching conditions, the concentration of potassium hydroxide in the etching solution is, for example, 20% by weight, the etching temperature is, for example, 10 ° C., and the etching time is, for example, 4 minutes.

このようにして異方性エッチングをした後、アッシング装置にて残存するポリマー(マスクパターン)を剥離し、さらに、濃度1%の弗酸溶液にて、酸化膜を除去した。このようにしてパターンが転写された基板を、SEMで観察したところ、ピッチ28nm程度、深さ30nm程度の直線状のシリコンパターンが表面に形成されていた。   After anisotropic etching in this manner, the remaining polymer (mask pattern) was peeled off with an ashing apparatus, and the oxide film was removed with a hydrofluoric acid solution having a concentration of 1%. When the substrate on which the pattern was transferred in this way was observed with an SEM, a linear silicon pattern having a pitch of about 28 nm and a depth of about 30 nm was formed on the surface.

この後、基板を2cm角に切り出してチップを作製し、硫酸/過酸化水素水(体積比3/1)の混合溶液に4分間浸漬した後、水洗・乾燥して、チップの表面に約2nmの酸化膜を形成した。このチップを、オクタデシルトリクロロシランのヘキサデカン溶液に24時間浸漬した後、テトラヒドロフラン洗浄、乾燥を行い、表面に離型層を形成して、インプリント用のモールドを作製した。   Thereafter, the substrate is cut into 2 cm squares to produce chips, immersed in a mixed solution of sulfuric acid / hydrogen peroxide solution (volume ratio 3/1) for 4 minutes, washed with water and dried, and about 2 nm on the surface of the chip. An oxide film was formed. This chip was immersed in a hexadecane solution of octadecyltrichlorosilane for 24 hours, washed with tetrahydrofuran and dried to form a release layer on the surface, thereby producing an imprint mold.

(実施例4)
洗浄後の4インチ径のシリコン基板を、濃度が1%の弗酸水溶液に1分間浸漬した後、水洗・乾燥し、シリコン基板の表面から自然酸化膜を除去し、シリコン表面を露出させた。次に、中性層を形成した。中性層としては、PMMAとポリスチレンの交互共重合体の架橋膜を用いた。数平均分子量Mnl235000、多分散度1.85の交互共重合体100重量部に対して、架橋剤として、1,3,5−トリメチル−2,4,6−トリアセトキシメチルベンゼン30重量部、熱酸発生剤として、シクロヘキシルメチル(2−オキソシクロヘキシル)スルフォニウムトリフルオロメタンスルフォネート10重量部を混合し、2−メトキシエチルアセテートに溶解した後、0.2μm径のフィルターで濾過して、濃度1重量%の交互共重合体溶液を調整した。この交互共重合体溶液を、洗浄後の基板にスピン塗布した後、ホットプレート上で200℃・2分間の処理を行い、厚さ7nm程度の架橋ポリマー膜を形成した。その後、本基板をトルエン溶液にて10分間超音波洗浄を行い、未反応物質を溶解除去することで、中性層を形成した。
Example 4
The cleaned 4-inch diameter silicon substrate was immersed in an aqueous hydrofluoric acid solution having a concentration of 1% for 1 minute, then washed with water and dried to remove the natural oxide film from the surface of the silicon substrate to expose the silicon surface. Next, a neutral layer was formed. As the neutral layer, a cross-linked film of an alternating copolymer of PMMA and polystyrene was used. As a crosslinking agent, 30 parts by weight of 1,3,5-trimethyl-2,4,6-triacetoxymethylbenzene as a crosslinking agent with respect to 100 parts by weight of an alternating copolymer having a number average molecular weight Mnl 235,000 and a polydispersity of 1.85, heat As an acid generator, 10 parts by weight of cyclohexylmethyl (2-oxocyclohexyl) sulfonium trifluoromethanesulfonate was mixed, dissolved in 2-methoxyethyl acetate, and then filtered through a 0.2 μm diameter filter to obtain a concentration. A 1% by weight alternating copolymer solution was prepared. This alternating copolymer solution was spin-coated on the washed substrate, and then subjected to a treatment at 200 ° C. for 2 minutes on a hot plate to form a crosslinked polymer film having a thickness of about 7 nm. Thereafter, the substrate was subjected to ultrasonic cleaning with a toluene solution for 10 minutes to dissolve and remove unreacted substances, thereby forming a neutral layer.

次に、配向のためのガイドパターンを中性層の上に形成した。ガイドパターンの材料として、電子線ネガ型レジストである水素化シルセスキオキサン(HSQ)を用いた。HSQのメチルイソブチルケトン(MIBK)溶液(商品名=Fox−16、ダウコーニング社製)を、さらにMIBKで希釈したHSQ溶液を調整した。このHSQ溶液を中性層が形成された基板の上にスピン塗布した後、ホットプレート上で、110℃・1分間の加熱処理を行い、厚さ40nmのHSQ薄膜を形成した。この後、HSQ膜に対して、加速電圧100kVの電子線を露光量5mC/cm2で露光した後、2.38%テトラメチルアンモニウムハイドロオキサイド(TMAH)溶液を用いて、60秒間のパドル現像を行い、中性層の上にガイドパターンを形成した。 Next, a guide pattern for orientation was formed on the neutral layer. As a material of the guide pattern, hydrogenated silsesquioxane (HSQ), which is an electron beam negative resist, was used. An HSQ solution in which a methyl isobutyl ketone (MIBK) solution of HSQ (trade name = Fox-16, manufactured by Dow Corning) was further diluted with MIBK was prepared. This HSQ solution was spin-coated on a substrate on which a neutral layer was formed, and then a heat treatment was performed at 110 ° C. for 1 minute on a hot plate to form a 40 nm thick HSQ thin film. Thereafter, the HSQ film is exposed to an electron beam with an acceleration voltage of 100 kV at an exposure amount of 5 mC / cm 2 , and then subjected to paddle development for 60 seconds using a 2.38% tetramethylammonium hydroxide (TMAH) solution. The guide pattern was formed on the neutral layer.

形成されたガイドパターンをSEMで観察したところ、線幅24nm、1辺の長さが100nm以上の種々の長さをもつ六角形状のパターンが形成されていることが、確認された。   When the formed guide pattern was observed with an SEM, it was confirmed that hexagonal patterns having various lengths with a line width of 24 nm and a side length of 100 nm or more were formed.

次に、ガイドパターンの表面に化学修飾層を形成した。この化学修飾層の材料として、ポリマー末端にヒドロキシル基をもつポリメチルメタクリレート(PMMA)を用いた。数平均分子量Mn;3000、多分散度:1.06である。PMMAをトルエン溶液に溶解させた後、0.2μm径のフィルターで濾過して、濃度2重量%の当該PMMA溶液を調整した。このPMMA溶液を、パターン基板の上にスピン塗布して、50nm程度のPMMA膜を形成し、窒素雰囲気下のオーブンで、170℃・48時間の加熱処理を行った。   Next, a chemically modified layer was formed on the surface of the guide pattern. As a material for this chemically modified layer, polymethyl methacrylate (PMMA) having a hydroxyl group at the polymer terminal was used. Number average molecular weight Mn: 3000, polydispersity: 1.06. After PMMA was dissolved in a toluene solution, the PMMA solution having a concentration of 2% by weight was prepared by filtering with a 0.2 μm diameter filter. This PMMA solution was spin-coated on the pattern substrate to form a PMMA film having a thickness of about 50 nm, and heat treatment was performed at 170 ° C. for 48 hours in an oven under a nitrogen atmosphere.

この加熱中に、ガイドパターンであるHSQ表面上に存在するシラノール(Si−OH)基とPMMAの末端に存在する水酸(−OH)基が脱水反応を起こし、PMMAがガイドパターン表面に固定される。一方、下地の中性層表面にはシラノール基は存在しないので、PMMAは固定されない。従って、ガイドパターンの表面のみにPMMAの分子層からなる化学修飾層を形成することができる。この後、上記基板をトルエン溶液にて10分間超音波洗浄を行い、未反応のPMMAを溶解除去した。   During this heating, the silanol (Si-OH) group present on the HSQ surface as a guide pattern and the hydroxyl group (-OH) group present at the end of the PMMA cause a dehydration reaction, and the PMMA is fixed to the guide pattern surface. The On the other hand, since no silanol group exists on the surface of the neutral layer of the base, PMMA is not fixed. Therefore, a chemically modified layer composed of a PMMA molecular layer can be formed only on the surface of the guide pattern. Thereafter, the substrate was subjected to ultrasonic cleaning with a toluene solution for 10 minutes to dissolve and remove unreacted PMMA.

次に、化学修飾層が形成されたガイドパターンの間に、ブロック共重合体の薄膜を形成した。ブロック共重合体として、数平均分子量Mn:43000、多分散度1.3のPMMA(Mn:33000)とポリスチレン(Mnl10000)の非対称ジブロックコポリマーを用いた。このブロック共重合体をトルエン溶液に溶解した後、0.2μm径のフィルターで濾過して、濃度1重量%の当該ブロック共重合体溶液を調整した。このブロック共重合体溶液を、上記ガイドパターンが形成された基板の上にスピン塗布した後、ホットプレート上で110℃・2分間の加熱処理を行い、膜厚32nmのブロック共重合体薄膜を形成した。   Next, a thin film of a block copolymer was formed between the guide patterns on which the chemically modified layers were formed. As the block copolymer, an asymmetric diblock copolymer of PMMA (Mn: 33000) and polystyrene (Mnl10000) having a number average molecular weight Mn of 43,000 and a polydispersity of 1.3 was used. The block copolymer was dissolved in a toluene solution, and then filtered through a 0.2 μm diameter filter to prepare the block copolymer solution having a concentration of 1% by weight. The block copolymer solution is spin-coated on the substrate on which the guide pattern is formed, and then heated at 110 ° C. for 2 minutes on a hot plate to form a block copolymer thin film having a thickness of 32 nm. did.

この後、窒素雰囲気下のオーブンで、175℃・16時間の加熱処理を行った後、オーブンから取り出し、室温にて自然放冷を行った。このようにして、基板の上に形成されたミクロ相分離構造をAFMの位相像にて観察したところ、六角形状のガイドパターンより構成される枠の内側に、PMMA相からなるドット(PMMA領域)が31nmピッチで六方格子状配列している様子が観察された。   Thereafter, after heat treatment at 175 ° C. for 16 hours in an oven under a nitrogen atmosphere, the product was taken out from the oven and naturally cooled at room temperature. In this way, when the microphase separation structure formed on the substrate was observed with an AFM phase image, dots made of PMMA phase (PMMA region) were formed inside the frame composed of hexagonal guide patterns. Were observed in a hexagonal lattice array at a pitch of 31 nm.

(比較例2)
実施例4おいて、中性層を形成せずに、同様な検討を行った。本比較例2においては、中性層が形成されないため、基板の表面には酸化膜が露出している。従って、酸化膜と親和性が高いPMMA相が選択的に酸化膜表面に接触しやすく、パラレルシリンダー構造が形成され、垂直配向シリンダー構造は形成されない。基板の上に形成されたガイドパターン領域をAFMにて観察したところ、ドット構造は観察されず、表面にはポリスチレン相のみが露出しているだけであった。
(Comparative Example 2)
In Example 4, the same examination was performed without forming a neutral layer. In Comparative Example 2, since the neutral layer is not formed, the oxide film is exposed on the surface of the substrate. Accordingly, the PMMA phase having a high affinity with the oxide film is likely to selectively come into contact with the oxide film surface, and a parallel cylinder structure is formed, and a vertical alignment cylinder structure is not formed. When the guide pattern area formed on the substrate was observed with AFM, no dot structure was observed, and only the polystyrene phase was exposed on the surface.

(実施例5)
実施例4と全く同様にして、PMMAとポリスチレンのブロック共重合体による垂直配向シリンダー構造を、六角形状のガイドパターンの内側に、ガイドパターンに沿って六方格子上に配列させた。この後、電子サイクロトロン共鳴(ECR)エッチング装置にて、基板を酸素プラズマに暴露し、PMMA相よりなるドット(シリンダー構造)の部分を選択的にエッチングする。この際のエッチングの条件は、反応ガスはO2ガスで、流量は例えば10sccm程度、マイクロ波パワーは例えば200W程度、エッチング時間は、例えば10秒程度である。このエッチングにより、PMMA相よりなるドットの部分、さらに、この下層の中性層がエッチングされ、ポリスチレン相からなるホールパターン(マスクパターン)が形成される。なお、ホールパターンの開口部の底には、下地のシリコン基板が露出するが、O2プラズマへの暴露により、露出している表面にはプラズマ酸化膜が形成されている。
(Example 5)
In exactly the same manner as in Example 4, vertically aligned cylinder structures made of a block copolymer of PMMA and polystyrene were arranged on a hexagonal lattice along the guide pattern inside the hexagonal guide pattern. Thereafter, the substrate is exposed to oxygen plasma by an electron cyclotron resonance (ECR) etching apparatus, and the dot (cylinder structure) portion made of the PMMA phase is selectively etched. The etching conditions at this time are a reactive gas of O 2 gas, a flow rate of about 10 sccm, a microwave power of about 200 W, and an etching time of about 10 seconds, for example. By this etching, the dot portion made of the PMMA phase and the neutral layer below this are etched to form a hole pattern (mask pattern) made of the polystyrene phase. Although the underlying silicon substrate is exposed at the bottom of the opening of the hole pattern, a plasma oxide film is formed on the exposed surface by exposure to O 2 plasma.

次に、反応性イオンエッチング(RIE)装置にて、残存するポリスチレン相よりなるホールパターンをマスクにし、露出した酸化膜部分をエッチングする。この際のエッチング条件は、例えば、反応ガスは、例えばCF4/CHF3/Arガスで、流量は、例えば各々40sccm/80sccm/120sccm程度、真空度は、例えば6Pa程度、高周波(RF)の自己バイアス電圧は、例えば400V、エッチング時間は、例えば10秒程度で行った。このエッチングに処理より、ホールパターンの開口部の底に存在するプラズマ酸化膜が除去される。 Next, the exposed oxide film portion is etched by a reactive ion etching (RIE) apparatus using the hole pattern made of the remaining polystyrene phase as a mask. The etching conditions at this time are, for example, the reaction gas is, for example, CF 4 / CHF 3 / Ar gas, the flow rate is, for example, about 40 sccm / 80 sccm / 120 sccm, the degree of vacuum is, for example, about 6 Pa, and high frequency (RF) self The bias voltage was 400 V, for example, and the etching time was 10 seconds, for example. By this etching process, the plasma oxide film existing at the bottom of the opening of the hole pattern is removed.

次に、ECRエッチング装置にて、残存するポリスチレン相よりなるホールパターンをマスクにし、この開口部の底部に露出したシリコン基板表面をエッチングする。この際のエッチング条件は、反応ガスは、例えばCl2/O2/SF6ガスで、流量は、例えば15sccm/1.5sccm/1.5sccm程度で、マイクロ波パワーは、例えば400W程度で、エッチング時間は、例えば60秒程度で行った。 Next, the surface of the silicon substrate exposed at the bottom of the opening is etched by an ECR etching apparatus using the hole pattern made of the remaining polystyrene phase as a mask. Etching conditions at this time are as follows: the reactive gas is, for example, Cl 2 / O 2 / SF 6 gas, the flow rate is, for example, about 15 sccm / 1.5 sccm / 1.5 sccm, and the microwave power is, for example, about 400 W. The time was about 60 seconds, for example.

この後、アッシング装置にて残存するポリマーを剥離し、さらに、濃度1%の弗酸溶液にて、酸化膜を除去した。このようにしてパターンが転写された基板を、SEMで観察したところ、サイズ9nm程度、ピッチ29nm程度、深さ50nm程度のホールパターンが、六方格子状に配列している様子が観察された。   Thereafter, the remaining polymer was removed with an ashing apparatus, and the oxide film was removed with a hydrofluoric acid solution having a concentration of 1%. When the substrate onto which the pattern was transferred in this way was observed with an SEM, it was observed that hole patterns having a size of about 9 nm, a pitch of about 29 nm, and a depth of about 50 nm were arranged in a hexagonal lattice pattern.

次に、上記ホールパターンが形成された基板を2cm角に切り出してチップとし、硫酸/過酸化水素水(体積比3/1)の混合溶液に4分間浸漬した後、水洗・乾燥し、切り出したチップの表面に約2nmの酸化膜を形成した。このチップを、オクタデシルトリクロロシランのヘキサデカン溶液に24時間浸漬した後、テトラヒドロフラン洗浄、乾燥を行い、表面に離型層を形成して、インプリント用のモールドを作製した。   Next, the substrate on which the hole pattern was formed was cut into 2 cm squares to form chips, dipped in a mixed solution of sulfuric acid / hydrogen peroxide solution (volume ratio 3/1) for 4 minutes, washed with water, dried and cut out. An oxide film of about 2 nm was formed on the surface of the chip. This chip was immersed in a hexadecane solution of octadecyltrichlorosilane for 24 hours, washed with tetrahydrofuran and dried to form a release layer on the surface, thereby producing an imprint mold.

(実施例6)
4インチ径のシリコン基板を、硫酸/過酸化水素水(体積比3/1)の混合溶液に4分間浸漬した後、水洗・乾燥して、シリコン基板の表面に約2nmの酸化膜を形成した。次に、中性層を形成した。形成した中性層の材料として、ポリマー末端に、各々α−ヒドロキシル基、及びω−2,2,6,6−テトラメチル−1−ピペリジン−1−オキシル(TEMPO)基をもつポリメチルメタクリレートとポリスチレンのランダム共重合体の単分子膜を用いた。数平均分子量Mn:11600、多分散度は1.7、ポリスチレンのモル比は64%程度である。このランダム共重合体をトルエンに溶解した後、0.2μm径のフィルターで濾過して、濃度1重量%の当該ランダム共重合体のトルエン溶液を調整した。
(Example 6)
A 4-inch diameter silicon substrate was immersed in a mixed solution of sulfuric acid / hydrogen peroxide (volume ratio 3/1) for 4 minutes, then washed with water and dried to form an oxide film of about 2 nm on the surface of the silicon substrate. . Next, a neutral layer was formed. As a material for the formed neutral layer, polymethyl methacrylate having α-hydroxyl group and ω-2,2,6,6-tetramethyl-1-piperidine-1-oxyl (TEMPO) group at the polymer ends, respectively, A monomolecular film of a random copolymer of polystyrene was used. Number average molecular weight Mn: 11600, polydispersity is 1.7, and molar ratio of polystyrene is about 64%. This random copolymer was dissolved in toluene, and then filtered through a 0.2 μm diameter filter to prepare a toluene solution of the random copolymer having a concentration of 1% by weight.

このランダム共重合体溶液を、洗浄後の基板にスピン塗布し、30nm程度の膜厚をもつランダム共重合体膜を形成した。この後、窒素雰囲気下のオーブンで、140℃・48時間の加熱処理を行った。加熱中に、既に形成されている酸化膜の表面に存在するシラノール基と、ランダム共重合体の末端に存在する水酸基が脱水反応を起こし、ランダム共重合体が基板表面に固定される。この後、シリコン基板をトルエン溶液にて10分間超音波洗浄を行い、未反応のランダム共重合体を溶解除去すれば、酸化膜の上に中性層が形成される。   This random copolymer solution was spin-coated on the cleaned substrate to form a random copolymer film having a thickness of about 30 nm. Thereafter, heat treatment was performed at 140 ° C. for 48 hours in an oven under a nitrogen atmosphere. During heating, a silanol group present on the surface of the oxide film already formed and a hydroxyl group present at the end of the random copolymer cause a dehydration reaction, and the random copolymer is fixed to the substrate surface. Thereafter, the silicon substrate is ultrasonically cleaned with a toluene solution for 10 minutes to dissolve and remove the unreacted random copolymer, whereby a neutral layer is formed on the oxide film.

次に、中性層の上に配向のためのガイドパターンを形成した。このガイドパターンの材料として、電子線ネガ型レジストである水素化シルセスキオキサン(HSQ)を用いた。HSQのメチルイソブチルケトン(MIBK)溶液(商品名:Fox−16、ダウコーニング社製)を、さらにMIBKで希釈してHSQ溶液を調整した。このHSQ溶液を中性層が形成された基板の上にスピン塗布し、ホットプレート上で、110℃・1分間の加熱処理を行い、厚さ40nmのHSQ薄膜を形成した。この後、形成したHSQ膜に対して、加速電圧100kVの電子線を露光量5mC/cm2で露光した後、2.38%テトラメチルアンモニウムハイドロオキサイド(TMAH)溶液を用いて、60秒間のパドル現像を行った。 Next, a guide pattern for orientation was formed on the neutral layer. As a material for this guide pattern, hydrogenated silsesquioxane (HSQ), which is an electron beam negative resist, was used. A methyl isobutyl ketone (MIBK) solution of HSQ (trade name: Fox-16, manufactured by Dow Corning) was further diluted with MIBK to prepare an HSQ solution. This HSQ solution was spin-coated on the substrate on which the neutral layer was formed, and heat treatment was performed at 110 ° C. for 1 minute on a hot plate to form a 40 nm thick HSQ thin film. Thereafter, the formed HSQ film is exposed to an electron beam with an acceleration voltage of 100 kV at an exposure amount of 5 mC / cm 2 , and then padded for 60 seconds using a 2.38% tetramethylammonium hydroxide (TMAH) solution. Developed.

このようにして形成されたHSQ薄膜よりなるガイドパターンをSEMで観察したところ、線幅24nm、対辺の間の距離が100nm以上で、かつ種々の長さをもつ、図12に示したようなL字型あるいは十字型のパターンが形成できていることを確認できた。   When the guide pattern formed of the HSQ thin film thus formed was observed with an SEM, the line width was 24 nm, the distance between opposite sides was 100 nm or more, and various lengths as shown in FIG. It was confirmed that a letter-shaped or cross-shaped pattern was formed.

さらに、ガイドパターンの表面に形成する化学修飾層の材料として、ポリマー末端に水酸基をもつ、数平均分子量Mn:3000、多分散度:1.06のポリスチレンを用いた。ポリスチレンをトルエン溶液に溶解させた後、0.2μm径のフィルターで濾過して、濃度2重量%の当該ポリスチレン溶液を調整した。このポリスチレン溶液を、パターン基板上にスピン塗布して、50nm程度のポリスチレン膜を形成し、窒素雰囲気下のオーブンで、110℃・48時間の加熱処理を行った。加熱中に、ガイドパターン表面のみにポリスチレンの分子層を形成することができる。その後、本基板をトルエン溶液にて10分間超音波洗浄を行い、未反応のポリスチレンを溶解除去した。   Furthermore, as a material for the chemically modified layer formed on the surface of the guide pattern, polystyrene having a hydroxyl group at the polymer terminal and having a number average molecular weight Mn of 3000 and a polydispersity of 1.06 was used. After dissolving polystyrene in a toluene solution, it was filtered through a 0.2 μm diameter filter to prepare the polystyrene solution having a concentration of 2% by weight. This polystyrene solution was spin-coated on a pattern substrate to form a polystyrene film with a thickness of about 50 nm, and a heat treatment was performed at 110 ° C. for 48 hours in an oven under a nitrogen atmosphere. During heating, a polystyrene molecular layer can be formed only on the surface of the guide pattern. Thereafter, the substrate was subjected to ultrasonic cleaning with a toluene solution for 10 minutes to dissolve and remove unreacted polystyrene.

次に、ポリスチレンの分子層よりなる化学修飾層が形成されたガイドパターンの間に、ブロック共重合体の薄膜を形成した。ブロック共重合体として、数平均分子量Mn:36000、多分散度1.07のPMMA(Mnl18000)とポリスチレン(Mn:18000)の対称ジブロックコポリマーを用いた。このブロック共重合体をトルエン溶液に溶解した後、0.2μm径のフィルターで濾過して、ブロック共重合体溶液を調整した。このブロック共重合体溶液を、上記ガイドパターンが形成された基板の上にスピン塗布した後、ホットプレート上で110℃・2分間の加熱処理を行い、膜厚29nmのブロック共重合体薄膜を形成した。   Next, a thin film of a block copolymer was formed between the guide patterns on which the chemically modified layers made of polystyrene molecular layers were formed. As the block copolymer, a symmetric diblock copolymer of PMMA (Mn18000) and polystyrene (Mn: 18000) having a number average molecular weight Mn of 36000 and a polydispersity of 1.07 was used. The block copolymer was dissolved in a toluene solution, and then filtered through a 0.2 μm diameter filter to prepare a block copolymer solution. This block copolymer solution is spin-coated on the substrate on which the guide pattern is formed, and then heat-treated on a hot plate at 110 ° C. for 2 minutes to form a block copolymer thin film having a thickness of 29 nm. did.

この後、窒素雰囲気下のオーブンで、195℃・16時間の加熱処理を行った後、オーブンから取り出し、室温にて自然放冷を行った。このようにして形成したミクロ相分離構造を原子間力顕微鏡(AFM)の位相像にて観察した。L字型のガイドパターンでは、直線領域では、直線状のポリスチレン領域が2本配向しており、角領域では、2本のポリスチレン領域に挟まれて、さらにドット状のポリスチレン相が形成できており、図12(a)と同様なミクロ相分離構造が形成できていることが確認された。   Then, after heat-processing for 195 degreeC and 16 hours in the oven of nitrogen atmosphere, it took out from oven and performed natural cooling at room temperature. The microphase separation structure thus formed was observed with a phase image of an atomic force microscope (AFM). In the L-shaped guide pattern, two linear polystyrene regions are oriented in the linear region, and in the corner region, the two polystyrene regions are sandwiched to form a dot-like polystyrene phase. It was confirmed that a microphase separation structure similar to that shown in FIG.

さらに、十字型ガイドパターンでは、十字の中央部に、ドット状のポリスチレン相が形成され、4本のライン状のポリスチレン領域がドットに近接して形成できており、図12(b)と同様なミクロ相分離構造が形成できていることが確認された。   Furthermore, in the cross-shaped guide pattern, a dot-like polystyrene phase is formed at the center of the cross, and four line-shaped polystyrene regions can be formed close to the dots, similar to FIG. 12B. It was confirmed that a microphase separation structure was formed.

ブロック共重合体のミクロ相分離の状態を示す相図である。It is a phase diagram which shows the state of the micro phase separation of a block copolymer. ラメラ構造をとるブロック共重合体の構成例を示す構成図である。It is a block diagram which shows the structural example of the block copolymer which takes a lamella structure. ドットあるいはシリンダー構造をとるブロック共重合体の構成例を示す構成図である。It is a block diagram which shows the structural example of the block copolymer which takes a dot or a cylinder structure. 溝構造内でのブロック共重合体薄膜のミクロ相分離の構成例を示す構成図である。It is a block diagram which shows the structural example of the micro phase separation of the block copolymer thin film in a groove structure. 溝構造内でのブロック共重合体薄膜のミクロ相分離の構成例を示す構成図である。It is a block diagram which shows the structural example of the micro phase separation of the block copolymer thin film in a groove structure. 溝構造内でのブロック共重合体薄膜のミクロ相分離の構成例を示す構成図である。It is a block diagram which shows the structural example of the micro phase separation of the block copolymer thin film in a groove structure. 本発明の実施形態に係るパターン形成方法を説明するための工程図である。It is process drawing for demonstrating the pattern formation method which concerns on embodiment of this invention. 本発明の実施形態に係る他のパターン形成方法を説明するための工程図である。It is process drawing for demonstrating the other pattern formation method which concerns on embodiment of this invention. 本発明の実施形態に係る他のパターン形成方法を説明するための工程図である。It is process drawing for demonstrating the other pattern formation method which concerns on embodiment of this invention. 本発明の実施形態に係る他のパターン形成方法を説明するための工程図である。It is process drawing for demonstrating the other pattern formation method which concerns on embodiment of this invention. 本発明の実施形態に係る他のパターン形成方法を説明するための平面図。The top view for demonstrating the other pattern formation method which concerns on embodiment of this invention. 本発明の実施形態に係る他のパターン形成方法を説明するための平面図。The top view for demonstrating the other pattern formation method which concerns on embodiment of this invention.

符号の説明Explanation of symbols

701…基板、702…ガイドパターン、703…ブロック共重合体薄膜、704…ブロック鎖A領域、704a…ブロック鎖A相、705…ブロック鎖B領域、705a…ブロック鎖B相、801…中性層、901…化学修飾層。   701 ... Substrate, 702 ... Guide pattern, 703 ... Block copolymer thin film, 704 ... Block chain A region, 704a ... Block chain A phase, 705 ... Block chain B region, 705a ... Block chain B phase, 801 ... Neutral layer 901 ... Chemically modified layer.

Claims (16)

基板の上に互いに異なる表面自由エネルギーを持つ少なくとも2つのブロック鎖から構成されたブロック共重合体よりなる薄膜を形成し、前記ブロック共重合体をミクロ相分離することでパターンを形成するパターン形成方法において、
前記基板の上の前記ブロック共重合体が接触する面が、2つの前記ブロック鎖の表面自由エネルギーの中間の値の表面自由エネルギーとされた状態とする第1工程と、
前記基板の上に、側面の表面自由エネルギーが2つの前記ブロック鎖の一方の表面自由エネルギーに近い表面自由エネルギーとされた複数のガイドパターンが形成された状態とする第2工程と、
前記ガイドパターンの間の前記基板の上に、前記ブロック共重合体よりなる前記薄膜が形成された状態とする第3工程と、
前記薄膜を構成する前記ブロック共重合体がミクロ相分離されて、2つの前記ブロック鎖の相よりなる2つのパターン領域が前記薄膜に形成された状態とする第4工程と
を少なくとも備えることを特徴とするパターン形成方法。
A pattern forming method for forming a pattern by forming a thin film comprising a block copolymer composed of at least two block chains having different surface free energies on a substrate, and microphase-separating the block copolymer In
A first step in which the surface of the substrate on which the block copolymer contacts is in a state where the surface free energy is intermediate between the surface free energies of the two block chains;
A second step in which a plurality of guide patterns whose surface free energy on the side surface is close to the surface free energy of one of the two block chains are formed on the substrate;
A third step in which the thin film made of the block copolymer is formed on the substrate between the guide patterns;
The block copolymer constituting the thin film is microphase-separated, and includes at least a fourth step in which two pattern regions composed of two phases of the block chain are formed in the thin film. A pattern forming method.
請求項1記載のパターン形成方法において、
前記第1工程では、前記基板の上に2つの前記ブロック鎖の表面自由エネルギーの中間の値の表面自由エネルギーとされた中性層を形成することで、前記基板の上の前記ブロック共重合体が接触する面が、2つの前記ブロック鎖の表面自由エネルギーの中間の値の表面自由エネルギーとされている状態とし、
前記第3工程では、前記中性層の上に接して前記薄膜が形成された状態とする
ことを特徴とするパターン形成方法。
In the pattern formation method of Claim 1,
In the first step, the block copolymer on the substrate is formed on the substrate by forming a neutral layer having a surface free energy that is intermediate between the surface free energies of the two block chains. The surface in contact with the surface free energy of the intermediate value of the surface free energy of the two block chains,
In the third step, the thin film is formed in contact with the neutral layer.
請求項2記載のパターン形成方法において、
前記中性層は、前記ブロック共重合体を構成する各ブロック鎖のモノマーを含む共重合体から形成する
ことを特徴とするパターン形成方法。
In the pattern formation method of Claim 2,
The said neutral layer is formed from the copolymer containing the monomer of each block chain which comprises the said block copolymer. The pattern formation method characterized by the above-mentioned.
請求項3記載のパターン形成方法において、
前記中性層は、少なくとも2つ以上のモノマー成分からなる共重合体を含んで形成し、
前記ガイドパターンは、前記中性層が含むいずれかの前記モノマー成分からなるホモポリマーを含んで形成し、
前記ブロック共重合体は、前記中性層が含む前記モノマー成分からなるブロック鎖を含んでいる
ことを特徴とするパターン形成方法。
In the pattern formation method of Claim 3,
The neutral layer includes a copolymer composed of at least two monomer components,
The guide pattern is formed including a homopolymer composed of any of the monomer components included in the neutral layer,
The said block copolymer contains the block chain which consists of the said monomer component which the said neutral layer contains. The pattern formation method characterized by the above-mentioned.
請求項3記載のパターン形成方法において、
前記中性層が含む1つの前記モノマーは、スチレンであり、
前記ガイドパターンは、ポリスチレンを含んで形成し、
前記ブロック共重合体は、ポリスチレンからなるブロック鎖を含んでいる
ことを特徴とするパターン形成方法。
In the pattern formation method of Claim 3,
One of the monomers included in the neutral layer is styrene,
The guide pattern is formed including polystyrene,
The said block copolymer contains the block chain which consists of polystyrenes. The pattern formation method characterized by the above-mentioned.
請求項1〜5のいずれか1項に記載のパターン形成方法において、
前記ガイドパターンは、少なくとも前記ブロック共重合体のいずれかのブロック鎖と同じモノマー成分からなるポリマーを含んだ状態に形成することで、前記側面の表面自由エネルギーが2つの前記ブロック鎖の一方の表面自由エネルギーに近い表面自由エネルギーとされた状態とする
ことを特徴とするパターン形成方法。
In the pattern formation method of any one of Claims 1-5,
The guide pattern is formed so as to include at least a polymer composed of the same monomer component as any one of the block chains of the block copolymer, so that the surface free energy of the side surface is one surface of the two block chains. A pattern forming method characterized in that the surface free energy is close to free energy.
請求項1〜3のいずれか1項に記載のパターン形成方法において、
前記ガイドパターンの表面に化学修飾層を形成することで、前記ガイドパターンの側面が、2つの前記ブロック鎖の一方の表面自由エネルギーに近い表面自由エネルギーとされた状態とし、この後、前記ブロック共重合体よりなる薄膜が形成された状態とする
ことを特徴とするパターン形成方法。
In the pattern formation method of any one of Claims 1-3,
By forming a chemically modified layer on the surface of the guide pattern, the side surface of the guide pattern is brought into a surface free energy close to the surface free energy of one of the two block chains. A pattern forming method comprising: forming a thin film made of a polymer.
請求項7記載のパターン形成方法において、
前記化学修飾層は、少なくとも前記ブロック共重合体のいずれかのブロック鎖のモノマー成分を含むポリマーから形成し、
前記化学修飾層を形成する前記ポリマーは、少なくとも分子末端に水酸基又はカルボキシル基を持つ
ことを特徴とするパターン形成方法。
In the pattern formation method of Claim 7,
The chemically modified layer is formed from a polymer containing at least a monomer component of any block chain of the block copolymer,
The said polymer which forms the said chemical modification layer has a hydroxyl group or a carboxyl group at least in the molecular terminal. The pattern formation method characterized by the above-mentioned.
請求項8記載のパターン形成方法において、
前記中性層は、少なくとも2つ以上のモノマー成分からなる共重合体を含んで形成し、
前記ガイドパターンは、少なくともポリシロキサンを含む感光性樹脂を用いて形成し、
前記化学修飾層は、前記中性層が含むいずれか1の前記モノマー成分からなるブロック鎖を含み、かつ少なくとも分子末端に水酸基又はカルボキシル基を持つポリマーから形成し、
前記ブロック共重合体は、前記中性層が含む前記モノマー成分からなるブロック鎖を含んでいる
ことを特徴とするパターン形成方法。
The pattern forming method according to claim 8, wherein
The neutral layer includes a copolymer composed of at least two monomer components,
The guide pattern is formed using a photosensitive resin containing at least polysiloxane,
The chemically modified layer includes a block chain composed of any one of the monomer components included in the neutral layer, and is formed from a polymer having a hydroxyl group or a carboxyl group at least at the molecular end,
The said block copolymer contains the block chain which consists of the said monomer component which the said neutral layer contains. The pattern formation method characterized by the above-mentioned.
請求項9記載のパターン形成方法において、
前記中性層が含む1つの前記モノマーは、スチレンであり、
前記化学修飾層は、少なくとも分子末端に水酸基又はカルボキシル基を持つポリスチレンを含んで形成し、
前記ブロック共重合体は、ポリスチレンからなるブロック鎖を含んでいる
ことを特徴とするパターン形成方法。
In the pattern formation method of Claim 9,
One of the monomers included in the neutral layer is styrene,
The chemical modification layer is formed including at least a polystyrene having a hydroxyl group or a carboxyl group at the molecular end,
The said block copolymer contains the block chain which consists of polystyrenes. The pattern formation method characterized by the above-mentioned.
請求項9記載のパターン形成方法において、
前記中性層が含む前記モノマーは、スチレン及びメチルメタクリレートであり、
前記化学修飾層は、少なくとも分子末端に水酸基又はカルボキシル基を持つポリスチレン、もしくは、少なくとも分子末端に水酸基又はカルボキシル基を持つポリメチルメタクリレートの一方を含んで形成し、
前記ブロック共重合体は、ポリスチレンとポリメチルメタクリレートのブロック共重合体から構成されている
ことを特徴とするパターン形成方法。
In the pattern formation method of Claim 9,
The monomer contained in the neutral layer is styrene and methyl methacrylate,
The chemical modification layer includes at least one of polystyrene having a hydroxyl group or a carboxyl group at the molecular end, or polymethyl methacrylate having at least a hydroxyl group or a carboxyl group at the molecular end,
The said block copolymer is comprised from the block copolymer of polystyrene and polymethylmethacrylate. The pattern formation method characterized by the above-mentioned.
請求項9〜11のいずれか1項に記載のパターン形成方法において、
ポリシロキサンは、水素化シルセスキオキサンである
ことを特徴とするパターン形成方法。
In the pattern formation method of any one of Claims 9-11,
The pattern forming method, wherein the polysiloxane is silsesquioxane hydride.
請求項1〜12のいずれか1項に記載のパターン形成方法において、
複数の前記ガイドパターンは、互いに平行に配置されている
ことを特徴とするパターン形成方法。
In the pattern formation method of any one of Claims 1-12,
A plurality of the guide patterns are arranged in parallel to each other.
請求項1〜12のいずれか1項に記載のパターン形成方法において、
複数の前記ガイドパターンは、多角形状に配置され、
前記薄膜は、前記多角形状の2次元的な閉空間内に形成される
ことを特徴とするパターン形成方法。
In the pattern formation method of any one of Claims 1-12,
The plurality of guide patterns are arranged in a polygonal shape,
The said thin film is formed in the said polygonal two-dimensional closed space. The pattern formation method characterized by the above-mentioned.
請求項1〜14のいずれか1項に記載のパターン形成方法において、
前記薄膜のいずれかの前記パターン領域を選択的に除去してマスクパターンが形成された状態とする第5工程と、
前記マスクパターンを用いて前記基板の上に新たなパターンが形成された状態とする第6工程と
を備えることを特徴とするパターン形成方法。
In the pattern formation method of any one of Claims 1-14,
A fifth step of selectively removing any one of the pattern regions of the thin film to form a mask pattern;
And a sixth step in which a new pattern is formed on the substrate using the mask pattern.
請求項15記載のパターン形成方法により形成されたモールドであって、
前記新たなパターンが形成された前記基板より構成されている
ことを特徴とするモールド。
A mold formed by the pattern forming method according to claim 15,
A mold comprising the substrate on which the new pattern is formed.
JP2006211745A 2006-08-03 2006-08-03 Pattern forming method and mold Expired - Fee Related JP4673266B2 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2006211745A JP4673266B2 (en) 2006-08-03 2006-08-03 Pattern forming method and mold

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2006211745A JP4673266B2 (en) 2006-08-03 2006-08-03 Pattern forming method and mold

Publications (2)

Publication Number Publication Date
JP2008036491A JP2008036491A (en) 2008-02-21
JP4673266B2 true JP4673266B2 (en) 2011-04-20

Family

ID=39172116

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2006211745A Expired - Fee Related JP4673266B2 (en) 2006-08-03 2006-08-03 Pattern forming method and mold

Country Status (1)

Country Link
JP (1) JP4673266B2 (en)

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9177825B2 (en) 2014-03-14 2015-11-03 Kabushiki Kaisha Toshiba Pattern forming method
US9252027B1 (en) 2014-09-12 2016-02-02 Kabushiki Kaisha Toshiba Method of forming pattern, manufacturing method of semiconductor device and template
US9564324B2 (en) 2013-06-12 2017-02-07 Samsung Electronics Co., Ltd. Methods of forming a pattern and devices formed by the same
US9653294B2 (en) 2014-12-03 2017-05-16 Samsung Electronics Co., Ltd. Methods of forming fine patterns and methods of manufacturing integrated circuit devices using the methods
US9841674B2 (en) 2014-09-11 2017-12-12 Toshiba Memory Corporation Patterning method, and template for nanoimprint and producing method thereof
US9892918B2 (en) 2015-11-03 2018-02-13 Samsung Electronics Co., Ltd. Method of forming pattern of semiconductor device

Families Citing this family (153)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8394483B2 (en) 2007-01-24 2013-03-12 Micron Technology, Inc. Two-dimensional arrays of holes with sub-lithographic diameters formed by block copolymer self-assembly
US7964107B2 (en) 2007-02-08 2011-06-21 Micron Technology, Inc. Methods using block copolymer self-assembly for sub-lithographic patterning
US8083953B2 (en) 2007-03-06 2011-12-27 Micron Technology, Inc. Registered structure formation via the application of directed thermal energy to diblock copolymer films
US8557128B2 (en) 2007-03-22 2013-10-15 Micron Technology, Inc. Sub-10 nm line features via rapid graphoepitaxial self-assembly of amphiphilic monolayers
US8294139B2 (en) 2007-06-21 2012-10-23 Micron Technology, Inc. Multilayer antireflection coatings, structures and devices including the same and methods of making the same
US8097175B2 (en) 2008-10-28 2012-01-17 Micron Technology, Inc. Method for selectively permeating a self-assembled block copolymer, method for forming metal oxide structures, method for forming a metal oxide pattern, and method for patterning a semiconductor structure
US7959975B2 (en) 2007-04-18 2011-06-14 Micron Technology, Inc. Methods of patterning a substrate
US8372295B2 (en) 2007-04-20 2013-02-12 Micron Technology, Inc. Extensions of self-assembled structures to increased dimensions via a “bootstrap” self-templating method
US8404124B2 (en) 2007-06-12 2013-03-26 Micron Technology, Inc. Alternating self-assembling morphologies of diblock copolymers controlled by variations in surfaces
US8080615B2 (en) 2007-06-19 2011-12-20 Micron Technology, Inc. Crosslinkable graft polymer non-preferentially wetted by polystyrene and polyethylene oxide
KR101355167B1 (en) * 2007-12-14 2014-01-28 삼성전자주식회사 Method of forming fine pattern using block copolymer having at least three polymer block
US8207028B2 (en) * 2008-01-22 2012-06-26 International Business Machines Corporation Two-dimensional patterning employing self-assembled material
US8999492B2 (en) 2008-02-05 2015-04-07 Micron Technology, Inc. Method to produce nanometer-sized features with directed assembly of block copolymers
US8101261B2 (en) 2008-02-13 2012-01-24 Micron Technology, Inc. One-dimensional arrays of block copolymer cylinders and applications thereof
US8425982B2 (en) 2008-03-21 2013-04-23 Micron Technology, Inc. Methods of improving long range order in self-assembly of block copolymer films with ionic liquids
US8426313B2 (en) 2008-03-21 2013-04-23 Micron Technology, Inc. Thermal anneal of block copolymer films with top interface constrained to wet both blocks with equal preference
US8114300B2 (en) 2008-04-21 2012-02-14 Micron Technology, Inc. Multi-layer method for formation of registered arrays of cylindrical pores in polymer films
US8114301B2 (en) 2008-05-02 2012-02-14 Micron Technology, Inc. Graphoepitaxial self-assembly of arrays of downward facing half-cylinders
JP2010115832A (en) * 2008-11-12 2010-05-27 Panasonic Corp Method for promoting self-formation of block copolymer and method for forming self-formation pattern of block copolymer using the method for promoting self-formation
KR101535227B1 (en) * 2008-12-31 2015-07-08 삼성전자주식회사 Method of forming fine pattern using block copolymer
US8398868B2 (en) * 2009-05-19 2013-03-19 International Business Machines Corporation Directed self-assembly of block copolymers using segmented prepatterns
JP5197497B2 (en) * 2009-06-03 2013-05-15 三菱レイヨン株式会社 Method for producing block copolymer thin film having uniaxial horizontal alignment micro phase separation structure
WO2011036778A1 (en) * 2009-09-25 2011-03-31 株式会社 東芝 Pattern formation process
WO2011039845A1 (en) 2009-09-29 2011-04-07 株式会社 東芝 Structure
US8059350B2 (en) * 2009-10-22 2011-11-15 Hitachi Global Storage Technologies Netherlands B.V. Patterned magnetic recording disk with patterned servo sectors having chevron servo patterns
JP2011243655A (en) * 2010-05-14 2011-12-01 Hitachi Ltd High polymer thin film, pattern media and their manufacturing methods, and surface modifying material
US8304493B2 (en) 2010-08-20 2012-11-06 Micron Technology, Inc. Methods of forming block copolymers
JP5508195B2 (en) * 2010-08-27 2014-05-28 浜松ホトニクス株式会社 Optical element and manufacturing method thereof
JP2012051060A (en) 2010-08-31 2012-03-15 Institute Of Physical & Chemical Research Substrate provided with metal nanostructure on surface thereof and method for producing the same
JP5721164B2 (en) * 2010-09-14 2015-05-20 東京応化工業株式会社 Method for patterning a layer containing a block copolymer
TWI556958B (en) 2010-09-14 2016-11-11 東京應化工業股份有限公司 Base material and method of forming pattern including block copolymer
KR101781517B1 (en) 2010-09-30 2017-09-26 삼성디스플레이 주식회사 Block copolymer and method of forming patterns by using the same
JP5112500B2 (en) * 2010-11-18 2013-01-09 株式会社東芝 Pattern formation method
JP4815011B2 (en) * 2010-12-27 2011-11-16 パナソニック株式会社 Method for promoting self-assembly of block copolymer and method for forming self-assembly pattern of block copolymer using the same
US9060415B2 (en) 2011-02-15 2015-06-16 Riken Method for producing substrate having surface nanostructure
JP5254381B2 (en) * 2011-02-23 2013-08-07 株式会社東芝 Pattern formation method
JP5292423B2 (en) 2011-02-25 2013-09-18 株式会社東芝 PATTERN DATA GENERATION DEVICE, PATTERN DATA GENERATION METHOD, AND PATTERN FORMATION METHOD
KR101892623B1 (en) * 2011-04-29 2018-08-30 삼성디스플레이 주식회사 Random copolymer for forming neutral surface and methods of manufacturing and using thereof
FR2975831B1 (en) * 2011-05-27 2013-11-22 Arkema France COMPOSITION OF AN ORGANIC PHOTOVOLTAIC CELL OF A PHOTOVOLTAIC MODULE
JP6112665B2 (en) 2011-06-10 2017-04-12 東京応化工業株式会社 Method for patterning a layer containing a block copolymer
KR101963924B1 (en) * 2011-07-29 2019-03-29 위스콘신 얼럼나이 리서어치 화운데이션 Block copolymer materials for directed assembly of thin films
US8900963B2 (en) 2011-11-02 2014-12-02 Micron Technology, Inc. Methods of forming semiconductor device structures, and related structures
FR2983773B1 (en) * 2011-12-09 2014-10-24 Arkema France PROCESS FOR PREPARING SURFACES
JP2013137446A (en) * 2011-12-28 2013-07-11 Asahi Kasei E-Materials Corp Mold for manufacturing antireflection film and method of manufacturing the same
JP5979660B2 (en) 2012-02-09 2016-08-24 東京応化工業株式会社 Contact hole pattern formation method
US8697810B2 (en) * 2012-02-10 2014-04-15 Rohm And Haas Electronic Materials Llc Block copolymer and methods relating thereto
US8710150B2 (en) * 2012-02-10 2014-04-29 Rohm And Haas Electronic Materials Llc Blended block copolymer composition
US9040121B2 (en) * 2012-02-10 2015-05-26 Board Of Regents The University Of Texas System Using chemical vapor deposited films to control domain orientation in block copolymer thin films
JP5891075B2 (en) * 2012-03-08 2016-03-22 東京応化工業株式会社 Block copolymer-containing composition and pattern reduction method
JP5993654B2 (en) * 2012-03-09 2016-09-14 東京応化工業株式会社 Method for forming pattern of layer containing block copolymer and base material
CN104321375B (en) 2012-03-12 2019-05-10 纳米西泰有限公司 Macromolecule hyper-film and porous polymer ultrathin membrane
JP6306810B2 (en) 2012-03-14 2018-04-04 東京応化工業株式会社 Pattern forming method for layer containing base agent and block copolymer
JP6118573B2 (en) 2012-03-14 2017-04-19 東京応化工業株式会社 Pattern forming method for layer containing base agent and block copolymer
EP2832807A4 (en) * 2012-03-27 2015-10-21 Nissan Chemical Ind Ltd Underlayer film forming composition for self-assembled films
JP5458136B2 (en) * 2012-03-28 2014-04-02 株式会社東芝 Pattern forming method and imprint mold manufacturing method
WO2013146715A1 (en) * 2012-03-30 2013-10-03 Jsr株式会社 Pattern forming method
JP5726807B2 (en) 2012-04-24 2015-06-03 東京エレクトロン株式会社 Pattern forming method, pattern forming apparatus, and computer-readable storage medium
US9005877B2 (en) * 2012-05-15 2015-04-14 Tokyo Electron Limited Method of forming patterns using block copolymers and articles thereof
FR2990885B1 (en) * 2012-05-23 2014-09-19 Arkema France PROCESS FOR PREPARING SURFACES
JP5815477B2 (en) * 2012-06-13 2015-11-17 信越化学工業株式会社 Method for forming silicon-containing resist underlayer film
JP6020991B2 (en) 2012-06-28 2016-11-02 国立研究開発法人理化学研究所 Fine pattern forming method, developer
JP6112314B2 (en) * 2012-07-10 2017-04-12 株式会社ニコン Mark forming method and device manufacturing method
JP2014033051A (en) 2012-08-02 2014-02-20 Toshiba Corp Method for forming pattern and method for manufacturing semiconductor device
JP6126807B2 (en) * 2012-08-27 2017-05-10 東京応化工業株式会社 Pattern formation method
JP5758363B2 (en) * 2012-09-07 2015-08-05 株式会社東芝 Pattern formation method
JP5752655B2 (en) 2012-09-10 2015-07-22 株式会社東芝 Pattern formation method
JP5813604B2 (en) 2012-09-21 2015-11-17 株式会社東芝 Pattern formation method
JP2014063908A (en) * 2012-09-21 2014-04-10 Tokyo Electron Ltd Substrate processing system
JP5919210B2 (en) 2012-09-28 2016-05-18 東京エレクトロン株式会社 Substrate processing method, program, computer storage medium, and substrate processing system
JP6141144B2 (en) * 2012-10-02 2017-06-07 東京エレクトロン株式会社 Substrate processing method, program, computer storage medium, and substrate processing system
US9087699B2 (en) 2012-10-05 2015-07-21 Micron Technology, Inc. Methods of forming an array of openings in a substrate, and related methods of forming a semiconductor device structure
JP6088800B2 (en) * 2012-11-07 2017-03-01 株式会社東芝 Pattern formation method
JP2014135435A (en) 2013-01-11 2014-07-24 Toshiba Corp Semiconductor device manufacturing method
JP5847738B2 (en) * 2013-01-30 2016-01-27 東京エレクトロン株式会社 Substrate processing method, program, and computer storage medium
US8822619B1 (en) * 2013-02-08 2014-09-02 Rohm And Haas Electronic Materials Llc Directed self assembly copolymer composition and related methods
US8822615B1 (en) * 2013-02-08 2014-09-02 Rohm And Haas Electronic Materials Llc Block copolymer composition and methods relating thereto
US8822616B1 (en) * 2013-02-08 2014-09-02 Rohm And Haas Electronic Materials Llc Block copolymer formulation and methods relating thereto
JP6002056B2 (en) 2013-02-18 2016-10-05 株式会社東芝 Guide pattern data correction method, program, and pattern formation method
KR102165966B1 (en) 2013-02-20 2020-10-15 도오꾜오까고오교 가부시끼가이샤 Undercoat agent and pattern forming method
JP6263378B2 (en) * 2013-02-20 2018-01-17 東京応化工業株式会社 Base agent and pattern forming method
KR102189380B1 (en) 2013-02-20 2020-12-11 도오꾜오까고오교 가부시끼가이샤 Undercoat agent and method of forming pattern
JP6467121B2 (en) * 2013-02-20 2019-02-06 東京応化工業株式会社 Method for producing structure including phase separation structure
JP6088843B2 (en) * 2013-02-20 2017-03-01 東京応化工業株式会社 Pattern formation method
JP5837525B2 (en) * 2013-02-28 2015-12-24 東京エレクトロン株式会社 Substrate processing method, program, and computer storage medium
JP6007141B2 (en) * 2013-03-22 2016-10-12 東京エレクトロン株式会社 Substrate processing apparatus, substrate processing method, program, and computer storage medium
TWI672788B (en) * 2013-03-27 2019-09-21 日商尼康股份有限公司 Mark forming method, mark detecting method, and component manufacturing method
US9229328B2 (en) 2013-05-02 2016-01-05 Micron Technology, Inc. Methods of forming semiconductor device structures, and related semiconductor device structures
WO2014188806A1 (en) * 2013-05-21 2014-11-27 Jsr株式会社 Self-organizing lithography process and underlayer film-formation composition
JP2015015425A (en) * 2013-07-08 2015-01-22 株式会社東芝 Pattern formation method
JP6255182B2 (en) 2013-07-24 2017-12-27 東京応化工業株式会社 Manufacturing method of structure including base material and phase separation structure
JP6446195B2 (en) * 2013-07-31 2018-12-26 東京応化工業株式会社 Phase separation structure manufacturing method, pattern forming method, and fine pattern forming method
JP6393546B2 (en) 2013-07-31 2018-09-19 東京応化工業株式会社 Method for manufacturing structure including phase separation structure, pattern forming method, and fine pattern forming method
JP6232226B2 (en) 2013-08-09 2017-11-15 東京応化工業株式会社 Method for producing structure including phase separation structure
KR102394994B1 (en) 2013-09-04 2022-05-04 도쿄엘렉트론가부시키가이샤 Uv-assisted stripping of hardened photoresist to create chemical templates for directed self-assembly
US9177795B2 (en) 2013-09-27 2015-11-03 Micron Technology, Inc. Methods of forming nanostructures including metal oxides
KR102190675B1 (en) 2013-10-10 2020-12-15 삼성전자주식회사 Method for forming patterns of semiconductor device
US9349604B2 (en) 2013-10-20 2016-05-24 Tokyo Electron Limited Use of topography to direct assembly of block copolymers in grapho-epitaxial applications
US9793137B2 (en) 2013-10-20 2017-10-17 Tokyo Electron Limited Use of grapho-epitaxial directed self-assembly applications to precisely cut logic lines
JP6249714B2 (en) 2013-10-25 2017-12-20 東京応化工業株式会社 Method for producing structure including phase separation structure
JP6045482B2 (en) * 2013-11-29 2016-12-14 東京エレクトロン株式会社 Surface treatment apparatus, surface treatment method, program, and computer storage medium
JP6398695B2 (en) 2013-12-26 2018-10-03 Jsr株式会社 Composition for forming underlayer and self-organized lithography process
KR102238922B1 (en) 2013-12-26 2021-04-12 제이에스알 가부시끼가이샤 Composition for forming underlayer and directed self assembly lithography process
TWI648320B (en) 2014-01-23 2019-01-21 東京應化工業股份有限公司 Method of producing structure containing phase-separated structure, method of forming pattern and method of forming fine pattern
FR3017395B1 (en) * 2014-02-11 2017-11-03 Arkema France METHOD FOR CONTROLLING THE SURFACE ENERGY OF A SUBSTRATE
JP5881763B2 (en) * 2014-03-19 2016-03-09 株式会社東芝 Manufacturing method of semiconductor device or recording medium
JP6298691B2 (en) 2014-04-09 2018-03-20 東京応化工業株式会社 Method for manufacturing structure including phase separation structure and method for forming topcoat film
US9690192B2 (en) 2014-04-21 2017-06-27 Jsr Corporation Composition for base, and directed self-assembly lithography method
JP6357054B2 (en) 2014-08-26 2018-07-11 東京応化工業株式会社 Method for producing structure including phase separation structure
FR3025937B1 (en) * 2014-09-16 2017-11-24 Commissariat Energie Atomique GRAPHO-EPITAXY METHOD FOR REALIZING PATTERNS ON THE SURFACE OF A SUBSTRATE
JP2016065215A (en) 2014-09-18 2016-04-28 東京応化工業株式会社 Resin composition for forming phase-separation structure
KR102395336B1 (en) 2014-12-05 2022-05-06 도오꾜오까고오교 가부시끼가이샤 Brush composition, and method of producing structure containing phase-separated structure
JP2016108444A (en) * 2014-12-05 2016-06-20 東京応化工業株式会社 Substrate agent and manufacturing method of structure containing phase separation structure
JP6475963B2 (en) 2014-12-05 2019-02-27 東京応化工業株式会社 Manufacturing method of structure containing base agent composition and phase separation structure
JP6491865B2 (en) * 2014-12-05 2019-03-27 東京応化工業株式会社 Manufacturing method of structure including base agent and phase separation structure
US10011713B2 (en) * 2014-12-30 2018-07-03 Dow Global Technologies Llc Copolymer formulation for directed self assembly, methods of manufacture thereof and articles comprising the same
JPWO2016133115A1 (en) * 2015-02-20 2017-11-30 Jsr株式会社 Method for forming self-assembled film, method for forming pattern, and composition for forming self-assembled film
JP6671680B2 (en) 2015-03-02 2020-03-25 東京応化工業株式会社 Block copolymer, method for producing block copolymer, and method for producing structure including phase-separated structure
US9850350B2 (en) 2015-03-02 2017-12-26 Tokyo Ohka Kogyo Co., Ltd. Block copolymer, method of producing block copolymer, and method of producing structure containing phase-separated structure
KR102389618B1 (en) * 2015-03-10 2022-04-25 삼성디스플레이 주식회사 Polarizer, method of manufacturing the polarizer and display panel having the polarizer
US9733566B2 (en) 2015-03-17 2017-08-15 Tokyo Electron Limited Spin-on layer for directed self assembly with tunable neutrality
JP6346115B2 (en) * 2015-03-24 2018-06-20 東芝メモリ株式会社 Pattern formation method
JP6549869B2 (en) 2015-03-26 2019-07-24 東京応化工業株式会社 Laminated film
JP2016186043A (en) 2015-03-27 2016-10-27 東京応化工業株式会社 Production method of structure including phase separation structure, and resin composition for forming phase separation structure
JP2015179272A (en) * 2015-04-01 2015-10-08 東京エレクトロン株式会社 Pattern forming method, pattern forming device, and computer readable memory medium
JP6581802B2 (en) 2015-05-11 2019-09-25 東京応化工業株式会社 Method for producing structure including phase separation structure, block copolymer composition
KR102306669B1 (en) 2015-05-12 2021-09-29 삼성전자주식회사 Method of forming pattern and method of manufacturing integrated circuit device using the same
WO2017138440A1 (en) * 2016-02-08 2017-08-17 Jsr株式会社 Method for forming contact hole pattern and composition
US10179866B2 (en) 2016-02-18 2019-01-15 Tokyo Ohka Kogyo Co., Ltd. Resin composition for forming a phase-separated structure, and method of producing structure containing phase-separated structure
US9828519B2 (en) 2016-02-18 2017-11-28 Tokyo Ohka Kogyo Co., Ltd. Resin composition for forming a phase-separated structure, and method of producing structure containing phase-separated structure
US9947597B2 (en) 2016-03-31 2018-04-17 Tokyo Electron Limited Defectivity metrology during DSA patterning
FR3051964B1 (en) * 2016-05-27 2018-11-09 Commissariat A L'energie Atomique Et Aux Energies Alternatives METHOD FOR FORMING A FUNCTIONALIZED GUIDING PATTERN FOR A GRAPHO-EPITAXY PROCESS
US9914847B2 (en) 2016-06-17 2018-03-13 Tokyo Ohka Kogyo Co., Ltd. Resin composition for forming a phase-separated structure, and method of producing structure containing phase-separated structure
JP6955176B2 (en) 2016-07-06 2021-10-27 Jsr株式会社 Film-forming compositions, film-forming methods and self-assembling lithography processes
WO2018043305A1 (en) * 2016-09-01 2018-03-08 Jsr株式会社 Base material surface selective modification method and composition
WO2018062157A1 (en) 2016-09-28 2018-04-05 東京応化工業株式会社 Metohd for producing structure that includes phase separation structure
CN110023384B (en) * 2016-11-30 2022-06-07 株式会社Lg化学 Laminate
US11174360B2 (en) 2016-11-30 2021-11-16 Lg Chem, Ltd. Laminate for patterned substrates
JP7116941B2 (en) 2017-02-27 2022-08-12 東京応化工業株式会社 Method for producing structure containing block copolymer and phase-separated structure
JP2018154760A (en) * 2017-03-17 2018-10-04 東芝メモリ株式会社 Pattern formation material and pattern formation method
JP7076237B2 (en) 2017-03-23 2022-05-27 東京応化工業株式会社 Method for manufacturing a structure including a base material and a phase-separated structure
JP7076701B2 (en) 2018-03-05 2022-05-30 東京応化工業株式会社 A block copolymer and a method for producing the same, and a method for producing a structure including a phase-separated structure.
JP7018791B2 (en) 2018-03-15 2022-02-14 東京応化工業株式会社 Method for manufacturing a structure including a phase-separated structure
JP7135554B2 (en) 2018-08-03 2022-09-13 Jsr株式会社 Underlayer film-forming composition, underlayer film of self-assembled film, method for forming the same, and self-assembled lithography process
KR20200040668A (en) 2018-10-10 2020-04-20 제이에스알 가부시끼가이샤 Method for producing pattern and patterned substrate
US11462405B2 (en) 2018-10-10 2022-10-04 Jsr Corporation Pattern-forming method and patterned substrate
JP7241520B2 (en) 2018-12-06 2023-03-17 東京応化工業株式会社 Phase-separated structure-forming resin composition and method for producing structure containing phase-separated structure
JP7308474B2 (en) 2019-02-14 2023-07-14 東京応化工業株式会社 Manufacturing method of block copolymer that creates special structural pattern
JP2020189930A (en) 2019-05-22 2020-11-26 東京応化工業株式会社 Resin composition for forming phase separation structure, manufacturing method of structure containing phase separation structure, and block copolymer
US20210017343A1 (en) 2019-07-16 2021-01-21 Tokyo Ohka Kogyo Co., Ltd. Method of producing purified product of resin composition for forming a phase-separated structure, purified product of resin composition for forming a phase-separated structure, and method of producing structure containing phase-separated structure
JP2021116343A (en) 2020-01-24 2021-08-10 東京応化工業株式会社 Resin composition for phase separation structure formation, method for producing structure including phase separation structure, and block copolymer
KR102432185B1 (en) * 2020-06-05 2022-08-12 한양대학교 산학협력단 Fine patterning method
JP7213495B2 (en) 2020-07-20 2023-01-27 東京応化工業株式会社 Resin composition for forming phase-separated structure, method for producing structure containing phase-separated structure, and block copolymer
WO2022039082A1 (en) * 2020-08-17 2022-02-24 Jsr株式会社 Composition for underlayer film formation, underlayer film, and lithography process

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002334414A (en) * 2001-05-09 2002-11-22 Toshiba Corp Recording medium and method of manufacturing for the same
JP2003155365A (en) * 2001-11-22 2003-05-27 Toshiba Corp Processing method and formed body
JP2004143297A (en) * 2002-10-24 2004-05-20 Kansai Tlo Kk Block copolymer membrane containing conductive micro domain
JP2005008701A (en) * 2003-06-17 2005-01-13 Ube Ind Ltd Polymer film and method for producing the same

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002334414A (en) * 2001-05-09 2002-11-22 Toshiba Corp Recording medium and method of manufacturing for the same
JP2003155365A (en) * 2001-11-22 2003-05-27 Toshiba Corp Processing method and formed body
JP2004143297A (en) * 2002-10-24 2004-05-20 Kansai Tlo Kk Block copolymer membrane containing conductive micro domain
JP2005008701A (en) * 2003-06-17 2005-01-13 Ube Ind Ltd Polymer film and method for producing the same

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9564324B2 (en) 2013-06-12 2017-02-07 Samsung Electronics Co., Ltd. Methods of forming a pattern and devices formed by the same
US9177825B2 (en) 2014-03-14 2015-11-03 Kabushiki Kaisha Toshiba Pattern forming method
US9841674B2 (en) 2014-09-11 2017-12-12 Toshiba Memory Corporation Patterning method, and template for nanoimprint and producing method thereof
US9252027B1 (en) 2014-09-12 2016-02-02 Kabushiki Kaisha Toshiba Method of forming pattern, manufacturing method of semiconductor device and template
US9653294B2 (en) 2014-12-03 2017-05-16 Samsung Electronics Co., Ltd. Methods of forming fine patterns and methods of manufacturing integrated circuit devices using the methods
US9892918B2 (en) 2015-11-03 2018-02-13 Samsung Electronics Co., Ltd. Method of forming pattern of semiconductor device

Also Published As

Publication number Publication date
JP2008036491A (en) 2008-02-21

Similar Documents

Publication Publication Date Title
JP4673266B2 (en) Pattern forming method and mold
JP4654280B2 (en) Manufacturing method of fine structure
US8114300B2 (en) Multi-layer method for formation of registered arrays of cylindrical pores in polymer films
US8828871B2 (en) Method for forming pattern and mask pattern, and method for manufacturing semiconductor device
JP3967114B2 (en) Processing method
KR100930966B1 (en) Nanostructures of block copolymers formed on surface patterns of shapes inconsistent with the nanostructures of block copolymers and methods for manufacturing the same
KR101097557B1 (en) Two-dimensional arrays of holes with sub-lithographic diameters formed by block copolymer self-assembly
Grigorescu et al. Resists for sub-20-nm electron beam lithography with a focus on HSQ: state of the art
KR101284422B1 (en) Graphoepitaxial self-assembly of arrays of half-cylinders formed on a substrate
US9257256B2 (en) Templates including self-assembled block copolymer films
Gu et al. High aspect ratio sub‐15 nm silicon trenches from block copolymer templates
KR101355167B1 (en) Method of forming fine pattern using block copolymer having at least three polymer block
TWI459437B (en) Method of forming fine patterns using a block copolymer
JP4421582B2 (en) Pattern formation method
JP5178401B2 (en) Production method of polymer thin film having fine structure and patterned substrate
WO2011036778A1 (en) Pattern formation process
KR20090101445A (en) Sub-lithographic interconnect patterning using self-assembling polymers
JP3798641B2 (en) Nano pattern forming method and electronic component manufacturing method
CN101952195A (en) The cylindrical one-dimensional array of block copolymer and its application
KR20090083091A (en) Method of forming fine pattern using block copolymer
KR101148208B1 (en) Nano Structure of Block Copolymer Having Patternized Structure and Method for Preparing the Same
US9513553B2 (en) Methods of providing patterned epitaxy templates for self-assemblable block copolymers for use in device lithography
KR101093204B1 (en) Orientation Controlled Blockcopolymer Nanostructures Using Organic Compound Photoresist Cross Patterns and Method for Preparing the Same
KR20150013441A (en) Methods of providing patterned chemical epitaxy templates for self-assemblable block copolymers for use in device lithography
Handte et al. Manufacturing of nanostructures with high aspect ratios using soft UV-nanoimprint lithography with bi-and trilayer resist systems

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20080725

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20101115

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20110118

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20110120

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20140128

Year of fee payment: 3

S531 Written request for registration of change of domicile

Free format text: JAPANESE INTERMEDIATE CODE: R313531

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

LAPS Cancellation because of no payment of annual fees