JP4668915B2 - プロセスチャンバのコンポーネントの洗浄 - Google Patents

プロセスチャンバのコンポーネントの洗浄 Download PDF

Info

Publication number
JP4668915B2
JP4668915B2 JP2006532410A JP2006532410A JP4668915B2 JP 4668915 B2 JP4668915 B2 JP 4668915B2 JP 2006532410 A JP2006532410 A JP 2006532410A JP 2006532410 A JP2006532410 A JP 2006532410A JP 4668915 B2 JP4668915 B2 JP 4668915B2
Authority
JP
Japan
Prior art keywords
gas
component
ceramic
pin
shaft
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP2006532410A
Other languages
English (en)
Japanese (ja)
Other versions
JP2006528551A (ja
Inventor
ブハトナガー,アシシュ
クンゼ,チャールズ,エス.
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2006528551A publication Critical patent/JP2006528551A/ja
Application granted granted Critical
Publication of JP4668915B2 publication Critical patent/JP4668915B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/304Mechanical treatment, e.g. grinding, polishing, cutting
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4407Cleaning of reactor or reactor parts by using wet or mechanical methods
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B3/00Cleaning by methods involving the use or presence of liquid or steam
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B7/00Cleaning by methods not provided for in a single other subclass or a single group in this subclass
    • B08B7/0035Cleaning by methods not provided for in a single other subclass or a single group in this subclass by radiant energy, e.g. UV, laser, light beam or the like
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B7/00Cleaning by methods not provided for in a single other subclass or a single group in this subclass
    • B08B7/04Cleaning by methods not provided for in a single other subclass or a single group in this subclass by a combination of operations
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B9/00Cleaning hollow articles by methods or apparatus specially adapted thereto 
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S438/00Semiconductor device manufacturing: process
    • Y10S438/905Cleaning of reaction chamber

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Mechanical Engineering (AREA)
  • Physics & Mathematics (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Optics & Photonics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Drying Of Semiconductors (AREA)
  • Cleaning In General (AREA)
  • Cleaning By Liquid Or Steam (AREA)
JP2006532410A 2003-05-22 2004-04-14 プロセスチャンバのコンポーネントの洗浄 Expired - Fee Related JP4668915B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/444,284 US7045020B2 (en) 2003-05-22 2003-05-22 Cleaning a component of a process chamber
PCT/US2004/011541 WO2004105972A1 (en) 2003-05-22 2004-04-14 Cleaning a component of a process chamber

Publications (2)

Publication Number Publication Date
JP2006528551A JP2006528551A (ja) 2006-12-21
JP4668915B2 true JP4668915B2 (ja) 2011-04-13

Family

ID=33450616

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2006532410A Expired - Fee Related JP4668915B2 (ja) 2003-05-22 2004-04-14 プロセスチャンバのコンポーネントの洗浄

Country Status (8)

Country Link
US (1) US7045020B2 (zh)
EP (1) EP1635962B1 (zh)
JP (1) JP4668915B2 (zh)
KR (1) KR101045442B1 (zh)
CN (1) CN1795058B (zh)
DE (1) DE602004021254D1 (zh)
TW (1) TWI298176B (zh)
WO (1) WO2004105972A1 (zh)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2021039838A1 (ja) 2019-08-28 2021-03-04 株式会社新菱 ガス孔をもつ半導体製造装置部品の洗浄方法
KR102495074B1 (ko) 2022-08-05 2023-02-06 디오셈 주식회사 기판 처리 장치에서 인-라인 청소가 가능한 홀 클리너 및 이를 적용한 홀 청소 방법
US11981880B2 (en) 2020-11-02 2024-05-14 Tokyo Ohka Kogyo Co., Ltd. Cleaning composition and cleaning method for component of semiconductor manufacturing process chamber

Families Citing this family (114)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7250114B2 (en) * 2003-05-30 2007-07-31 Lam Research Corporation Methods of finishing quartz glass surfaces and components made by the methods
US7052553B1 (en) * 2004-12-01 2006-05-30 Lam Research Corporation Wet cleaning of electrostatic chucks
US7648582B2 (en) 2005-12-23 2010-01-19 Lam Research Corporation Cleaning of electrostatic chucks using ultrasonic agitation and applied electric fields
US7638004B1 (en) * 2006-05-31 2009-12-29 Lam Research Corporation Method for cleaning microwave applicator tube
US7993465B2 (en) * 2006-09-07 2011-08-09 Applied Materials, Inc. Electrostatic chuck cleaning during semiconductor substrate processing
US20080092806A1 (en) * 2006-10-19 2008-04-24 Applied Materials, Inc. Removing residues from substrate processing components
US20080145556A1 (en) * 2006-12-15 2008-06-19 Tokyo Electron Limited Method for manufacturing substrate mounting table
US7767028B2 (en) * 2007-03-14 2010-08-03 Lam Research Corporation Cleaning hardware kit for composite showerhead electrode assemblies for plasma processing apparatuses
US7578889B2 (en) * 2007-03-30 2009-08-25 Lam Research Corporation Methodology for cleaning of surface metal contamination from electrode assemblies
CN101332462B (zh) * 2007-06-29 2011-06-01 中芯国际集成电路制造(上海)有限公司 一种静电吸盘的清洗方法
KR100987977B1 (ko) * 2008-08-21 2010-10-18 (주)트리플코어스코리아 반도체 장비 부품 세정 방법 및 이를 이용한 반도체 장비 부품 세정 장치
US8404135B2 (en) * 2008-08-26 2013-03-26 Taiwan Semiconductor Manufacturing Co., Ltd. Plasma cleaning for process chamber component refurbishment
WO2011031521A2 (en) * 2009-08-27 2011-03-17 Applied Materials, Inc. Method of decontamination of process chamber after in-situ chamber clean
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
CN102373445B (zh) * 2010-08-25 2014-01-08 无锡华润上华半导体有限公司 化学气相淀积反应腔中漏率的监控方法
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
CN102181844B (zh) 2011-04-07 2015-04-22 中微半导体设备(上海)有限公司 清洁装置及清洁方法、薄膜生长反应装置及生长方法
US20120255635A1 (en) * 2011-04-11 2012-10-11 Applied Materials, Inc. Method and apparatus for refurbishing gas distribution plate surfaces
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US10391526B2 (en) 2013-12-12 2019-08-27 Lam Research Corporation Electrostatic chuck cleaning fixture
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9903020B2 (en) * 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US10950500B2 (en) 2017-05-05 2021-03-16 Applied Materials, Inc. Methods and apparatus for filling a feature disposed in a substrate
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
JP7166950B2 (ja) 2019-02-07 2022-11-08 キオクシア株式会社 半導体製造装置および半導体装置の製造方法
US20210265137A1 (en) * 2020-02-26 2021-08-26 Intel Corporation Reconditioning of reactive process chamber components for reduced surface oxidation
JP7482657B2 (ja) * 2020-03-17 2024-05-14 東京エレクトロン株式会社 クリーニング方法及び半導体装置の製造方法
TWI757013B (zh) * 2020-12-28 2022-03-01 友達光電股份有限公司 控制製程腔室清潔氣體用量的方法及製程處理系統
CN112934832A (zh) * 2021-04-19 2021-06-11 北京北方华创微电子装备有限公司 陶瓷件清洗方法

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS6421787U (zh) * 1987-07-30 1989-02-03
JP2000198042A (ja) * 1999-01-04 2000-07-18 Sankyo Seiki Mfg Co Ltd 穴の加工装置及び加工方法
JP2001058167A (ja) * 1999-06-14 2001-03-06 Nippon Borubokkusu Kk 配管内の清掃方法及び治具
JP2001098298A (ja) * 1999-09-27 2001-04-10 Hitachi Plant Eng & Constr Co Ltd アルミノシリケートガラス基板又はセラミックガラス基板の洗浄液及び洗浄方法
JP2002153832A (ja) * 2000-11-21 2002-05-28 Sanyo Electric Co Ltd プラズマ洗浄装置
JP2002280365A (ja) * 2001-03-19 2002-09-27 Applied Materials Inc 静電チャックのクリーニング方法
JP2003136027A (ja) * 2001-11-01 2003-05-13 Ngk Insulators Ltd 半導体製造装置中で使用するためのセラミック部材を洗浄する方法、洗浄剤および洗浄剤の組み合わせ

Family Cites Families (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE3609920A1 (de) * 1986-03-24 1987-10-01 Erich Brosa Verfahren zur herstellung von stabilen implantationen fuer zahnersatz im knochen des kiefers
US4853081A (en) * 1987-10-30 1989-08-01 Ibm Corporation Process for removing contaminant
IE904358A1 (en) * 1990-12-04 1992-06-17 Grohe Kg Hans Shower unit with internal unclogging device
US5539609A (en) * 1992-12-02 1996-07-23 Applied Materials, Inc. Electrostatic chuck usable in high density plasma
US5403459A (en) * 1993-05-17 1995-04-04 Applied Materials, Inc. Cleaning of a PVD chamber containing a collimator
JP3158264B2 (ja) * 1993-08-11 2001-04-23 東京エレクトロン株式会社 ガス処理装置
US5507874A (en) * 1994-06-03 1996-04-16 Applied Materials, Inc. Method of cleaning of an electrostatic chuck in plasma reactors
US5660640A (en) * 1995-06-16 1997-08-26 Joray Corporation Method of removing sputter deposition from components of vacuum deposition equipment
US5671119A (en) * 1996-03-22 1997-09-23 Taiwan Semiconductor Manufacturing Company, Ltd. Process for cleaning an electrostatic chuck of a plasma etching apparatus
US5746928A (en) * 1996-06-03 1998-05-05 Taiwan Semiconductor Manufacturing Company Ltd Process for cleaning an electrostatic chuck of a plasma etching apparatus
TW452606B (en) * 1997-12-05 2001-09-01 Samsung Electronics Co Ltd Method for cleaning inside of chamber using RF plasma
US6170496B1 (en) * 1998-08-26 2001-01-09 Taiwan Semiconductor Manufacturing Co., Ltd. Apparatus and method for servicing a wafer platform
US6926016B1 (en) * 2001-02-15 2005-08-09 Quantum Global Technologies, Llc System for removing contaminants from semiconductor process equipment
KR100444149B1 (ko) * 2000-07-22 2004-08-09 주식회사 아이피에스 Ald 박막증착설비용 클리닝방법
JP4409134B2 (ja) * 2001-10-09 2010-02-03 パナソニック株式会社 実装システム
US6821350B2 (en) * 2002-01-23 2004-11-23 Applied Materials, Inc. Cleaning process residues on a process chamber component
JP3876167B2 (ja) * 2002-02-13 2007-01-31 川崎マイクロエレクトロニクス株式会社 洗浄方法および半導体装置の製造方法
US20030190870A1 (en) * 2002-04-03 2003-10-09 Applied Materials, Inc. Cleaning ceramic surfaces

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS6421787U (zh) * 1987-07-30 1989-02-03
JP2000198042A (ja) * 1999-01-04 2000-07-18 Sankyo Seiki Mfg Co Ltd 穴の加工装置及び加工方法
JP2001058167A (ja) * 1999-06-14 2001-03-06 Nippon Borubokkusu Kk 配管内の清掃方法及び治具
JP2001098298A (ja) * 1999-09-27 2001-04-10 Hitachi Plant Eng & Constr Co Ltd アルミノシリケートガラス基板又はセラミックガラス基板の洗浄液及び洗浄方法
JP2002153832A (ja) * 2000-11-21 2002-05-28 Sanyo Electric Co Ltd プラズマ洗浄装置
JP2002280365A (ja) * 2001-03-19 2002-09-27 Applied Materials Inc 静電チャックのクリーニング方法
JP2003136027A (ja) * 2001-11-01 2003-05-13 Ngk Insulators Ltd 半導体製造装置中で使用するためのセラミック部材を洗浄する方法、洗浄剤および洗浄剤の組み合わせ

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2021039838A1 (ja) 2019-08-28 2021-03-04 株式会社新菱 ガス孔をもつ半導体製造装置部品の洗浄方法
US11753714B2 (en) 2019-08-28 2023-09-12 Shinryo Corporation Washing method of semiconductor manufacturing device component having gas holes
US11981880B2 (en) 2020-11-02 2024-05-14 Tokyo Ohka Kogyo Co., Ltd. Cleaning composition and cleaning method for component of semiconductor manufacturing process chamber
KR102495074B1 (ko) 2022-08-05 2023-02-06 디오셈 주식회사 기판 처리 장치에서 인-라인 청소가 가능한 홀 클리너 및 이를 적용한 홀 청소 방법

Also Published As

Publication number Publication date
KR20060017612A (ko) 2006-02-24
CN1795058B (zh) 2011-09-14
TWI298176B (en) 2008-06-21
EP1635962A1 (en) 2006-03-22
TW200428479A (en) 2004-12-16
US20040231706A1 (en) 2004-11-25
JP2006528551A (ja) 2006-12-21
KR101045442B1 (ko) 2011-06-30
US7045020B2 (en) 2006-05-16
WO2004105972A1 (en) 2004-12-09
DE602004021254D1 (de) 2009-07-09
CN1795058A (zh) 2006-06-28
EP1635962B1 (en) 2009-05-27

Similar Documents

Publication Publication Date Title
JP4668915B2 (ja) プロセスチャンバのコンポーネントの洗浄
CN108878246B (zh) 用于腔室部件的多层等离子体侵蚀保护
US5507874A (en) Method of cleaning of an electrostatic chuck in plasma reactors
US7662723B2 (en) Methods and apparatus for in-situ substrate processing
US6770565B2 (en) System for planarizing metal conductive layers
US10002745B2 (en) Plasma treatment process for in-situ chamber cleaning efficiency enhancement in plasma processing chamber
US6014979A (en) Localizing cleaning plasma for semiconductor processing
US9659791B2 (en) Metal removal with reduced surface roughness
CN110634726B (zh) 利用低温晶片温度的离子束蚀刻
KR100661194B1 (ko) 플라즈마 처리에 의한 기판으로부터의 산화물 또는 다른 환원가능한 오염물의 제거 방법
JP5100936B2 (ja) 基板処理チャンバ、堆積装置およびガス分配器
US6899109B1 (en) Method and apparatus for reducing He backside faults during wafer processing
CN111279453A (zh) 用于在外延沉积之前进行表面制备的方法和设备
CN101448580B (zh) 具有室去氟化和晶片去氟化中间步骤的等离子体蚀刻和光刻胶剥离工艺
KR20070114828A (ko) 유전체 필름을 세정하기 위한 장치 및 방법
JP2008526024A (ja) プラズマ処理装置用のシリコン電極及び炭化珪素電極の表面から黒色シリコン及び黒色炭化珪素を除去する方法
TW200822221A (en) Method of manufacturing semiconductor device
KR20190008227A (ko) 에칭 방법
WO2010008102A1 (en) Cleaning method of apparatus for depositing carbon containing film
US5868853A (en) Integrated film etching/chamber cleaning process
US20210062330A1 (en) Selective cobalt deposition on copper surfaces
JP2001217225A (ja) プラズマエッチング方法

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20060110

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20070405

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20090804

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20091104

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20091111

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20091130

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20100622

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20100916

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20101102

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20101105

RD03 Notification of appointment of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7423

Effective date: 20101118

TRDD Decision of grant or rejection written
RD04 Notification of resignation of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7424

Effective date: 20101209

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20101216

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20110113

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20140121

Year of fee payment: 3

R150 Certificate of patent or registration of utility model

Ref document number: 4668915

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

LAPS Cancellation because of no payment of annual fees