JP4515309B2 - Etching method - Google Patents

Etching method Download PDF

Info

Publication number
JP4515309B2
JP4515309B2 JP2005102420A JP2005102420A JP4515309B2 JP 4515309 B2 JP4515309 B2 JP 4515309B2 JP 2005102420 A JP2005102420 A JP 2005102420A JP 2005102420 A JP2005102420 A JP 2005102420A JP 4515309 B2 JP4515309 B2 JP 4515309B2
Authority
JP
Japan
Prior art keywords
film
etching
gas
insulating film
plasma
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP2005102420A
Other languages
Japanese (ja)
Other versions
JP2006286775A (en
Inventor
栄一 西村
武彦 折居
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Priority to JP2005102420A priority Critical patent/JP4515309B2/en
Priority to US11/393,915 priority patent/US7402523B2/en
Publication of JP2006286775A publication Critical patent/JP2006286775A/en
Application granted granted Critical
Publication of JP4515309B2 publication Critical patent/JP4515309B2/en
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Landscapes

  • Drying Of Semiconductors (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Description

本発明は、絶縁膜にコンタクトホール等の開口部を形成するエッチング方法に関し、更に詳しくは、絶縁膜の下地層を傷つけることなく絶縁膜のみをエッチングすることができるエッチング方法に関する。   The present invention relates to an etching method for forming an opening such as a contact hole in an insulating film, and more particularly to an etching method capable of etching only an insulating film without damaging a base layer of the insulating film.

絶縁膜にコンタクトホール等の開口部を形成する場合には、プラズマ処理装置を用いて異方性の高い反応性イオンエッチング等のドライエッチングを行って開口部を形成する。例えば図6に示すように下地層1上に、絶縁膜2、SiO等からなるハードマスク3、反射防止膜4及びレジスト膜5が順次積層されたウエハ等の被処理体をエッチングする場合について説明する。まず、図6の(a)に示すようにフォトリソグラフィー技術によってレジスト膜5に所定のパターンで開口部5Aを形成した後、同図の(b)に示すようにレジスト膜5をマスクとして下地層1が露出するまで反射防止膜4、ハードマスク3及び絶縁膜2にドライエッチングを施して開口部6を形成する。ドライエッチング時には開口部6の側壁のみならず下地層1も反応性イオン等の照射を受けるため、同図の(b)に示すように下地層1が損傷する。下地層1が損傷するとその後の配線工程等において種々の障害となる。 In the case where an opening such as a contact hole is formed in the insulating film, the opening is formed by dry etching such as reactive ion etching with high anisotropy using a plasma processing apparatus. For example, as shown in FIG. 6, a case where an object to be processed such as a wafer in which an insulating film 2, a hard mask 3 made of SiO 2 , an antireflection film 4, and a resist film 5 are sequentially laminated on the base layer 1 is etched. explain. First, as shown in FIG. 6 (a), openings 5A are formed in a predetermined pattern in the resist film 5 by a photolithography technique, and then the underlying layer is formed using the resist film 5 as a mask as shown in FIG. 6 (b). The opening 6 is formed by dry etching the antireflection film 4, the hard mask 3, and the insulating film 2 until 1 is exposed. During dry etching, not only the side wall of the opening 6 but also the underlying layer 1 is irradiated with reactive ions or the like, so that the underlying layer 1 is damaged as shown in FIG. If the underlying layer 1 is damaged, various obstacles occur in the subsequent wiring process.

そこで、従来からウエットエッチングプロセスを用いて図6の(c)に示すように欠陥層6Aを除去している。しかしながら、欠陥層6Aの膜厚を把握し、管理することが難しいため、ウエットエッチングでは欠陥層6Aのみを正確に除去することができず、下地層1の表面をもオーバーエッチングしてしまい、ドライエッチングによる加工精度を犠牲にする場合があった。そこで、開口部6の加工精度を極力維持しつつ欠陥層6Aを除去するための技術が特許文献1〜3において提案されている。   Therefore, the defect layer 6A is conventionally removed as shown in FIG. 6C using a wet etching process. However, since it is difficult to grasp and manage the film thickness of the defect layer 6A, only the defect layer 6A cannot be accurately removed by wet etching, and the surface of the underlayer 1 is overetched, resulting in dry In some cases, the processing accuracy by etching is sacrificed. Accordingly, Patent Documents 1 to 3 propose techniques for removing the defective layer 6A while maintaining the processing accuracy of the opening 6 as much as possible.

例えば特許文献1では、シリコン基板上に絶縁膜を形成し、所定のレジストパターンを形成し、このレジストパターンをマスクにして絶縁膜をドライエッチングし、開孔を形成してシリコン基板を露出する工程と、このドライエッチング工程の際にシリコン基板表面に生じた欠陥層を酸化して選択酸化層を形成する工程と、選択酸化層をウエットエッチングによって除去する工程とを有する半導体装置の製造方法が提案されている。この方法では、シリコン基板の表面に生じた欠陥層を除去する際に、欠陥層を一旦選択酸化層に変えてシリコン基板のオーバーエッチングを抑制しつつ、欠陥層を除去している   For example, in Patent Document 1, an insulating film is formed on a silicon substrate, a predetermined resist pattern is formed, the insulating film is dry-etched using the resist pattern as a mask, an opening is formed, and the silicon substrate is exposed. And a method of manufacturing a semiconductor device having a step of forming a selective oxidation layer by oxidizing a defect layer generated on the surface of the silicon substrate during the dry etching step and a step of removing the selective oxidation layer by wet etching Has been. In this method, when removing the defect layer generated on the surface of the silicon substrate, the defect layer is removed while temporarily changing the defect layer to a selective oxidation layer and suppressing overetching of the silicon substrate.

特許文献2では、層間絶縁膜の厚さ方向の一部を、素子領域表面が露出する直前までエッチングする第1のプラズマエッチング工程と、層間絶縁膜の厚さ方向の残部を、フッ素系化学種以外のハロゲン系化学種を発生しうるガスによりエッチングする第2のプラズマエッチング工程とを、この順に施す半導体装置の製造方法が提案されている。この方法では、フッ素系化学種以外のハロゲン系化学種を発生し得るガスによりエッチングすることで素子領域における炭素やフッ素によるコンタミやダメージ層の発生を抑えている。   In Patent Document 2, the first plasma etching step of etching a part of the interlayer insulating film in the thickness direction until just before the surface of the element region is exposed, and the remaining part of the interlayer insulating film in the thickness direction are made of fluorine-based chemical species. A method of manufacturing a semiconductor device has been proposed in which a second plasma etching step of etching with a gas capable of generating a halogen-based chemical species other than the above is performed in this order. In this method, etching with a gas capable of generating halogen-based chemical species other than fluorine-based chemical species suppresses the generation of contamination and damage layers due to carbon and fluorine in the element region.

特許文献3では、半導体基板上に形成された絶縁膜をドライエッチングによって加工した後、絶縁膜の有する開口部を介して加速された酸素イオンを半導体基板の表面に照射して、半導体基板の表面に形成されているダメージ層を除去する工程を含む半導体装置の製造方法が提案されている。この方法では、ダメージ層の除去にフッ素が使用されないため、半導体基板の表面がエッチングされることなく、従って、半導体基板の表面にエッチングによる凹凸を生じる虞がない   In Patent Document 3, after processing an insulating film formed on a semiconductor substrate by dry etching, the surface of the semiconductor substrate is irradiated with oxygen ions accelerated through an opening of the insulating film. There has been proposed a method of manufacturing a semiconductor device including a step of removing a damaged layer formed on the semiconductor device. In this method, fluorine is not used to remove the damaged layer, so that the surface of the semiconductor substrate is not etched, and therefore there is no possibility of unevenness due to etching on the surface of the semiconductor substrate.

特願平05−182871号公報Japanese Patent Application No. 05-182871 特願平07−167680号公報Japanese Patent Application No. 07-167680 特願平08−144008号公報Japanese Patent Application No. 08-144008

しかしながら、特許文献1の従来方法は、シリコン基板が露出するまで絶縁膜のドライエッチングを行い、シリコン基板表面に生じた欠陥層を選択酸化層に変え、この選択酸化層をウエットエッチングするため、シリコン基板表面に下地層や素子領域が形成されている場合には、エッチングガスの絶縁層に対する下地層や素子領域に対する選択比が低いこともあって、下地層や素子領域の表面に欠陥層が形成され、ウエットエッチングによりこの欠陥層が除去されて絶縁膜のみを正確にエッチングすることができず、下地層が侵食される。また、例えば半導体装置の高集積化、高密度化に伴って下地層が益々薄膜化し、例えば現在50〜100nmレベルの膜厚が近い将来20〜30nmレベルになると予測されるため、特許文献1のエッチング方法ではこのような下地層の薄膜化に対処できない。   However, the conventional method of Patent Document 1 performs dry etching of an insulating film until the silicon substrate is exposed, changes a defective layer generated on the surface of the silicon substrate into a selective oxidation layer, and wet-etches the selective oxidation layer. When a base layer or element region is formed on the substrate surface, a defect layer is formed on the surface of the base layer or element region because the etching gas may have a low selectivity to the base layer or element region with respect to the insulating layer. Then, this defective layer is removed by wet etching, and only the insulating film cannot be etched accurately, and the underlying layer is eroded. In addition, for example, as the semiconductor device is highly integrated and densified, the underlying layer is increasingly thinned. For example, the film thickness of the current 50 to 100 nm level is predicted to become the 20 to 30 nm level in the near future. The etching method cannot cope with such a thinning of the underlayer.

また、特許文献2の従来方法は、フッ素系化学種以外のハロゲン系化学種を発生しうるガスによりエッチングするため、素子領域に炭素やフッ素によるコンタミやダメージ層の発生を抑えることができるが、フッ素系化学種以外のハロゲン系化学種(Cl、Br等の元素)によるコンタミを完全には防止できず、この場合においてもダメージ層が形成されることに変わりはなく、下地層の薄膜化等に対処できない。   In addition, since the conventional method of Patent Document 2 performs etching with a gas that can generate halogen-based chemical species other than fluorine-based chemical species, it can suppress the occurrence of contamination and damage layers due to carbon and fluorine in the element region. Contamination due to halogen-type chemical species other than fluorine-type chemical species (elements such as Cl and Br) cannot be completely prevented, and in this case, a damaged layer is still formed, and the underlayer is made thin. Cannot cope with.

更に、特許文献3の従来方法は、加速された酸素イオンを半導体基板の表面に照射して、半導体基板の表面に形成されているダメージ層を除去するため、半導体基板の表面が酸素イオンによるエッチングを受けないように酸素イオンのエネルギーを正確に制御しなくてはならない。   Further, the conventional method of Patent Document 3 irradiates the surface of the semiconductor substrate with accelerated oxygen ions to remove the damaged layer formed on the surface of the semiconductor substrate, so that the surface of the semiconductor substrate is etched with oxygen ions. The energy of oxygen ions must be precisely controlled so that they are not affected.

本発明は、上記課題を解決するためになされたもので、半導体装置の高密度化、高集積化に伴って絶縁膜の下地層の薄膜化が促進されても、下地層を全く傷つけることなく、絶縁膜のみを確実且つ高精度にエッチングすることができるエッチング方法を提供することを目的としている。   The present invention has been made to solve the above-described problems, and even if the thinning of the base layer of the insulating film is promoted with the increase in the density and integration of the semiconductor device, the base layer is not damaged at all. An object of the present invention is to provide an etching method capable of etching only an insulating film reliably and with high accuracy.

本発明の請求項1に記載のエッチング方法は、所定のパターンで形成されたマスクを介してプラズマを照射してSiCOH系低誘電性絶縁膜をエッチングする方法において、下地層が露出する直前まで上記絶縁膜をエッチングする第1の工程と、上記絶縁膜の残膜に上記エッチング処理とは別のプラズマを照射して上記残膜の膜質を変化させる第2の工程と、膜質が変化した上記絶縁膜を薬液により除去する第3の工程と、を備えたことを特徴とするものである。 The etching method according to claim 1 of the present invention is a method of etching a SiCOH-based low dielectric insulating film by irradiating plasma through a mask formed in a predetermined pattern until just before the underlying layer is exposed. A first step of etching the insulating film; a second step of changing the film quality of the remaining film by irradiating the remaining film of the insulating film with plasma different from the etching process; and the insulation having the changed film quality And a third step of removing the film with a chemical solution.

また、本発明の請求項2に記載のエッチング方法は、所定のパターンで形成されたマスクを介してプラズマを照射してSiCOH系低誘電性絶縁膜をエッチングする方法において、下地層が露出する直前まで上記絶縁膜をエッチングする第1の工程と、上記絶縁膜の残膜に上記エッチング処理とは別のプラズマを照射して上記残膜の膜質を変化させる第2の工程と、膜質が変化した上記絶縁膜を、プラズマを使わないドライエッチングにより除去する第3の工程と、を備えたことを特徴とするものである。 The etching method according to claim 2 of the present invention is a method of etching a SiCOH-based low dielectric insulating film by irradiating plasma through a mask formed in a predetermined pattern, immediately before the underlying layer is exposed. The first step of etching the insulating film until the second step, the second step of changing the film quality of the remaining film by irradiating the remaining film of the insulating film with plasma different from the etching treatment, and the film quality changed. And a third step of removing the insulating film by dry etching without using plasma.

また、本発明の請求項3に記載のエッチング方法は、請求項1または請求項2に記載の発明において、上記マスクは、ハードマスクを含むことを特徴とするものである。 According to a third aspect of the present invention, there is provided an etching method according to the first or second aspect , wherein the mask includes a hard mask.

また、本発明の請求項4に記載のエッチング方法は、請求項1〜請求項3のいずれか1項に記載の発明において、上記第1の工程では、フルオロカーボンガスを用いることを特徴とするものである。 According to a fourth aspect of the present invention, there is provided the etching method according to any one of the first to third aspects, wherein a fluorocarbon gas is used in the first step. It is.

また、本発明の請求項5に記載のエッチング方法は、請求項1請求項4のいずれか1項に記載の発明において、上記第2の工程では、SiCOH系低誘電性絶縁膜から主としてメチル基を除去することを特徴とするものである。 Further, the etching method according to claim 5 of the present invention is the invention according to any one of claims 1 to 4 , wherein in the second step, mainly from the SiCOH-based low dielectric insulating film, methylation is performed. It is characterized by removing the group.

また、本発明の請求項6に記載のエッチング方法は、請求項1〜請求項5のいずれか1項に記載の発明において、上記第2の工程で用いられるエッチングガスは、少なくともHガスまたはOガスを含むことを特徴とするものである。 The etching method according to claim 6 of the present invention is the etching method according to any one of claims 1 to 5 , wherein the etching gas used in the second step is at least H 2 gas or It contains O 2 gas.

また、本発明の請求項7に記載のエッチング方法は、請求項1及び請求項3〜請求項6のいずれか1項に記載の発明において、上記薬液は、フッ酸、フッ化アンモニウム及び水酸化テトラメチルアンモニウムのうちの少なくとも一種を含むことを特徴とするものである。 The etching method according to claim 7 of the present invention is the etching method according to any one of claims 1 and 3 to 6 , wherein the chemical solution contains hydrofluoric acid, ammonium fluoride and hydroxylation. It contains at least one of tetramethylammonium.

また、本発明の請求項8に記載のエッチング方法は、請求項2〜請求項6のいずれか1項に記載の発明において、上記プラズマを使わないドライエッチングは、ケミカルオキサイドリムーバル法であることを特徴とするものである。 Further, the etching method according to claim 8 of the present invention is that, in the invention according to any one of claims 2 to 6 , the dry etching not using the plasma is a chemical oxide removal method. It is a feature.

また、本発明の請求項9に記載のエッチング方法は、請求項1〜請求項8のいずれか1項に記載の発明において、上記下地層は、SiCまたはSiCNであることを特徴とするものである。 An etching method according to claim 9 of the present invention is characterized in that, in the invention according to any one of claims 1 to 8 , the underlayer is SiC or SiCN. is there.

また、本発明の請求項10に記載のエッチング方法は、SiCOH系低誘電性絶縁膜をエッチングする方法において、フルオロカーボンガスプラズマにより、下地層が露出せず且つ上記SiCOH系低誘電性絶縁膜の残膜の厚みが100nm以下となるようにエッチングを行う第1の工程と、HガスまたはOガスを含むプラズマを残余のSiCOH系低誘電性絶縁膜に照射し、その膜中からメチル基を除去する第2の工程と、メチル基が除去された残膜を、フッ酸、フッ化アンモニウム及び水酸化テトラメチルアンモニウムのうちの少なくとも一種を含む溶液により除去する第3の工程と、を備えたことを特徴とするものである。 The etching method according to claim 10 of the present invention is a method for etching a SiCOH-based low dielectric insulating film, wherein the underlayer is not exposed by fluorocarbon gas plasma and the SiCOH-based low dielectric insulating film remains. A first step of performing etching so that the thickness of the film becomes 100 nm or less, and a plasma containing H 2 gas or O 2 gas are irradiated to the remaining SiCOH-based low dielectric insulating film, and methyl groups are removed from the film. A second step of removing, and a third step of removing the residual film from which the methyl group has been removed with a solution containing at least one of hydrofluoric acid, ammonium fluoride, and tetramethylammonium hydroxide. It is characterized by this.

本発明によれば、半導体装置の高密度化、高集積化に伴ってSiCOH系低誘電性絶縁膜の下地層の薄膜化が促進されても、下地層を全く傷つけることなく、絶縁膜のみを確実且つ高精度にエッチングすることができるエッチング方法を提供することができる。 According to the onset bright, high density semiconductor device, even if a thin film of the underlying layer of SiCOH-based low-dielectric insulating film with high integration is promoted, without damaging the underlying layer at all, an insulating film only Thus, it is possible to provide an etching method capable of etching with high accuracy.

以下、図1〜図5に示す実施形態に基づいて本発明を説明する。
本発明のエッチング方法では、図1に示すプラズマ処理装置を用いて被処理体(例えば、ウエハ)に形成された絶縁膜を下地層の直前まで、即ち絶縁膜の一部を残すエッチングを行って開口部を形成した後、同一のプラズマ処理装置を用いて絶縁膜の残膜部分の膜質を改質し、更に、図2に示す薬液処理装置を用いて改質後の絶縁膜の残膜部分を薬液処理することで絶縁膜の残膜部分のみを正確に除去し、下地層を傷つけることなく露呈させることができる。そこで、まずプラズマ処理装置及び薬液処理装置について説明した後、本発明のエッチング方法の一実施形態について説明する。
Hereinafter, the present invention will be described based on the embodiment shown in FIGS.
In the etching method of the present invention, the plasma processing apparatus shown in FIG. 1 is used to etch the insulating film formed on the object to be processed (for example, a wafer) until just before the base layer, that is, leave a part of the insulating film. After the opening is formed, the film quality of the remaining film portion of the insulating film is modified using the same plasma processing apparatus, and the remaining film portion of the insulating film after the modification is further processed using the chemical processing apparatus shown in FIG. By performing the chemical treatment, only the remaining film portion of the insulating film can be accurately removed and exposed without damaging the underlying layer. Therefore, after first describing the plasma processing apparatus and the chemical processing apparatus, an embodiment of the etching method of the present invention will be described.

本実施形態のエッチング方法に用いられるプラズマ処理装置1は、例えば図1に示すように、所望の高真空度を保持することができる、表面がアルマイト加工され且つ電気的に接地された処理容器2と、この処理容器2内の底面中央に配設され且つウエハWを載置する下部電極3と、この下部電極3を下方から支持し且つ処理容器2の底面に絶縁部材2Aを介して配設された支持体4と、下部電極3と隙間を介して配設され且つ中空状に形成された上部電極5とを備え、ウエハWの絶縁膜を下地層の直前までエッチングした後、エッチング後の絶縁膜の残膜部分を改質処理するように構成されている。   The plasma processing apparatus 1 used in the etching method of the present embodiment has a processing vessel 2 having a surface anodized and electrically grounded, as shown in FIG. A lower electrode 3 disposed at the center of the bottom surface in the processing container 2 and on which the wafer W is placed, and supports the lower electrode 3 from below and disposed on the bottom surface of the processing container 2 via an insulating member 2A. And the lower electrode 3 and the upper electrode 5 which is disposed in a hollow shape and is formed in a hollow shape, and after etching the insulating film of the wafer W to just before the base layer, The remaining film portion of the insulating film is modified.

下部電極3には例えば2MHzの第1高周波電源6が整合器6Aを介して接続され、上部電極5には下部電極3よりも周波数の高い、例えば60MHzの第2高周波電源7が整合器7Aを介して接続されている。下部電極3にはハイパスフィルタ8が接続され、上部電極5にはローパスフィルタ9が接続されている。また、処理容器2の底面の排気口2Bには排気装置11がガス排気管11Aを介して接続され、この排気装置11は処理容器2内を真空排気して所望の真空度を維持する。尚、以下では、必要に応じて下部電極3と支持体4を纏めて載置台10と称して説明する。   A first high-frequency power source 6 of 2 MHz, for example, is connected to the lower electrode 3 via a matching unit 6A, and a second high-frequency power source 7 having a frequency higher than that of the lower electrode 3, for example, 60 MHz, is connected to the upper unit 5 through the matching unit 7A. Connected through. A high pass filter 8 is connected to the lower electrode 3, and a low pass filter 9 is connected to the upper electrode 5. An exhaust device 11 is connected to an exhaust port 2B on the bottom surface of the processing container 2 via a gas exhaust pipe 11A. The exhaust device 11 evacuates the processing container 2 to maintain a desired degree of vacuum. In the following description, the lower electrode 3 and the support 4 are collectively referred to as a mounting table 10 as necessary.

上部電極5の上面中央にはガス導入管5Aが形成され、このガス導入管5Aは絶縁部材2Cを介して処理容器2の上面中央を貫通している。そして、このガス導入管5Aにはガス供給源12がガス供給管13を介して接続され、このガス供給源12からエッチングガスを供給する。ガス供給源12は、例えば、第1ガス(例えば、C)供給源12A、第2ガス(例えば、Ar)供給源12B、第3ガス(例えば、N)供給源12C、第4ガス(例えば、O)供給源12D及び第5ガス(例えば、H)供給源12Eと、各ガス供給源12A、12B、12C、12D、12Eに属する流量制御部12F、12G、12H、12I、12Jと、を有し、これらの各ガス供給源12A、12B、12C、12D、12Eが流量制御部12F、12G、12H、12I、12Jを介してそれぞれガス供給管13に接続されている。そして、ガス供給源12は、これらの供給源12A、12B、12C、12D、12Eのガスを適宜組み合わせて所定の流量比で上部電極5内の中空部内へ供給する。上部電極5の下面には多数の吐出孔5Bが均等に分散されて形成され、各吐出孔5Bからガス供給源12から導入された複数のガスを混合してエッチングガスまたは表面改質用ガスとして処理容器2内全体に均等に分散供給する。 A gas introduction pipe 5A is formed at the center of the upper surface of the upper electrode 5, and the gas introduction pipe 5A passes through the center of the upper surface of the processing vessel 2 via an insulating member 2C. A gas supply source 12 is connected to the gas introduction pipe 5 </ b> A via a gas supply pipe 13, and an etching gas is supplied from the gas supply source 12. The gas supply source 12 includes, for example, a first gas (eg, C 4 F 8 ) supply source 12A, a second gas (eg, Ar) supply source 12B, a third gas (eg, N 2 ) supply source 12C, and a fourth gas supply source 12C. Gas (for example, O 2 ) supply source 12D and fifth gas (for example, H 2 ) supply source 12E, and flow rate control units 12F, 12G, 12H, 12I belonging to the gas supply sources 12A, 12B, 12C, 12D, 12E , 12J, and these gas supply sources 12A, 12B, 12C, 12D, and 12E are connected to the gas supply pipe 13 via the flow rate control units 12F, 12G, 12H, 12I, and 12J, respectively. The gas supply source 12 supplies the gas from these supply sources 12A, 12B, 12C, 12D, and 12E into the hollow portion in the upper electrode 5 at a predetermined flow ratio by appropriately combining the gases. A number of discharge holes 5B are uniformly distributed on the lower surface of the upper electrode 5, and a plurality of gases introduced from the gas supply source 12 are mixed through the discharge holes 5B to form an etching gas or a surface modifying gas. The processing container 2 is uniformly distributed and supplied.

従って、排気装置11によって処理容器2内を真空引きすると共にガス供給源12から所定のエッチングガスを所定の流量で供給した状態で、下部電極3及び上部電極5にそれぞれの高周波電力を印加し、処理容器2内でエッチングガス(あるいは表面改質用ガス)のプラズマを発生させ、下部電極3上のウエハWに対して所定のエッチングまたは表面改質を施す。この下部電極3には温度センサ(図示せず)が装着され、温度センサを介して下部電極3上のウエハWの温度を常時監視している。   Therefore, the high-frequency power is applied to the lower electrode 3 and the upper electrode 5 in a state where the inside of the processing container 2 is evacuated by the exhaust device 11 and a predetermined etching gas is supplied from the gas supply source 12 at a predetermined flow rate. A plasma of an etching gas (or a surface modification gas) is generated in the processing container 2 to perform predetermined etching or surface modification on the wafer W on the lower electrode 3. A temperature sensor (not shown) is attached to the lower electrode 3 and the temperature of the wafer W on the lower electrode 3 is constantly monitored via the temperature sensor.

載置台10内には所定の冷媒(例えば、従来公知のフッ素系流体、水等)が通る冷媒流路10Aが形成され、冷媒が冷媒流路10Aを流れる間に下部電極3が冷却され、下部電極3を介してウエハWを冷却し、ウエハWを所望の温度に制御する。また、下部電極3上には絶縁材材料からなる静電チャック14が配置され、静電チャック14内の電極板14Aには高圧直流電源15に接続されている。静電チャック14は高圧直流電源15から電極板14Aに印加された高電圧によって表面に発生する静電気によってウエハWを静電吸着する。下部電極3の外周縁には静電チャック14を囲むフォーカスリング16が配置され、フォーカスリング16を介してプラズマがウエハWに集束する。   A refrigerant channel 10A through which a predetermined refrigerant (for example, a conventionally known fluorine-based fluid, water, etc.) passes is formed in the mounting table 10, and the lower electrode 3 is cooled while the refrigerant flows through the refrigerant channel 10A. The wafer W is cooled via the electrode 3, and the wafer W is controlled to a desired temperature. An electrostatic chuck 14 made of an insulating material is disposed on the lower electrode 3, and an electrode plate 14 </ b> A in the electrostatic chuck 14 is connected to a high voltage DC power supply 15. The electrostatic chuck 14 electrostatically attracts the wafer W by static electricity generated on the surface by a high voltage applied to the electrode plate 14A from the high-voltage DC power supply 15. A focus ring 16 surrounding the electrostatic chuck 14 is disposed on the outer peripheral edge of the lower electrode 3, and plasma is focused on the wafer W via the focus ring 16.

また、載置台10にはHeガス等の熱伝導性ガスをバックサイドガスとして供給するガス流路10Bが形成され、ガス流路10Bは載置台10の上面の複数箇所で開口している。これらの開口部は載置台10上の静電チャック14に形成された貫通孔と一致している。従って、載置台10のガス流路10Bにバックサイドガスを供給すると、バックサイドガスはガス流路10Bを経由して静電チャック13の貫通孔から流出し、静電チャック14とウエハW間の隙間全体に均等に拡散し、隙間での熱伝導性を高めている。尚、図1において、17は処理容器2に形成されたウエハWの搬出入口を開閉するゲートバルブである。   Further, the mounting table 10 is formed with a gas flow path 10B for supplying a heat conductive gas such as He gas as a backside gas, and the gas flow path 10B is opened at a plurality of locations on the upper surface of the mounting table 10. These openings coincide with through holes formed in the electrostatic chuck 14 on the mounting table 10. Accordingly, when the backside gas is supplied to the gas flow path 10B of the mounting table 10, the backside gas flows out from the through hole of the electrostatic chuck 13 via the gas flow path 10B, and between the electrostatic chuck 14 and the wafer W. It spreads evenly throughout the gap, increasing the thermal conductivity in the gap. In FIG. 1, reference numeral 17 denotes a gate valve that opens and closes a wafer W loading / unloading port formed in the processing container 2.

上記プラズマ処理装置1ではウエハWに対してエッチング処理を施して絶縁膜に開口部を形成した後、エッチング処理時に生じた絶縁膜の残膜部分の膜質を改質する。そして、改質後の絶縁膜の残膜部分を次に説明する薬液処理装置で除去する。薬液処理装置を用いて改質後の絶縁膜の残膜部分を薬液処理することにより、残膜部分の下地層に対するエッチング選択比が無限大となり、下地層を全く傷つけることなく残膜部分のみを確実に除去することができる。   In the plasma processing apparatus 1, the wafer W is etched to form an opening in the insulating film, and then the quality of the remaining film portion of the insulating film generated during the etching process is modified. Then, the remaining film portion of the insulating film after the modification is removed by a chemical processing apparatus described below. By processing the remaining film portion of the modified insulating film using a chemical processing device, the etching selectivity of the remaining film portion to the underlying layer becomes infinite, and only the remaining film portion is damaged without damaging the underlying layer at all. It can be removed reliably.

薬液処理装置20は、例えば、図2に示すように、昇降可能なウエハチャック21と、このウエハチャック21を回転させるモータ22と、モータ22から離隔して配置され且つウエハチャック21で吸着保持されたウエハWの上面中央部に薬液を供給する薬液供給手段23と、ウエハWの表面から飛散する処理後の薬液を回収する回収容器24と、を備え、こられの部品は基台25によって支持されている。   For example, as shown in FIG. 2, the chemical processing apparatus 20 is arranged so as to be movable up and down, a motor 22 that rotates the wafer chuck 21, a motor 22 that is spaced apart from the motor 22, and is held by suction with the wafer chuck 21. A chemical supply means 23 for supplying a chemical to the central portion of the upper surface of the wafer W, and a recovery container 24 for recovering the processed chemical scattered from the surface of the wafer W. These parts are supported by a base 25. Has been.

図2に示すように、ウエハチャック21は、モータ22の上部を囲むように設けられた昇降機構26を介して昇降する。薬液供給手段23は、薬液タンク(図示せず)に接続された薬液供給管23Aと、薬液供給管23Aの先端に取り付けられたノズル23Bと、ノズル23Bを支持する支持アーム23Cと、支持アーム23Cを昇降させる駆動体23Dとを有し、ノズル23Bがウエハチャック21によって保持されたウエハWの中心に薬液を供給する。薬液供給手段23は、純水等の洗浄液を供給する場合にも用いられる。ウエハWを洗浄する場合には、ウエハチャック21の上方に配置された洗浄ブラシ27が用いられる。また、回収容器24は、略ドーナツ状の容器として形成され、その内周面の上部には全周に渡って開口部24Aが形成され、この開口部24Aで回転するウエハWから飛散する処理後の薬液を回収する。また、回収容器24の下面には基台25を下方に貫通する排出部24Bが形成され、処理後の薬液を排出部24Bから所定の回収タンクへ排出する。   As shown in FIG. 2, the wafer chuck 21 is lifted and lowered via a lifting mechanism 26 provided so as to surround the upper portion of the motor 22. The chemical liquid supply means 23 includes a chemical liquid supply pipe 23A connected to a chemical liquid tank (not shown), a nozzle 23B attached to the tip of the chemical liquid supply pipe 23A, a support arm 23C that supports the nozzle 23B, and a support arm 23C. The nozzle 23B supplies a chemical solution to the center of the wafer W held by the wafer chuck 21. The chemical liquid supply means 23 is also used when supplying a cleaning liquid such as pure water. When cleaning the wafer W, a cleaning brush 27 disposed above the wafer chuck 21 is used. Further, the recovery container 24 is formed as a substantially donut-shaped container, and an opening 24A is formed over the entire inner periphery of the recovery container 24, and after the process of scattering from the wafer W rotating at the opening 24A. Collect the chemical solution. Further, a discharge portion 24B penetrating the base 25 downward is formed on the lower surface of the recovery container 24, and the processed chemical solution is discharged from the discharge portion 24B to a predetermined recovery tank.

次に、プラズマ処理装置1及び薬液処理装置20を用いる本発明のエッチング方法の一実施形態について図3、図4を参照しながら説明する。本実施形態では、図3の(a)、(b)に示すように下地層101、SiCOH系の低誘電性絶縁膜(以下、単に「Low−k膜」と称す。)102、ハードマスク103、反射防止膜104及びレジスト膜105が順次積層して形成されたウエハWに対してエッチング処理を行う。下地層101は、例えばSiC、SiCN等によって形成されている。SiCOH系のLow−k膜102は、Si、C、O、Hを含む有機材料、例えばMSQ(Methyl-Hydrogen-silsesquioxane)系有機材料等によって形成されている。また、ハードマスク103は、例えばSiO、SiN等によって形成されている。尚、レジスト膜105には予めフォトリソグラフィー技術により所定のパターンで開口部105Aが形成されている。 Next, an embodiment of the etching method of the present invention using the plasma processing apparatus 1 and the chemical processing apparatus 20 will be described with reference to FIGS. In this embodiment, as shown in FIGS. 3A and 3B, the base layer 101, the SiCOH-based low dielectric insulating film (hereinafter simply referred to as “Low-k film”) 102, and the hard mask 103. Then, an etching process is performed on the wafer W on which the antireflection film 104 and the resist film 105 are sequentially laminated. The underlayer 101 is made of, for example, SiC, SiCN, or the like. The SiCOH-based Low-k film 102 is formed of an organic material containing Si, C, O, and H, such as an MSQ (Methyl-Hydrogen-silsesquioxane) -based organic material. Further, the hard mask 103 is formed of, for example, SiO 2 , SiN x or the like. The resist film 105 is previously formed with openings 105A in a predetermined pattern by a photolithography technique.

上述のウエハWがプラズマ処理装置1に供給されると、プラズマ処理装置1のゲートバルブ17が開放され、ウエハWが搬出入口から処理容器2内に搬入されて下部電極3上に載置されると、ウエハWは、静電チャック14上に静電吸着によって固定される。搬出入口がゲートバルブ17で閉じられた後、ガス供給源12の第1、第2、第3ガス供給源12A、12B、12CからCガス、Arガス及びNガスがそれぞれ所定の流量比に調整されて、上部電極5の吐出口5BからウエハW上面に向けてエッチングガスとして供給される。 When the wafer W is supplied to the plasma processing apparatus 1, the gate valve 17 of the plasma processing apparatus 1 is opened, and the wafer W is loaded into the processing container 2 from the loading / unloading port and placed on the lower electrode 3. The wafer W is fixed on the electrostatic chuck 14 by electrostatic attraction. After the carry-in / out port is closed by the gate valve 17, the C 4 F 8 gas, Ar gas, and N 2 gas are respectively supplied from the first, second, and third gas supply sources 12A, 12B, and 12C of the gas supply source 12 to the predetermined values. The flow rate is adjusted and supplied as an etching gas from the discharge port 5B of the upper electrode 5 toward the upper surface of the wafer W.

この時のCガス、Arガス及びNガスそれぞれのガス流量は、例えばCガスが4〜6sccm、Arガスが500〜1000sccm、Nガスが100〜200sccmの範囲が好ましい。処理容器11内のガス圧力は、例えば50〜75mTorrの範囲が好ましい。エッチング処理時にはウエハWの裏面にHeガスが流量調整して供給され、ウエハWを冷却する。 C 4 F 8 gas at this time, gas flow rates of Ar gas and N 2 gas, for example C 4 F 8 gas 4~6Sccm, Ar gas 500~1000Sccm, N 2 gas is preferably in the range of 100~200sccm . The gas pressure in the processing container 11 is preferably in the range of 50 to 75 mTorr, for example. During the etching process, the He gas is supplied to the back surface of the wafer W with the flow rate adjusted, and the wafer W is cooled.

処理容器2内が所定の真空度に設定されると第1、第2高周波電源6、7からそれぞれの高周波電力が印加されて、下部電極12と上部電極14の間でエッチングガスのプラズマを発生する。第1高周波電源から印加する高周波電力は例えば400〜1700Wの範囲が好ましく、第2高周波電源7から印加する高周波電力は例えば300〜1200Wの範囲が好ましい。   When the inside of the processing chamber 2 is set to a predetermined degree of vacuum, high frequency power is applied from the first and second high frequency power supplies 6 and 7 to generate plasma of etching gas between the lower electrode 12 and the upper electrode 14. To do. The high frequency power applied from the first high frequency power supply is preferably in the range of 400 to 1700 W, for example, and the high frequency power applied from the second high frequency power supply 7 is preferably in the range of 300 to 1200 W, for example.

上述の条件で図3の(a)に示すウエハWに対してレジスト膜105をマスクとして異方性エッチングを施すと、反射防止膜104、ハードマスク103及びLow−k膜102がエッチングされて同図の(b)に示すように開口部106が形成される。本実施形態では下地層101が露出する直前でCガス、Arガス、Nガスの供給を止めてエッチング処理を停止し、同図の(b)に示すように下地層101上にLow−k膜102を残膜102Aとして部分的に残す。残膜102Aの膜厚は、100nm以下が好ましく、30〜50nmの範囲がより好ましい。30nm未満では下地層101に欠陥層が生じる虞があり、100nmを超えるとエッチングに続く後処理でLow−k膜102を確実に除去できない虞がある。残膜102Aの膜厚は、例えばエッチング時間等によって管理することができる。 When anisotropic etching is performed on the wafer W shown in FIG. 3A using the resist film 105 as a mask under the above-described conditions, the antireflection film 104, the hard mask 103, and the low-k film 102 are etched. An opening 106 is formed as shown in FIG. In this embodiment, the supply of C 4 F 8 gas, Ar gas, and N 2 gas is stopped immediately before the underlying layer 101 is exposed to stop the etching process, and the underlying layer 101 is formed on the underlying layer 101 as shown in FIG. The Low-k film 102 is partially left as the remaining film 102A. The film thickness of the remaining film 102A is preferably 100 nm or less, and more preferably in the range of 30 to 50 nm. If the thickness is less than 30 nm, a defective layer may be formed in the underlayer 101. If the thickness exceeds 100 nm, the low-k film 102 may not be reliably removed by post-processing subsequent to etching. The film thickness of the remaining film 102A can be managed by, for example, the etching time.

Low−k膜102のエッチングにより開口部106の底面及び側壁に欠陥層106Aが形成されるが、本実施形態では下地層101上に残膜102Aがあるため、下地層101の表面に欠陥層が形成されることはなく、Low−k膜102の残膜102Aの表層部及び開口部106の側壁の表層部に欠陥層106Aが形成される。この処理では開口部106内にLow−k膜102の残膜102A及び欠陥層106Aが残っているため、残膜102A及び欠陥層106Aを除去しなくてはならない。   The defect layer 106A is formed on the bottom and side walls of the opening 106 by etching the low-k film 102. However, in this embodiment, the residual film 102A exists on the base layer 101, so that a defect layer is formed on the surface of the base layer 101. The defect layer 106 </ b> A is formed in the surface layer portion of the remaining film 102 </ b> A of the low-k film 102 and the surface layer portion of the side wall of the opening 106 without being formed. In this process, since the remaining film 102A and the defective layer 106A of the low-k film 102 remain in the opening 106, the remaining film 102A and the defective layer 106A must be removed.

そこで、本実施形態ではエッチング処理に引き続き、同一のプラズマ処理装置1内でLow−k膜102の残膜102Aに改質処理を施す。   Therefore, in the present embodiment, following the etching process, a modification process is performed on the remaining film 102A of the Low-k film 102 in the same plasma processing apparatus 1.

即ち、ガス供給源12の第1、第2、第3ガス供給源12A、12B、12Cから第4ガス供給源12Dに切り換え、第4ガス供給源12Dから処理容器2内にOガスを所定の流量で改質用ガスとして供給する。この時のOガスの流量は例えば100〜300sccmの範囲が好ましく、処理容器11内のガス圧力は例えば5〜20mTorrの範囲が好ましい。また、第1高周波電源6から印加する高周波電力は例えば100〜300Wの範囲が好ましく、第2高周波電源7から印加する高周波電力は例えば0〜300Wの範囲が好ましい。その他の条件はエッチング処理に準じて設定されている。 That is, the first, second, and third gas supply sources 12A, 12B, and 12C of the gas supply source 12 are switched to the fourth gas supply source 12D, and O 2 gas is supplied into the processing container 2 from the fourth gas supply source 12D. As a reforming gas at a flow rate of At this time, the flow rate of O 2 gas is preferably in the range of 100 to 300 sccm, for example, and the gas pressure in the processing vessel 11 is preferably in the range of 5 to 20 mTorr, for example. The high frequency power applied from the first high frequency power supply 6 is preferably in the range of 100 to 300 W, for example, and the high frequency power applied from the second high frequency power supply 7 is preferably in the range of 0 to 300 W, for example. Other conditions are set according to the etching process.

上述の条件で酸素ガスのプラズマを発生させて、図4の(a)に示すウエハWに酸素プラズマを照射すると、Low−k膜102の残膜102Aを構成するメチル基が酸素と反応し、メチル基が酸化、除去されて、同図の(b)に示すようにLow−k膜の残膜102AがSi、Oを含むガラス成分(SiO)に改質される。改質処理を終了した後、このウエハWに薬液処理を施して、ウエハWの改質部を除去する。 When oxygen gas plasma is generated under the above conditions and the wafer W shown in FIG. 4A is irradiated with oxygen plasma, the methyl groups constituting the remaining film 102A of the low-k film 102 react with oxygen, The methyl group is oxidized and removed, and the low-k film remaining film 102A is modified to a glass component (SiO x ) containing Si and O as shown in FIG. After the modification process is completed, the wafer W is subjected to a chemical solution process to remove the modified part of the wafer W.

また、Low−k膜102の残膜102Aの改質には、酸素ガスに代えてN/Hの混合ガスを改質用ガスとして用いることもできる。この場合には、Nガスの流量は0〜200sccmの範囲が好ましく、Hガスの流量は例えば200〜0sccmの範囲が好ましく、処理容器11内のガス圧力は例えば10〜50mTorrの範囲が好ましい。また、第1高周波電源6から印加する高周波電力は例えば100〜500Wの範囲が好ましく、第2高周波電源7から印加する高周波電力は例えば100〜500Wの範囲が好ましい。その他の条件はエッチング処理に準じて設定されている。尚、改質前にアッシング装置(図示せず)を用いてウエハW表面のレジスト膜をアッシング処理によって除去する。 Further, for the modification of the remaining film 102A of the low-k film 102, a mixed gas of N 2 / H 2 can be used as the reforming gas instead of the oxygen gas. In this case, the flow rate of N 2 gas is preferably in the range of 0 to 200 sccm, the flow rate of H 2 gas is preferably in the range of 200 to 0 sccm, and the gas pressure in the processing vessel 11 is preferably in the range of 10 to 50 mTorr, for example. . The high frequency power applied from the first high frequency power supply 6 is preferably in the range of 100 to 500 W, for example, and the high frequency power applied from the second high frequency power supply 7 is preferably in the range of 100 to 500 W, for example. Other conditions are set according to the etching process. Before the modification, the resist film on the surface of the wafer W is removed by ashing using an ashing device (not shown).

次いで、薬液処理装置20を用いて改質後のLow−k膜102の残膜102Aを除去する方法について説明する。本実施形態では、薬液としては、例えばフッ酸、フッ化アンモニウム及び水酸化テトラメチルアンモニウムのうちの少なくとも一種を含む溶液が好ましく用いられる。ここでは希フッ酸を用いる場合について説明する。図示しない搬送装置を介して薬液処理装置20へウエハWを供給すると、薬液処理装置20ではウエハチャック21が昇降機構26を介して上昇してウエハWを受け取って保持した後、ウエハチャック21がモータ22を介して高速回転する。この状態で薬液供給手段23のノズル23BからウエハW上面の中心部に薬液として希フッ酸を供給すると、希フッ酸がLow−k膜102の残膜102AのSi、Oを主成分とするガラス成分と反応しガラス成分を溶かし、ウエハWからLow−k膜102の残膜102Aを除去する。この時、使用する希フッ酸の濃度は、例えばフッ酸/水が1/100であり、室温において30秒から1分間の処理を行う。希フッ酸は下地層101のSiCと反応しないため、残膜102Aのみを確実に除去し、下地層101が傷つくことなく完全に露呈する。また、この薬液処理によりエッチングにより形成された欠陥層106Aも同時に除去される。処理後のSiFを含む希フッ酸はウエハW表面から飛散し、開口部24Aを介して回収容器24で回収される。回収液は回収容器24の排出部24Bから回収容器24外へ排出される。 Next, a method for removing the remaining film 102A of the modified Low-k film 102 using the chemical processing apparatus 20 will be described. In the present embodiment, as the chemical solution, for example, a solution containing at least one of hydrofluoric acid, ammonium fluoride, and tetramethylammonium hydroxide is preferably used. Here, a case where dilute hydrofluoric acid is used will be described. When the wafer W is supplied to the chemical processing apparatus 20 via a transfer apparatus (not shown), the wafer chuck 21 is lifted via the lifting mechanism 26 in the chemical processing apparatus 20 to receive and hold the wafer W. Rotate at high speed via 22. In this state, when dilute hydrofluoric acid is supplied as a chemical solution from the nozzle 23B of the chemical solution supplying means 23 to the center of the upper surface of the wafer W, the dilute hydrofluoric acid is a glass mainly composed of Si and O of the remaining film 102A of the low-k film 102. The glass component is melted by reacting with the component, and the remaining film 102A of the low-k film 102 is removed from the wafer W. At this time, the concentration of the diluted hydrofluoric acid used is, for example, 1/100 of hydrofluoric acid / water, and the treatment is performed at room temperature for 30 seconds to 1 minute. Since dilute hydrofluoric acid does not react with SiC of the underlayer 101, only the remaining film 102A is surely removed, and the underlayer 101 is completely exposed without being damaged. Further, the defect layer 106A formed by etching by this chemical treatment is also removed at the same time. The dilute hydrofluoric acid containing SiF 4 after processing is scattered from the surface of the wafer W and is collected in the collection container 24 through the opening 24A. The recovered liquid is discharged out of the recovery container 24 from the discharge portion 24B of the recovery container 24.

以上説明したように本実施形態によれば、所定のパターンで形成された開口部105Aを有するレジスト膜105を介してCを含むエッチングガスのプラズマを照射してLow−k膜102をエッチングする際に、下地層101が露出する直前までSiCOH系のLow−k膜をエッチングして開口部106を形成する第1の工程と、Low−k膜102の残膜102Aに酸素ガスのプラズマを照射してLow−k膜102の残膜102Aの膜質を変化させる第2の工程と、膜質が変化したLow−k膜102の残膜102Aを希フッ酸により除去する第3の工程と、を備えているため、Low−k膜102の残膜102Aのみを確実に除去することができ、しかも希フッ酸によって改質されたLow−k膜102の残膜102Aをウエットエッチングによって除去するため、下地層101に対するウエットエッチングの選択比が無限大となり、高精度に開口部106を形成することができ、下地層101の表面を全く傷つけることなく、露呈させることができる。従って、半導体装置の高密度化、高集積化に伴ってLow−k膜102の下地層101の薄膜化が促進されても、下地層101を全く傷つけることなく、Low−k膜102のみを確実にエッチングすることができる As described above, according to this embodiment, the low-k film 102 is formed by irradiating the etching gas plasma containing C 4 F 8 through the resist film 105 having the opening 105A formed in a predetermined pattern. In the etching, a first step of etching the SiCOH Low-k film to form the opening 106 until just before the foundation layer 101 is exposed, and a plasma of oxygen gas on the remaining film 102A of the Low-k film 102 A second step of changing the film quality of the remaining film 102A of the Low-k film 102, a third step of removing the remaining film 102A of the Low-k film 102 having the changed film quality with dilute hydrofluoric acid, Therefore, only the remaining film 102A of the Low-k film 102 can be reliably removed, and the remaining film 102A of the Low-k film 102 modified by dilute hydrofluoric acid can be removed. Since it is removed by wet etching, the wet etching selectivity to the base layer 101 is infinite, the opening 106 can be formed with high accuracy, and the surface of the base layer 101 can be exposed without being damaged at all. . Therefore, even if the thinning of the base layer 101 of the low-k film 102 is promoted as the density and integration of the semiconductor device are increased, only the low-k film 102 is surely obtained without damaging the base layer 101 at all. Can be etched into

上記実施形態ではフッ素を含む薬液を用いるウエットエッチングによって改質後のLow−k膜102の残膜102Aを除去する方法について説明したが、以下で説明するように図5の(a)、(b)に示すケミカルオキサイドリムーバル(Chemical Oxide Removal)法を実施する装置(以下、「COR装置」と称す。)及びPHT(Post Heat Treatment)装置を用いても改質後のLow−k膜102の残膜102Aを除去することができる。   In the above embodiment, the method of removing the remaining film 102A of the modified Low-k film 102 by wet etching using a chemical solution containing fluorine has been described. However, as will be described below, FIGS. The low-k film 102 remains after the modification even if an apparatus (hereinafter referred to as “COR apparatus”) and a PHT (Post Heat Treatment) apparatus that perform the chemical oxide removal method shown in FIG. The film 102A can be removed.

即ち、COR装置30は、図5の(a)に示すように、真空な処理容器31と、処理容器31内に底面中央部に配置されたウエハWの載置台32と、処理容器31の上壁に設けられたガス供給部33と、を備え、ガス供給部33から処理容器31内に供給された処理用ガスで載置台32上のウエハWの改質後のLow−k膜102の残膜102Aを揮発可能な化学成分に変換するCOR処理を行う。COR処理は、処理用ガスをプラズマ化せず、処理用ガスと改質後のLow−k膜102の残膜102Aとの化学反応により揮発可能な化学成分に変換して除去することから、プラズマを使わないドライエッチング処理に該当する。   That is, as shown in FIG. 5A, the COR apparatus 30 includes a vacuum processing container 31, a wafer W mounting table 32 disposed in the center of the bottom surface in the processing container 31, and an upper surface of the processing container 31. A gas supply unit 33 provided on the wall, and the residual of the low-k film 102 after the modification of the wafer W on the mounting table 32 by the processing gas supplied from the gas supply unit 33 into the processing container 31. A COR process is performed to convert the membrane 102A into a volatile chemical component. In the COR process, the processing gas is not converted into plasma, but is converted into a volatile chemical component and removed by a chemical reaction between the processing gas and the remaining film 102A of the modified Low-k film 102. This corresponds to the dry etching process that does not use the material.

図5の(a)に示すように、処理容器31の底面には載置台32の外側に配置された排気部31Aが形成され、処理後のガスを排気部31Aから外部へ排出する。載置台32の上面には静電チャック(図示せず)が配置され、その内部には冷媒が循環する冷媒流路32Aが形成されている。また、ガス供給部33の上面にはガス第1、第2導入部33A、33Bが形成され、また、ガス供給部33の下面、即ち処理容器31の上壁には多数の吐出孔33Cが形成され、ガス供給部33は第1、第2ガス導入部33A、33Bから導入された処理用ガスを吐出孔33Cから処理容器31内へ供給する。   As shown in FIG. 5A, an exhaust part 31A disposed outside the mounting table 32 is formed on the bottom surface of the processing container 31, and the processed gas is discharged from the exhaust part 31A to the outside. An electrostatic chuck (not shown) is disposed on the upper surface of the mounting table 32, and a refrigerant flow path 32A through which the refrigerant circulates is formed therein. Further, gas first and second introduction parts 33A and 33B are formed on the upper surface of the gas supply part 33, and a large number of discharge holes 33C are formed on the lower surface of the gas supply part 33, that is, on the upper wall of the processing container 31. The gas supply unit 33 supplies the processing gas introduced from the first and second gas introduction units 33A and 33B into the processing container 31 through the discharge hole 33C.

処理用ガスとしては、同図に示すように、例えばアンモニアガスとフッ化水素ガスの混合ガスが用いることが好ましく、アルゴンガスを添加しても良い。アンモニアガスはフッ化水素ガスより多く設定することが好ましい。例えば、アンモニアガス/フッ化水素ガスは、例えば流量比(sccm)で1/1〜2/1の範囲が好ましい。また、処理容器31内の混合ガスの圧力は、10〜40mTorrの範囲が好ましい。載置台32は、例えば25℃に設定することが好ましい。この混合ガスが用いることによって、これらのガスが下記の化学式で示すようにウエハWの改質後のLow−k膜102の残膜102Aのガラス成分(SiO)と反応するCOR処理を施して、揮発ガス成分や揮発可能な錯体化合物((NHSiF)に変換することができる。
〔COR処理〕
SiO+4HF→SiF+2HO↑
SiF+2NH+2HF→(NHSiF
As the processing gas, as shown in the figure, for example, a mixed gas of ammonia gas and hydrogen fluoride gas is preferably used, and argon gas may be added. It is preferable to set more ammonia gas than hydrogen fluoride gas. For example, the ammonia gas / hydrogen fluoride gas preferably has a flow rate ratio (sccm) in the range of 1/1 to 2/1. Further, the pressure of the mixed gas in the processing container 31 is preferably in the range of 10 to 40 mTorr. The mounting table 32 is preferably set to 25 ° C., for example. By using this mixed gas, these gases are subjected to a COR process that reacts with the glass component (SiO 2 ) of the remaining film 102A of the low-k film 102 after the modification of the wafer W as shown by the following chemical formula. , And can be converted into a volatile gas component or a volatilizable complex compound ((NH 4 ) 2 SiF 6 ).
[COR treatment]
SiO 2 + 4HF → SiF 4 + 2H 2 O ↑
SiF 4 + 2NH 3 + 2HF → (NH 4 ) 2 SiF 6

また、PHT装置40は、図5の(b)に示すように処理容器41及びヒータ42Aを内蔵する載置台42を備え、COR処理後のウエハWを加熱してPHT処理を施して、上記の化学式で示すように揮発可能なガス成分を完全に揮発させ、錯体化合物を熱分解してウエハWから除去する。また、処理容器41の底面には載置台42の外側に配置された排気部41Aが形成され、図示しないガス供給部から所定のガス(例えば、窒素ガス等の不活性ガス)を供給して、揮発したガス成分を排気するようにしてある。   Further, as shown in FIG. 5B, the PHT apparatus 40 includes a mounting table 42 including a processing container 41 and a heater 42A, and heats the wafer W after the COR processing to perform the PHT processing. As shown by the chemical formula, the vaporizable gas component is completely volatilized, and the complex compound is thermally decomposed and removed from the wafer W. Further, an exhaust part 41A disposed outside the mounting table 42 is formed on the bottom surface of the processing container 41, and a predetermined gas (for example, an inert gas such as nitrogen gas) is supplied from a gas supply part (not shown), The volatilized gas component is exhausted.

ウエハWは、例えば80〜200℃の範囲で加熱することが好ましい。また、ウエハWの処理時間は、例えば60〜180秒の範囲が好ましい。また、処理容器41内のガス圧力は、例えば500mTorr〜1Torrの範囲が好ましく、窒素ガス等の不活性ガスの流量は、例えば500〜3000sccmの範囲が好ましい。尚、PHT処理では下記の揮発成分以外にも、同図に示すようにNやHも若干揮発する。
〔PHT処理〕
(NHSiF→SiF↑+2NH↑+2NF↑
The wafer W is preferably heated in the range of 80 to 200 ° C., for example. Further, the processing time of the wafer W is preferably in the range of 60 to 180 seconds, for example. Further, the gas pressure in the processing container 41 is preferably in the range of 500 mTorr to 1 Torr, for example, and the flow rate of the inert gas such as nitrogen gas is preferably in the range of 500 to 3000 sccm, for example. In the PHT process, in addition to the following volatile components, N 2 and H 2 are also slightly volatilized as shown in FIG.
[PHT treatment]
(NH 4 ) 2 SiF 6 → SiF 4 ↑ + 2NH 3 ↑ + 2NF ↑

以上説明したように本実施形態によれば、改質後のLow−k膜102の残膜102Aを除去する際にCOR処理及びPHT処理を連続して施すため、改質後のLow−k膜102の残膜102Aを揮発可能な化学成分に変換して確実に除去し、改質後のLow−k膜102のみを確実に除去して、下地層101の表面を傷つけることなく露呈させることができる。   As described above, according to the present embodiment, since the COR process and the PHT process are continuously performed when the remaining film 102A of the modified Low-k film 102 is removed, the modified Low-k film The remaining film 102A of 102 is converted into a volatilizable chemical component to be surely removed, and only the modified low-k film 102 is reliably removed to expose the surface of the base layer 101 without damaging it. it can.

尚、本発明は上記実施形態に何等制限されるものではない。上記実施形態ではLow−k膜102上にハードマスク103、反射防止膜104及びレジスト膜105が積層された場合について説明したが、反射防止膜103及びレジスト膜105を除去し、ハードマスク103をマスクとしてエッチングする場合にも本発明を適用することができる。要は、絶縁膜をエッチングする際に、絶縁膜を下地層の直前までエッチングして開口部を形成し、その開口部の底面に残された残膜部分をエッチングガスとは別のガスから発生させたプラズマを照射して残膜部分の膜質を改質した後、薬液によって改質部分を除去する方法であれば、本発明に包含される。また、ウエットエッチングに代えて、プラズマを使わないドライエッチング方法、例えばCOR法を用いて改質後の残膜部分を除去する方法も本発明に包含される。   In addition, this invention is not restrict | limited to the said embodiment at all. In the above embodiment, the case where the hard mask 103, the antireflection film 104, and the resist film 105 are stacked on the low-k film 102 has been described. However, the antireflection film 103 and the resist film 105 are removed, and the hard mask 103 is masked. The present invention can also be applied to etching. In short, when etching an insulating film, the insulating film is etched to just before the base layer to form an opening, and the remaining film remaining on the bottom of the opening is generated from a gas other than the etching gas. Any method that removes the modified portion with a chemical solution after modifying the film quality of the remaining film portion by irradiating the applied plasma is included in the present invention. Further, instead of wet etching, a dry etching method that does not use plasma, for example, a method of removing a modified remaining film portion using a COR method is also included in the present invention.

本発明は、絶縁膜をエッチングして開口部を形成する場合のエッチング方法として好適に利用することができる。   The present invention can be suitably used as an etching method when an opening is formed by etching an insulating film.

本発明のエッチング方法に用いられるプラズマ処理装置の一例を示す構成図である。It is a block diagram which shows an example of the plasma processing apparatus used for the etching method of this invention. エッチング後のLow−k膜の残膜部分の除去する工程に用いられる薬液処理装置の一例を示す断面図である。It is sectional drawing which shows an example of the chemical | medical solution processing apparatus used for the process of removing the residual film part of the Low-k film | membrane after an etching. (a)、(b)はそれぞれ図1に示すプラズマ処理装置を用いてLow−k膜をエッチングする時の工程を示すウエハの要部の断面図である。(A), (b) is sectional drawing of the principal part of a wafer which shows the process at the time of etching a Low-k film | membrane using the plasma processing apparatus shown in FIG. 1, respectively. (a)〜(c)はそれぞれ図1に示すプラズマ処理装置を用いてLow−k膜をエッチングし、Low−k膜の残膜部分の膜質を改質した後、図3に示す薬液処理装置を用いて改質後の欠陥層を除去する工程を示すウエハの要部の断面図である。(A) to (c) respectively etch the low-k film using the plasma processing apparatus shown in FIG. 1 and modify the film quality of the remaining film portion of the low-k film, and then the chemical processing apparatus shown in FIG. It is sectional drawing of the principal part of a wafer which shows the process of removing the defect layer after a modification | reformation using FIG. (a)、(b)はそれぞれ図3の(c)に示す改質後のLow−k膜の残膜部分を除去する工程に用いられる薬液処理装置以外の処理装置の一例を示す断面図である。(A), (b) is sectional drawing which shows an example of processing apparatuses other than the chemical | medical solution processing apparatus used for the process of removing the residual film part of the low-k film | membrane after a modification | reformation shown in (c) of FIG. 3, respectively. is there. (a)〜(c)は従来のエッチング方法でLow−k膜をエッチングする時の工程を示すウエハの要部の断面図である。(A)-(c) is sectional drawing of the principal part of a wafer which shows the process at the time of etching a Low-k film | membrane with the conventional etching method.

符号の説明Explanation of symbols

101 下地層
102 Low−k膜(絶縁膜)
102A 残膜
106A 欠陥層
105 レジスト膜(マスク)
101 Underlayer 102 Low-k film (insulating film)
102A Residual film 106A Defect layer 105 Resist film (mask)

Claims (10)

所定のパターンで形成されたマスクを介してプラズマを照射してSiCOH系低誘電性絶縁膜をエッチングする方法において、下地層が露出する直前まで上記絶縁膜をエッチングする第1の工程と、上記絶縁膜の残膜に上記エッチング処理とは別のプラズマを照射して上記残膜の膜質を変化させる第2の工程と、膜質が変化した上記絶縁膜を薬液により除去する第3の工程と、を備えたことを特徴とするエッチング方法。 In a method of etching a SiCOH-based low dielectric insulating film by irradiating plasma through a mask formed with a predetermined pattern, a first step of etching the insulating film until just before the underlying layer is exposed, and the insulating A second step of changing the film quality of the remaining film by irradiating the residual film of the film with plasma different from the etching treatment, and a third step of removing the insulating film having the changed film quality with a chemical solution. An etching method characterized by comprising: 所定のパターンで形成されたマスクを介してプラズマを照射してSiCOH系低誘電性絶縁膜をエッチングする方法において、下地層が露出する直前まで上記絶縁膜をエッチングする第1の工程と、上記絶縁膜の残膜に上記エッチング処理とは別のプラズマを照射して上記残膜の膜質を変化させる第2の工程と、膜質が変化した上記絶縁膜を、プラズマを使わないドライエッチングにより除去する第3の工程と、を備えたことを特徴とするエッチング方法。 In a method of etching a SiCOH-based low dielectric insulating film by irradiating plasma through a mask formed with a predetermined pattern, a first step of etching the insulating film until just before the underlying layer is exposed, and the insulating A second step of changing the film quality of the remaining film by irradiating the remaining film of the plasma with plasma different from the etching process, and a step of removing the insulating film having the changed film quality by dry etching without using plasma. And an etching method comprising the steps of: 上記マスクは、ハードマスクを含むことを特徴とする請求項1または請求項2に記載のエッチング方法。 The mask is an etching method according to claim 1 or claim 2, characterized in that it comprises a hard mask. 上記第1の工程では、フルオロカーボンガスを用いることを特徴とする請求項1〜請求項3のいずれか1項に記載のエッチング方法。 The etching method according to any one of claims 1 to 3 , wherein a fluorocarbon gas is used in the first step. 上記第2の工程では、SiCOH系低誘電性絶縁膜から主としてメチル基を除去することを特徴とする請求項1請求項4のいずれか1項に記載のエッチング方法。 In the second step, the etching method according to any one of claims 1 to 4, characterized in that removing mainly methyl group from SiCOH-based low-dielectric insulating film. 上記第2の工程で用いられるエッチングガスは、少なくともHガスまたはOガスを含むことを特徴とする請求項1〜請求項5のいずれか1項に記載のエッチング方法。 The etching method according to claim 1 , wherein the etching gas used in the second step includes at least H 2 gas or O 2 gas. 上記薬液は、フッ酸、フッ化アンモニウム及び水酸化テトラメチルアンモニウムのうちの少なくとも一種を含むことを特徴とする請求項1及び請求項3〜請求項6のいずれか1項に記載のエッチング方法。 The chemical solution, hydrofluoric acid, an etching method according to any one of claims 1 and claims 3 to 6, characterized in that it comprises at least one of ammonium fluoride and tetramethylammonium hydroxide. 上記プラズマを使わないドライエッチングは、ケミカルオキサイドリムーバル法であることを特徴とする請求項2〜請求項6のいずれか1項に記載のエッチング方法。 The etching method according to any one of claims 2 to 6 , wherein the dry etching without using the plasma is a chemical oxide removal method. 上記下地層は、SiCまたはSiCNであることを特徴とする請求項1〜請求項8のいずれか1項に記載のエッチング方法。 The etching method according to claim 1 , wherein the underlayer is made of SiC or SiCN. SiCOH系低誘電性絶縁膜をエッチングする方法において、フルオロカーボンガスプラズマにより、下地層が露出せず且つ上記SiCOH系低誘電性絶縁膜の残膜の厚みが100nm以下となるようにエッチングを行う第1の工程と、HガスまたはOガスを含むプラズマを残余のSiCOH系低誘電性絶縁膜に照射し、その膜中からメチル基を除去する第2の工程と、メチル基が除去された残膜を、フッ酸、フッ化アンモニウム及び水酸化テトラメチルアンモニウムのうちの少なくとも一種を含む溶液により除去する第3の工程と、を備えたことを特徴とするエッチング方法。 In the method of etching a SiCOH-based low dielectric insulating film, first etching is performed by fluorocarbon gas plasma so that the underlying layer is not exposed and the remaining film thickness of the SiCOH-based low dielectric insulating film is 100 nm or less. A second step of irradiating the remaining SiCOH-based low dielectric insulating film with a plasma containing H 2 gas or O 2 gas to remove methyl groups from the film, and a residue from which methyl groups have been removed. And a third step of removing the film with a solution containing at least one of hydrofluoric acid, ammonium fluoride, and tetramethylammonium hydroxide.
JP2005102420A 2005-03-31 2005-03-31 Etching method Expired - Fee Related JP4515309B2 (en)

Priority Applications (2)

Application Number Priority Date Filing Date Title
JP2005102420A JP4515309B2 (en) 2005-03-31 2005-03-31 Etching method
US11/393,915 US7402523B2 (en) 2005-03-31 2006-03-31 Etching method

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2005102420A JP4515309B2 (en) 2005-03-31 2005-03-31 Etching method

Publications (2)

Publication Number Publication Date
JP2006286775A JP2006286775A (en) 2006-10-19
JP4515309B2 true JP4515309B2 (en) 2010-07-28

Family

ID=37408377

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2005102420A Expired - Fee Related JP4515309B2 (en) 2005-03-31 2005-03-31 Etching method

Country Status (1)

Country Link
JP (1) JP4515309B2 (en)

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7795148B2 (en) * 2006-03-28 2010-09-14 Tokyo Electron Limited Method for removing damaged dielectric material
US8802572B2 (en) * 2012-07-10 2014-08-12 Applied Materials, Inc. Method of patterning a low-k dielectric film
KR20230040358A (en) * 2020-07-20 2023-03-22 도쿄엘렉트론가부시키가이샤 Etching method and etching apparatus

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001210627A (en) * 1999-11-16 2001-08-03 Matsushita Electric Ind Co Ltd Etching method, semiconductor device and manufacturing method therefor
JP2003092287A (en) * 2001-09-19 2003-03-28 Nec Corp Ashing method
JP2003303808A (en) * 2002-04-08 2003-10-24 Nec Electronics Corp Method for manufacturing semiconductor device
JP2004023031A (en) * 2002-06-20 2004-01-22 Matsushita Electric Ind Co Ltd Semiconductor device and method of manufacturing the same
JP2004503088A (en) * 2000-06-30 2004-01-29 ラム リサーチ コーポレーション Method for etching dual damascene structures in organosilicate glass
JP2004087875A (en) * 2002-08-28 2004-03-18 Tokyo Electron Ltd Etching method of insulating film
JP2006523379A (en) * 2003-03-17 2006-10-12 東京エレクトロン株式会社 Processing system and method for processing substrates

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001210627A (en) * 1999-11-16 2001-08-03 Matsushita Electric Ind Co Ltd Etching method, semiconductor device and manufacturing method therefor
JP2004503088A (en) * 2000-06-30 2004-01-29 ラム リサーチ コーポレーション Method for etching dual damascene structures in organosilicate glass
JP2003092287A (en) * 2001-09-19 2003-03-28 Nec Corp Ashing method
JP2003303808A (en) * 2002-04-08 2003-10-24 Nec Electronics Corp Method for manufacturing semiconductor device
JP2004023031A (en) * 2002-06-20 2004-01-22 Matsushita Electric Ind Co Ltd Semiconductor device and method of manufacturing the same
JP2004087875A (en) * 2002-08-28 2004-03-18 Tokyo Electron Ltd Etching method of insulating film
JP2006523379A (en) * 2003-03-17 2006-10-12 東京エレクトロン株式会社 Processing system and method for processing substrates

Also Published As

Publication number Publication date
JP2006286775A (en) 2006-10-19

Similar Documents

Publication Publication Date Title
US7402523B2 (en) Etching method
KR101036087B1 (en) Plasma dielectric etch process including ex-situ backside polymer removal for low-dielectric constant material
US9299577B2 (en) Methods for etching a dielectric barrier layer in a dual damascene structure
US7344993B2 (en) Low-pressure removal of photoresist and etch residue
KR101019931B1 (en) Plasma dielectric etch process including in-situ backside polymer removal for low dielectric constant material
US8383519B2 (en) Etching method and recording medium
US7244313B1 (en) Plasma etch and photoresist strip process with intervening chamber de-fluorination and wafer de-fluorination steps
TW200945494A (en) Manufacturing method of semiconductor device
JP4999419B2 (en) Substrate processing method, substrate processing system, and computer-readable storage medium
TW201517123A (en) Formation method for micropattern, manufacturing method for semiconductor device, substrate processing device, and recording medium
JP2002025979A (en) Method of manufacturing semiconductor integrated circuit device
JP5181085B2 (en) Processing apparatus and processing method
KR100870997B1 (en) Method for recovering damage of insulating film with low dielectric constant, semiconductor manufacturing apparatus, and storage medium
JP2006528418A (en) Method for removing photoresist from a substrate
JP4924245B2 (en) Semiconductor manufacturing apparatus, semiconductor device manufacturing method, and storage medium
TWI485771B (en) Semiconductor processing methods
JP4515309B2 (en) Etching method
JP4509842B2 (en) Etching method, etching apparatus, computer program, and computer storage medium
US20130330920A1 (en) Method and apparatus for substrate preclean with hydrogen containing high frequency rf plasma
US7387743B2 (en) Etching method and apparatus, computer program and computer readable storage medium
KR20060133606A (en) Method of cleaning contact hole and method of manufacturing semiconductor device using the same
US20120234491A1 (en) Plasma processing method and plasma processing apparatus
JP2000012521A (en) Plasma ashing method
US20070077772A1 (en) Apparatus and method for manufacturing semiconductor device using plasma
JPH05267247A (en) Dry etching method

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20080328

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20100312

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20100330

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20100415

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20100511

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20100512

R150 Certificate of patent or registration of utility model

Ref document number: 4515309

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130521

Year of fee payment: 3

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

LAPS Cancellation because of no payment of annual fees