JP4346208B2 - Temperature measuring method, heat treatment apparatus and method, and computer-readable medium - Google Patents

Temperature measuring method, heat treatment apparatus and method, and computer-readable medium Download PDF

Info

Publication number
JP4346208B2
JP4346208B2 JP2000121662A JP2000121662A JP4346208B2 JP 4346208 B2 JP4346208 B2 JP 4346208B2 JP 2000121662 A JP2000121662 A JP 2000121662A JP 2000121662 A JP2000121662 A JP 2000121662A JP 4346208 B2 JP4346208 B2 JP 4346208B2
Authority
JP
Japan
Prior art keywords
temperature
radiation
processed
measured
correction coefficient
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP2000121662A
Other languages
Japanese (ja)
Other versions
JP2001304971A (en
Inventor
昌幸 北村
英介 森崎
雲 莫
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Priority to JP2000121662A priority Critical patent/JP4346208B2/en
Priority to DE10119047A priority patent/DE10119047B4/en
Priority to US09/838,566 priority patent/US6630991B2/en
Publication of JP2001304971A publication Critical patent/JP2001304971A/en
Application granted granted Critical
Publication of JP4346208B2 publication Critical patent/JP4346208B2/en
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Description

【0001】
【発明の属する技術分野】
本発明は、単結晶基板、ガラス基板などの被処理体を加熱処理する熱処理装置及び方法、その被処理体の温度を測定する方法、並びに、温度測定方法をプログラムとして格納するコンピュータ可読媒体に関する。本発明は、例えば、メモリやICなどの半導体装置の製造に適した急速熱処理(RTP:Rapid Thermal Processing)装置に好適である。ここで、RTPは、急速熱アニーリング(RTA)、急速熱化学気相成長(RTCVD)、急速熱酸化(RTO)、及び急速熱窒化(RTN)などを含む技術である。
【0002】
【従来の技術】
一般に、半導体集積回路を製造するためには、半導体ウェハ等のシリコン基板に対して成膜処理、アニール処理、酸化拡散処理、スパッタ処理、エッチング処理、窒化処理等の各種の熱処理が複数回に亘って繰り返される。
【0003】
半導体製造処理の歩留まりと品質を向上させるため等の目的から急速に被処理体の温度を上昇及び下降させるRTP技術が注目されている。従来のRTP装置は、典型的に、被処理体(例えば、半導体ウェハ、フォトマスク用ガラス基板、液晶表示用ガラス基板、光ディスク用基板)を収納する枚葉式チャンバ(処理室)と、処理室に配置された石英ウインドウと、石英ウインドウの外部上部又は上下部に配置された加熱用ランプ(例えば、ハロゲンランプ)と、ランプの被処理体とは反対側に配置されたリフレクタ(反射板)とを有している。
【0004】
石英ウインドウは、板状に構成されたり、被処理体を内部に収納可能な管状に構成されたりする。処理室が真空ポンプにより排気されて内部が減圧環境に維持される場合には、石英ウインドウは数10mm(例えば、30乃至40mm)の肉厚を有して減圧と大気との差圧を維持する。石英ウインドウは、温度が上昇すると熱応力により処理空間に向かって湾曲する傾向があるので予め処理空間から離れるように湾曲状に加工される場合もある。
【0005】
ハロゲンランプは、被処理体を均一に加熱するために複数個配列され、リフレクタによって、ハロゲンランプからの赤外線を一様に被処理体に向かって放射する。処理室は、典型的に、その側壁において被処理体を導出入するゲートバルブに接続され、また、その側壁又はその石英管において熱処理に使用される処理ガスを導入するガス供給ノズルと接続される。
【0006】
被処理体の温度は処理の品質(例えば、成膜処理における膜厚など)に影響を与えるために正確に把握される必要があり、高速昇温及び高速冷却を達成するために被処理体の温度を測定する温度測定装置が処理室に設けられる。温度測定装置は熱電対によって構成されてもよいが、被処理体と接触させねばならないことから被処理体が熱電対を構成する金属によって汚染されるおそれがある。そこで、被処理体の裏面から放射される赤外線強度を検出し、その放射強度を以下の数式1に示す式に則って被処理体の放射率εを求めて温度換算することによって被処理体の温度を算出するパイロメータが温度測定装置として従来から提案されている。パイロメータは、例えば、特許公開公報平成11年258051号に開示されている。
【0007】
【数1】

Figure 0004346208
【0008】
ここで、EBB(T)は温度Tの黒体からの放射強度、Em(T)は温度Tの被処理体から測定された放射強度、εは被処理体の放射率である。
【0009】
動作においては、被処理体はゲートバルブから処理室に導入されて、ホルダーにその周辺が支持される。熱処理時には、ガス供給ノズルより、窒素ガスや酸素ガス等の処理ガスが導入さる。一方、ハロゲンランプから照射される赤外線は被処理体に吸収されて被処理体の温度は上昇する。ハロゲンランプの出力は温度測定装置の測定結果に基づいてフィードバック制御される。
【0010】
【発明が解決しようとする課題】
しかし、数式1によって求まる従来の被処理体の温度測定方法は実際の被処理体の温度と比較して約20〜40℃の誤差を含み、高品質の熱処理を行えないという問題があった。本発明者らは、この原因を鋭意検討した結果、数式1を実際の被処理体の温度測定に適用する場合には幾つかの誤差を考慮しなければならず、これらの誤差には(1)被処理体からの放射光が被処理体と対向する面で多重反射した光、(2)加熱源からの放射光、(3)放射光の伝送媒体の端面部で反射が起こることによる伝送損失、(4)放射光の伝送媒体の吸収損失が含まれることを発見した。(1)と(2)の光は迷光と呼ばれる場合もあるが、特に、熱効率を高めるため被処理体周辺の部材の反射率を大きくしている枚葉式処理室では迷光による測定誤差の影響が大きい。
【0011】
そこで、このような課題を解決する新規かつ有用な温度測定方法、熱処理装置及び方法、並びに、コンピュータ可読媒体を提供することを本発明の概括的目的とする。
【0012】
より特定的には、被処理体の温度を高精度に測定することができる温度測定方法、熱処理装置及び方法、並びに、コンピュータ可読媒体を提供することを本発明の例示的目的とする。
【0013】
【課題を解決するための手段】
上記目的を達成するために、本発明の例示的一態様としての温度測定方法は、多重反射環境において熱源から加熱される被測定体の温度Tを放射温度計を用いて測定する温度測定方法であって、EBB(T)を温度Tの黒体からの放射強度、Em(T)を温度Tの被測定体からの測定された放射強度、εを前記被測定体の放射率、αを多重反射に関する補正係数、βを前記被測定体からの放射光の前記放射温度計による反射損失に関する補正係数、Sを前記熱源からの放射光の漏れ分に関する補正係数、Gを前記被測定体からの放射光の前記放射温度計による吸収損失に関する補正係数とする場合に、少なくともα=β=S=0かつG=1ではない式Em(T)=G〔ε/{1−α(1−ε)}−β〕{EBB(T)+S}を利用して前記温度Tを算出することを特徴とする。かかる温度測定方法は、上述した数式1をα、β、S及びGの少なくとも一つによって補正しているので被測定体の温度をより高精度に測定することができる。かかる温度測定方法を温度測定プログラムの一部として格納しているコンピュータ可読媒体も独立の取引対象である。
【0014】
本発明の例示的一態様としての熱処理装置は、被処理体に所定の熱処理を行う処理室と、前記被処理体を加熱する熱源と、前記処理室に接続されて前記被処理体の温度を測定する放射温度計と、前記放射温度計により測定された前記被処理体の温度から前記熱源の加熱力を制御する制御部とを有し、前記放射温度計は、スリットと高反射率面と低反射率面とを有して回転可能なチョッパと、前記チョッパと前記被処理体との間に配置され、前記被処理体からの熱放射光を透過して前記被処理体と前記チョッパとの間の前記熱放射光の多重反射を可能にするロッドと、前記チョッパの前記スリットを通過する前記熱放射光を検出する検出器とを有して上述の式を上述の条件で利用する。かかる熱処理装置も、上述の温度測定方法と同様の作用を奏することができる。
【0015】
本発明の例示的一態様としての熱処理方法は、減圧環境で被処理体を熱源により加熱する工程と、前記被処理体の温度を前記放射温度計により上述の式を上述の条件で利用して測定する工程と、前記放射温度計により測定された前記被処理体の温度から前記熱源の加熱力を制御する工程とを有する。かかる熱処理方法も、上述の温度測定方法と同様の作用を奏することができる。
【0016】
本発明の他の目的及び更なる特徴は以下添付図面を参照して説明される好ましい実施例によって明らかにされるであろう。
【0017】
【発明の実施の形態】
以下、添付図面を参照して、本発明の例示的な熱処理装置100について説明する。なお、各図において同一の参照符号は同一部材を表している。ここで、図1は、本発明の例示的一態様としての熱処理装置100の概略断面図である。図1に示すように、熱処理装置100は、処理室(プロセスチャンバー)110と、石英ウインドウ120と、加熱用ランプ130と、リフレクタ140と、サポートリング150と、ベアリング160と、永久磁石170と、ガス導入部180と、排気部190と、放射温度計200と、制御部300とを有する。
【0018】
処理室110は、例えば、ステンレススチールやアルミニウム等により成形され、石英ウインドウ120と接続している。処理室110は、その円筒形の側壁112と石英ウインドウ120とにより被処理体Wに熱処理を施すための処理空間を画定している。処理空間には、半導体ウェハなどの被処理体Wを載置するサポートリング150と、サポートリング150に接続された支持部152が配置されている。これらの部材は被処理体Wの回転機構において説明する。また、側壁112には、ガス導入部180及び排気部190が接続されている。処理空間は排気部190によって所定の減圧環境に維持される。被処理体Wを導入及び導出するためのゲートバルブは図1においては省略されている。
【0019】
処理室110の底部114は冷却管116a及び116b(以下、単に「116」という。)に接続されており冷却プレートとして機能する。必要があれば、冷却プレート114は温度制御機能を有してもよい。温度制御機構は、例えば、制御部300と、温度センサと、ヒータとを有し、水道などの水源から冷却水を供給される。冷却水の代わりに他の種類の冷媒(アルコール、ガルデン(登録商標)、フロン等)を使用してもよい。温度センサは、PTCサーミスタ、赤外線センサ、熱電対など周知のセンサを使用することができる。ヒータは、例えば、冷却管116の周りに巻かれたヒータ線などとしてから構成される。ヒータ線に流れる電流の大きさを制御することによって冷却管116を流れる水温を調節することができる。
【0020】
石英ウインドウ120は処理室110に気密的に取り付けられて、処理室110内の減圧環境と大気との差圧を維持すると共にランプ130からの熱放射光を透過する。図2及び図3に示すように、半径約400mm、厚さ約2乃至6mmの円筒形石英プレート121と梁122とを有する。ここで、図2は石英ウインドウ120の平面図である。図3は図1に示す石英ウインドウ120、ランプ130及びリフレクタ140の一部拡大断面図である。
【0021】
梁122は、石英ウインドウ120の円周方向の強度を高める梁部分124と、石英ウインドウ120の半径方向の強度を高める梁部分126と、石英ウインドウ120とランプ130の封止部136を冷却するための空気の流路128とを有する。梁122の各部分124及び126は厚さは10mm以下、好ましくは約2乃至6mmであり、高さ約10mm以上を有する。梁122は本実施例ではランプ130に対向しているが、本発明は被処理体Wに梁122が対向している配置や、梁122がプレート121の上下に配置されている構造も含むものである。後者の場合には、上述の各部分124及び126の寸法は異なる場合があることはいうまでもない。
【0022】
石英プレート121は梁122によって熱変形に対する強度が向上しているために、従来のように、処理室110から離れる方向に湾曲する必要がなく、平面形状を有する。この結果、石英プレート121は従来よりも加工が容易である。本実施例は石英プレート121と梁122は溶接によって接合しているが、一体的に形成する方法を排除するものではない。
【0023】
また、上述したように、石英ウインドウ120のプレート121と梁122の厚さは10mm以下、好ましくは約2乃至6mmであり、従来の石英ウインドウの厚さである数10mm(例えば、30乃至40mm)に比べて小さい。この結果、本実施例の石英ウインドウ120は、従来の石英ウインドウよりもランプ130からの光の吸収量が小さい。この結果、石英ウインドウ120は以下の長所を有する。即ち、第1に、ランプ130からの被処理体Wへの照射効率を従来よりも向上することができるので高速昇温を低消費電力で達成することができる。第2に、プレート121の表裏面での温度差(即ち、熱応力差)を従来よりも低く維持することができるために破壊しにくい。これは梁122についても同様である。第3に、石英ウインドウ120の温度上昇は従来の石英ウインドウよりも低いために成膜処理の場合にその表面に堆積膜や反応副生成物が付着することを防止することができ、温度再現性を確保することができると共に処理室110のクリーニングの頻度を減少することができる。
【0024】
ランプ130は、本実施例ではダブルエンド型であるが後述するようにシングルエンド型でもよく、また、電熱線ヒータ等その他の熱源を使用してもよい。ここで、ダブルエンド型とは、図4に示すランプ130のように、2つの電極部132を有する種類のランプをいう。シングルエンド型とは、電球のように一の端部のみを有するランプをいう。ランプ130は被処理体Wを加熱する熱源として機能し、本実施例ではハロゲンランプであるがこれに限定されるものではない。ランプ130の出力はランプドライバ310によって決定されるが、ランプドライバ310は後述するように制御部300により制御され、それに応じた電力をランプ130に供給する。
【0025】
図4に示すように、ランプ130は2つの電極部132とランプハウス134とを含み、ランプハウス134は2つの電極部132を接続するフィラメント135を有する。ここで、図4はランプ130の概略斜視図である。電極部132へ供給される電力はランプドライバ310によって決定され、ランプドライバ310は制御部300によって制御される。電極部132とランプドライバ310との間は後述する封止部136によって封止されている。
【0026】
図4に示すように、ランプハウス134は垂直部134aと垂直部134aから90度曲げられた円弧状の水平部134bとを有する。水平部134bの長さは図2における隣接する同心円弧を形成する梁部分126(例えば、126aと126bなど)の間に配置される。もっとも、ランプ130は、必ずしも、隣接する梁部分126の間を完全に埋める必要はなく、所定の開き角で配置されてもよい。例えば、図2において、梁部分126aと126bとの間と梁部分126cと126dとの間にはランプ130が配置されるが、梁部分126bと126cとの間と梁部分126dと126aとの間にはランプ130を配置しない等である。
【0027】
このように、本実施例では、ランプ130は、ほぼ円形の被処理体Wに対応させてほぼ同心円状に配置されている。各ランプ130は、石英ウインドウ120の中心から周方向に沿って見れば同一の屈曲半径を有する複数の円弧形状の筒型ランプと、石英ウインドウ120の中心から半径方向に沿って見れば屈曲半径が異なる複数の円弧形状の筒型ランプとを有している。
【0028】
本発明は熱源に直線筒状のダブルエンド型ランプの使用されることを排除するものではない。その場合には梁122の形状はかかるランプを収納できるように変更されるであろう。但し、本実施例のランプ130はかかる直線筒状ランプよりも優れている。なぜなら、直線筒状ランプは一のランプがカバーするウェハ面積が広く、かつ、被処理体Wを横切るように配置されることから一般に指向性が悪く、被処理体Wの領域毎の温度制御が困難である。これに対して、本実施例のランプ130はほぼ同心円状に配置されているので被処理体Wの領域毎の温度制御が容易であり、放射熱の指向性が大きく、被処理体Wへの直接放射を効率的に行うことができる。
【0029】
リフレクタ140はランプ130の熱放射光を反射する機能を有する。リフレクタ140は略円筒形状を有して概略的にはその断面は図1に示すように直方体形状を有するが、より詳細には、図3に示すように、ランプ130の垂直部132が配置される複数の垂直貫通孔142と、ランプ130の水平部134bが配置される図3に示す同心円状の複数の水平溝144とを有する。リフレクタ140の上部には、その内部又は外部に冷却管が配設されているが図1においては図示が省略されている。また、図3に示すように、リフレクタ140は、隣接する水平溝144の間に梁122に対向する水平部145を有する。
【0030】
リフレクタ140は、水平部145に梁122を収納するスリット146を有する図6に示すリフレクタ140Aに置換されてもよい。ここで、図6はリフレクタ140Aの構造を説明するための概略部分拡大断面図である。図3に示すリフレクタ140の形状では図5に示すようにプレート121を透過する光路1よりも梁122を透過する光路2の方が長いことから梁122におけるランプ130からの光の吸収量がプレート121のそれよりも大きくなる。ここで、図5は、図3に示すリフレクタ140の形状で石英ウインドウ120にランプ130から入射する光の影響を説明するための概略断面図である。この結果、石英プレート121と梁122との間での温度差が生じて熱応力から梁122とプレート121との接合部123でクラックが発生する可能性がある。かかる欠点は梁122の厚さを調節するなどして解決することができるが、代替的に図6に示すリフレクタ140Aを使用して解決してもよい。
【0031】
図6に示すように、リフレクタ140Aは、溝144よりも高い溝144Aと、水平部145に梁122を収納するスリット146とを有する点でリフレクタ140と相違する。リフレクタ140Aによれば、スリット146内に石英ウインドウ120の梁122を挿入することによってランプ130からの光が梁122に直接照射されることを回避することができる。また、本構造は熱応力及び処理室110内の減圧環境と大気圧との差圧によって発生する面圧を、図7に示すように、石英ウインドウ120が受けて撓んで降伏応力により破壊すること防ぐ効果も有する。ここで、図7は、リフレクタ140Aの効果を説明する概略部分拡大断面図である。なお、本発明はリフレクタが梁122と係合する突出部を有して石英ウインドウ120の強度を高める場合も含むものである。
【0032】
図8及び図9を参照して、石英ウインドウ120の流路128と封止部136との関係について説明する。ここで、図8は、リフレクタ140Aに梁122が挿入された石英ウインドウ120の流路128と封止部136との関係を説明するための概略平面図であり、図9はその部分拡大断面図である。冷却エアは図8及び図9に示すように流路128を流れる。図8において丸部は封止部136の位置を表している。ランプ130への給電はリフレクタ140Aの貫通穴142に配置された電極132とランプハウス134の垂直部134aの封止部136を介して行われる。冷却エアは、貫通穴142を通過して封止部136を効果的に冷却する。なお、冷却エア導入手段は図1においては省略されている。
【0033】
図10及び図11は、ダブルエンド型のランプ130がシングルエンド型ランプ130Aに置換されてリフレクタ140Aに配置された場合の概略部分拡大断面図と概略上面図である。シングルエンド型ランプ130Aは良好な熱放射光の指向性及び制御性を有している。
【0034】
次に、図12及び図13を参照して放射温度計200を説明する。ここで、図12は放射温度計200及びその近傍の処理室110の概略拡大断面図である。図13は、放射温度計200のチョッパ230の概略平面図である。放射温度計200は被処理体Wに関してランプ130と反対側に設けられている。本発明は放射温度計200がランプ130と同一の側に設けられる構造を排除するものではないが、ランプ130の放射光が放射温度計200に入射することを防止することが好ましい。
【0035】
放射温度計200は処理室110の底部114に取り付けられている。底部114の処理室110内部を向く面114aは金メッキなどが施されて反射板(高反射率面)として機能する。これは、面114aを黒色などの低反射率面とすると被処理体Wの熱を吸収してランプ130の照射出力を不経済にも上げなければならなくなるためである。底部114は円筒形状の貫通孔115を有する。放射温度計200は、石英又はサファイア製のロッド210と、ケーシング220と、チョッパ(又はセクタ)230と、モータ240と、レンズ250と、光ファイバ260と、放射検出器270とを有する。
【0036】
本実施例のロッド210は石英又はサファイア製ロッドから構成される。石英やサファイア製は良好な耐熱性と後述するように良好な光学的特性を有するために使用されているが、ロッド210の材料がこれらに限定されないことはいうまでもない。ロッド210が良好な耐熱性を有するためにロッド210を冷却する機構は不要になり、装置100の小型化に資する。
【0037】
必要があれば、ロッド210は処理室110内部に所定距離突出してもよい。ロッド210は、処理室110の底部114に設けられた貫通孔115に挿通されてオーリング190によりシールされている。これにより、処理室110は貫通孔115に拘らずその内部の減圧環境を維持することができる。
【0038】
ロッド210は、その内部に一旦入射した熱放射光を殆ど外に出さずに、かつ、殆ど減衰することなくケーシング220に案内することができるので集光効率に優れている。また、ロッド210はチョッパ230(の高反射率面232)と被処理体Wとの間で放射光の多重反射を可能にする。ロッド210を被処理体Wに近づけることにより被処理体Wの温度を正確に測定することができる。
【0039】
ロッド210は、被処理体Wからケーシング220を離間させることを可能にする。このため、ロッド210は、ケーシング220を冷却する冷却機構を不要にすると共に装置100の小型化に資する。代替的に、ケーシング220の冷却機構が設けられる場合であっても、ロッド210は冷却機構の冷却用電力を最小限することができる。
【0040】
本実施例のロッド210は、石英又はサファイア製ロッドと多芯光ファイバから構成されてもよく、この場合、多芯光ファイバは石英ロッドとチョッパ230との間に配置される。これにより、ロッド210の導光路に可撓性を持たせることができ、放射温度計の配置の自由度を増加させることができる。また、放射温度計200の本体又はケーシング220を被処理体Wからより離間させることができるので被処理体Wからの温度の影響を受けて放射温度計200の各部が変形する等の弊害を防止してより高い測定精度を維持することができる。
【0041】
ケーシング220は貫通孔115の下方に設けられてほぼ円筒形状を有する。
【0042】
チョッパ230は、ケーシング220の内部において貫通孔115の下方にその一部が位置するように略水平に配置されて円盤形状を有する。チョッパ230はモータ240(のモータ軸に取り付けられた回転軸)とその中心で接続され、モータ240により回転駆動される。チョッパ230は、図13に示すように、その表面が4等分されて2つの高反射率面232と2つの低反射率面234とを有する。面232及び234は交互に配置されて、それぞれスリット231を有する。高反射率面232は、例えば、アルミニウムや金メッキにより形成され、低反射率面234は、例えば、黒色塗装によって形成される。高反射率面232はそのスリット231における測定部232aとスリット231以外の部分における測定部232bとを有する。同様に、低反射率面234はそのスリット231における測定部234aとスリット231以外の部分における測定部234bとを有する。
【0043】
もっとも図13に示すチョッパ230の構造は単なる例示であり、本発明の開示から当業者が想到し得る構造も含む。例えば、チョッパは、スリット231を備えた半円状高反射率面から構成されたり、円板を4等分又は6等分にしてスリット231を備えた高反射率面と切り欠き部とを交互に設けることによって構成されたり、スリット231を高反射率面にのみ設けることによって構成されたりしてもよい。
【0044】
モータ240によりチョッパ230が回転すると、ロッド210の下には高反射率面232と低反射率面234とが交互に現れるようになる。面232がロッド210の下にあるとロッド210を伝播してきた光の大部分は反射して再びロッド210内を伝播して被処理体Wの表面に投光される。一方、面234がロッド210の下にあるとロッド210を伝播してきた光の大部分は吸収されてそこからの反射光はごく微量である。スリット231は被処理体Wからの放射光又は多重反射された光を検出器270に案内する。
【0045】
検出器270は、図示しない結像レンズ、Siホトセル、増幅回路を備え、結像レンズに入射した放射光を電圧、即ち、後述の放射強度E1(T)、E2(T)を表す電気信号に変換して制御部300に送る。制御部300はCPU及びメモリを備えており、後述する放射強度E1(T)、E2(T)を基に被処理体Wの放射率ε及び基板温度Tを算出する。なお、この演算は放射温度計200内の図示しない演算部が行ってもよい。
【0046】
より詳細には、スリット231を通過した光はレンズ250により集光され、光ファイバ260により検出器270に伝達される。高反射率面232と低反射率面234における放射強度(又は輝度)はそれぞれ以下の数式2及び4で示される。
【0047】
【数2】
Figure 0004346208
【0048】
ここで、E1(T)は検出器270によって求められた温度Tにおける高反射率面232の放射強度、Rは高反射率面232の実効反射率、εは被処理体Wの放射率、EBB(T)は温度Tにおける黒体の放射強度である。数式2は、以下の数式3より導かれる。ここで、被処理体Wにおける熱放射の透過がないとしている。
【0049】
【数3】
Figure 0004346208
【0050】
【数4】
Figure 0004346208
【0051】
ここで、E2(T)は検出器270によって求められた温度Tにおける低反射率面234の測定された放射強度である。数式4はプランクの式から導かれる。数式2及び4から、放射率εは以下の数式5で表される。
【0052】
【数5】
Figure 0004346208
【0053】
一般に、黒体から放射される電磁波の放射発散度の分光密度はプランクの式で与えられ、放射温度計200が黒体を計測する場合には計測される特定波長領域の黒体の温度Tと計測される放射強度EBB(T)との関係は放射温度計200の光学系等によって決まる予め求められている定数A、B、Cを用いて次式で表示することができる。
【0054】
【数6】
Figure 0004346208
【0055】
【数7】
Figure 0004346208
【0056】
ここで、C2は、放射の第2定数である。
【0057】
検出器270又は制御部300は、上述の数式5と数式2又は4によって放射強度EBB(T)を求めることができるのでこれを数式7に代入して温度Tを求めることができる。いずれにしろ制御部300は被処理体Wの温度Tを得ることができる。
【0058】
しかし実際には、数式7によって求まる温度は、図14及び図15に示すように、実際の被処理体Wの温度と比較して約20〜40℃の誤差を含み、高品質の熱処理を行いにくい。ここで、図14は、被処理体Wの温度と数式1を適用して被処理体Wの中央を測定した放射温度計200の温度との関係を示すグラフである。図15は、被処理体Wの温度と数式1を適用して被処理体Wの端部を測定した放射温度計200の温度との関係を示すグラフである。
【0059】
本発明者らは、この原因を鋭意検討した結果、上述の数式1を実際の被処理体Wの温度測定に適用する場合には幾つかの誤差を考慮しなければならないことを発見した。また、図16に示すように、誤差は、(1)被処理体Wからの放射光が面114aで多重反射した光J、(2)ランプ130からの放射光K、(3)放射光の210の端面部で反射が起こることによる伝送損失L、(4)放射光の210の吸収損失Mを含む。光J及びKは迷光と呼ばれる場合もあるが、特に、熱効率を高めるため処理室110内の内面及び被処理体W周辺の部材の反射率を大きくしている枚葉式処理室110では迷光による測定誤差の影響が大きい。ここで、図16は、数式1を実際の被処理体Wの温度測定に適用する場合に含まれる誤差を説明するための概略断面図である。
【0060】
そこで、本発明者らは、数式1を以下の数式8のように修正した。
【0061】
【数8】
Figure 0004346208
【0062】
数式8は、(1)の多重反射分をε/{1−α(1−ε)}により補正し、(2)のランプ130からの漏れ分をSにより補正し、(3)のロッドやファイバの端面における反射損失をβにより補正し、(4)の吸収に対する重み付け補正をG(ゲイン)により補正している。もちろんこれらの一又は複数が省略されても数式1よりは実際の被処理体Wの温度に近似する温度を得ることができる。また、これらの数式8又はその補正の一部を表す式を含む温度測定演算プログラムは、フロッピー(登録商標)ディスクその他のコンピュータ可読媒体に格納され、及び/又は、インターネットその他の通信ネットワークを利用してオンライン配信されて独立の取引対象となり得る。
【0063】
図17及び図18に、数式8を用いて測定された温度と実際の被処理体Wの温度とを比較したグラフを示す。より詳細には、図17は、被処理体Wの温度と数式8を適用して被処理体Wの中央を測定した放射温度計200の温度との関係を示すグラフである。図18は、被処理体Wの温度と数式8を適用して被処理体Wの端部を測定した放射温度計200の温度との関係を示すグラフである。図17及び図18に示すように、数式8を適用することによって被処理体Wの温度の測定値と実際の値との差は±3℃未満に維持することができることが理解されるであろう。
【0064】
制御部300は内部にCPU及びメモリを備え、被処理体Wの温度Tを認識してランプドライバ310を制御することによってランプ130の出力をフィードバック制御する。また、制御部300は、後述するように、モータドライバ320に所定のタイミングで駆動信号を送って被処理体Wの回転速度を制御する。
【0065】
ガス導入部180は、例えば、図示しないガス源、流量調節バルブ、マスフローコントローラ、ガス供給ノズル及びこれらを接続するガス供給路を含み、熱処理に使用されるガスを処理室110に導入する。なお、本実施例ではガス導入部180は処理室110の側壁112に設けられて処理室110の側部から導入されているが、その位置は限定されず、例えば、シャワーヘッドとして構成されて処理室110の上部から処理ガスを導入してもよい。
【0066】
アニールであればガス源はN、Arなど、酸化処理であればO、H、HO、NO、窒化処理であればN、NHなど、成膜処理であればNH、SiHClやSiHなどを使用するが、処理ガスはこれらに限定されないことはいうまでもない。マスフローコントローラはガスの流量を制御し、例えば、ブリッジ回路、増幅回路、コンパレータ制御回路、流量調節バルブ等を有し、ガスの流れに伴う上流から下流への熱移動を検出することによって流量測定して流量調節バルブを制御する。ガス供給路は、例えば、シームレスパイプを使用したり、接続部に食い込み継ぎ手やメタルガスケット継ぎ手を使用したりして供給ガスへの配管からの不純物の混入が防止している。また、配管内部の汚れや腐食に起因するダストパーティクルを防止するために配管は耐食性材料から構成されるか、配管内部がPTFE(テフロン(登録商標))、PFA、ポリイミド、PBIその他の絶縁材料により絶縁加工されたり、電解研磨処理がなされたり、更には、ダストパーティクル捕捉フィルタを備えたりしている。
【0067】
排気部190は、本実施例ではガス導入部180と略水平に設けられているが、その位置及び数は限定されない。排気部190には所望の排気ポンプ(ターボ分子ポンプ、スパッターイオンポンプ、ゲッターポンプ、ソープションポンプ、クライオポンプなど)が圧力調整バルブと共に接続される。なお、本実施例では処理室110は減圧環境に維持されるが、本発明は減圧環境を必ずしも必須の構成要素とするものではなく、例えば、133Pa乃至大気圧の範囲で適用可能である。排気部190は、図20乃至図24を参照して後述されるヘリウムガスを次の熱処理前までに排気する機能も有する。
【0068】
図19は、被処理体Wの冷却速度に関するシミュレーションの結果を示すグラフである。図19において、ギャップは被処理体Wと底部114との間隔を意味する。図19に示すグラフから(1)ギャップが小さくなる程冷却速度が上がる、(2)被処理体Wと底部114との間に熱伝導率の高いヘリウムガスを流すことで飛躍的に冷却速度が上がる、ことが理解されるであろう。
【0069】
図1に示すRTP装置100の構成は、被処理体Wの上面をランプ130により加熱して被処理体Wの裏面に冷却プレートとしての底部114を設けている。このため、図1に示す構造は冷却速度は比較的速いが、放熱量が多くなるために急速昇温には比較的大きな電力が必要となる。これに対して冷却管116の冷却水の導入を加熱時に停止する方法も考えられるが歩留まりが下がるために好ましくはない。
【0070】
そこで、図20乃至図22に示すように、冷却プレートしての底部114は被処理体Wに対して可動に構成された底部114Aに置換されてもよい。より好ましくは、放熱効率を高めるために、冷却時に熱伝導率の高いヘリウムガスを被処理体Wと底部114Aとの間に流される。ここで、図20は、被処理体Wに対して可動に構成された冷却プレートしての底部114Aを説明するための概略断面図である。図21は、図20の構造において被処理体Wを加熱する際の被処理体Wと底部114Aとの位置関係を説明するための概略断面図である。図22は、図20の構造において被処理体Wを冷却する際の被処理体Wと底部114Aとの位置関係を説明するための概略断面図である。なお、図20乃至図22においては放射温度計200や冷却管116は省略されている。
【0071】
図20に示すように、処理室110内の減圧環境を維持するベローズなどを有して制御部300により動作制御される昇降機構117により底部114Aは被処理体Wに対して昇降することができる。昇降機構117には当業界で周知のいかなる構造をも適用することができるので、ここでは詳しい説明は省略する。なお、本実施例と異なり、被処理体W又はサポートリング150を可動に構成してもよい。被処理体Wを加熱する際には、図21に示すように、底部114Aを被処理体Wから離間するように下降させると共にヘリウムガスの供給を停止する。このとき、被処理体Wと底部114との距離は、例えば、10mmである。底部114Aと被処理体Wとの間隔が大きいので被処理体Wは底部114Aの影響をあまり受けずに高速昇温が可能となる。図21に示す底部114Aの位置が、例えば、ホームポジションに設定される。
【0072】
被処理体Wを冷却する際には、図22に示すように、底部114Aを被処理体Wに近接するように上昇させると共にヘリウムガスの供給を開始する。底部114Aと被処理体Wとの間隔が狭いので被処理体Wは底部114Aの影響を受け高速冷却が可能となる。このとき、被処理体Wと底部114との距離は、例えば、1mmである。図22のヘリウムガスの導入例を図23に示す。ここで、図23は、図22の実線領域Vの概略拡大断面図である。同図に示すように、底部114には無数の小さな孔115aが設けられてヘリウムガスを案内する。ヘリウムガス供給管に接続されたバルブ400を有するケース410が底部114に接続されている。
【0073】
本実施例は冷却プレート114Aと被処理体Wとの相対的移動について説明したが、本発明は被処理体Wとランプ130との相対的移動にも適用することができる。
【0074】
以下、被処理体Wの回転機構について図1を参照して説明する。集積回路の各素子の電気的特性や製品の歩留まり等を高く維持するためには被処理体Wの表面全体に亘ってより均一に熱処理が行われることが要求される。被処理体W上の温度分布が不均一であれば、例えば、成膜処理における膜厚が不均一になったり、熱応力によりシリコン結晶中に滑りを発生したりするなど、RTP装置100は高品質の熱処理を提供することができない。被処理体W上の不均一な温度分布はランプ130の不均一な照度分布に起因する場合もあるし、ガス導入部180付近において導入される処理ガスが被処理体Wの表面から熱を奪うことに起因する場合もある。回転機構はウェハを回転させて被処理体Wがランプ130により均一に加熱されることを可能にする。
【0075】
被処理体Wの回転機構は、サポートリング150と、リング状の永久磁石170と、リング状のSUSなどの磁性体172と、モータドライバ320と、モータ330とを有する。
【0076】
サポートリング150は、耐熱性に優れたセラミックス、例えば、SiCなどから構成された円形リング形状を有する。サポートリング150は被処理体Wの載置台として機能し、中空円部において断面L字状に周方向に沿ってリング状の切り欠きを有する。かかる切り欠き半径は被処理体Wの半径よりも小さく設計されているのでサポートリング150は切り欠きにおいて被処理体W(の裏面周縁部)を保持することができる。必要があれば、サポートリング150は被処理体Wを固定する静電チャックやクランプ機構などを有してもよい。サポートリング150は、被処理体Wの端部からの放熱による均熱の悪化を防止する。
【0077】
サポートリング150は、その端部において支持部152に接続されている。必要があれば、サポートリング150と支持部152との間には石英ガラスなどの断熱部材が挿入されて、後述する磁性体172などを熱的に保護する。本実施例の支持部152は中空円筒形状の不透明な石英リング部材として構成されている。ベアリング160は支持部152及び処理室110の内壁112に固定されており、処理室110内の減圧環境を維持したまま支持部152の回転を可能にする。支持部152の先端には磁性体172が設けられている。
【0078】
同心円的に配置されたリング状の永久磁石170と磁性体172は磁気結合されており、永久磁石170はモータ330により回転駆動される。モータ330はモータドライバ320により駆動され、モータドライバ320は制御部300によって制御される。
【0079】
この結果、永久磁石170が回転すると磁気結合された磁性体172が支持部152と共に回転し、サポートリング150と被処理体Wが回転する。回転速度は、本実施例では例示的に90RPMであるが、実際には、被処理体Wに均一な温度分布をもたらすように、かつ、処理室110内でのガスの乱流や被処理体W周辺の風切り効果をもたらさないように、被処理体Wの材質や大きさ、処理ガスの種類や温度などに応じて決定されることになるであろう。磁石170と磁性体172は磁気結合されていれば逆でもよいし両方とも磁石でもよい。
【0080】
次に、RTP装置100の動作について説明する。図示しないクラスターツールなどの搬送アームが被処理体Wを図示しないゲートバルブを介して処理室110に搬入する。被処理体Wを支持した搬送アームがサポートリング150の上部に到着すると、図示しないリフタピン昇降系がサポートリング150から(例えば、3本の)図示しないリフタピンを突出させて被処理体Wを支持する。この結果、被処理体Wの支持は、搬送アームからリフタピンに移行するので、搬送アームはゲートバルブより帰還させる。その後、ゲートバルブは閉口される。搬送アームはその後図示しないホームポジションに移動してもよい。
【0081】
一方、リフタピン昇降系は、その後、図示しないリフタピンをサポートリング150の中に戻し、これによって被処理体Wをサポートリング150の所定の位置に配置する。リフタピン昇降系は図示しないベローズを使用することができ、これにより昇降動作中に処理室110の減圧環境を維持すると共に処理室102内の雰囲気が外部に流出するのを防止する。
【0082】
その後、制御部300はランプドライバ310を制御し、ランプ130を駆動するように命令する。これに応答して、ランプドライバ310はランプ300を駆動し、ランプ130は被処理体Wを、例えば、約800℃まで加熱する。ランプ130から放射された熱線は石英ウインドウ120を介して処理空間にある被処理体Wの上面に照射されて被処理体Wを、例えば、800℃へ200ーC/sの加熱速度で高速昇温する。一般に被処理体Wの周辺部はその中心側と比較して放熱量が多くなる傾向があるが、本実施例のランプ130は同心円状に配置して領域毎の電力制御も可能であるので高い指向性と温度制御能力を提供する。装置100が図20に示す構造を使用すれば底部114Aは、この時、図21に示すようにホームポジションに配置される。特に、図21に示す構造は被処理体Wが冷却プレートである底部114Aから離間してその影響を受けにくいので効率的な高速昇温が可能である。加熱と同時又はその前後に、排気部190が処理室110の圧力を減圧環境に維持する。
【0083】
同時に、制御部300はモータドライバ320を制御し、モータ330を駆動するように命令する。これに応答して、モータドライバ320はモータ330を駆動し、モータ330はリング状磁石170を回転させる。この結果、支持部152(又は152A)が回転し、被処理体Wがサポートリング150と共に回転する。被処理体Wが回転するのでその面内の温度は熱処理期間中に均一に維持される。
【0084】
加熱中は、石英ウインドウ120はプレート121の厚さが比較的薄いので幾つかの長所を有する。これらの長所は、(1)ランプ130からの光をあまり吸収しないので被処理体Wへの照射効率を低下しない、(2)プレート121の表裏面で温度差が小さいので熱応力破壊が発生しにくい、(3)成膜処理の場合でもプレート121の温度上昇が少ないためにその表面に堆積膜や反応副生成物が付着しにくい、(4)梁122が石英ウインドウ120の強度を高めているのでプレート120が薄くても処理室110内の減圧環境と大気圧との差圧を維持することができる、を含む。また、図6に示すように石英ウインドウ120の梁122がリフレクタ140Aの溝146に挿入されると、(5)梁122の温度上昇が少なくプレート121と梁122との熱応力破壊を防止できる、(6)処理室110内の減圧環境と大気圧との差圧に対する耐性が更に向上するなどの付加的な効果が得られる。
【0085】
被処理体Wの温度は放射温度計200により測定されて、制御部300はその測定結果に基づいてランプドライバ310をフィードバック制御する。被処理体Wは回転しているためにその表面の温度分布は均一であることが期待されるが、必要があれば、放射温度計200は、被処理体Wの温度を複数箇所(例えば、その中央と端部)測定することができ、放射温度計200が被処理体W上の温度分布が不均一であると測定すれば、制御部300は被処理体W上の特定の領域のランプ130の出力を変更するようにランプドライバ310に命令することもできる。
【0086】
放射温度計200はロッド210を有してチョッパ230と被処理体Wとの距離を離間させているので放射温度計200本体が被処理体Wからの放射熱の影響を受けにくく、温度測定精度が高い。また、放射温度計200本体の冷却機構は不要であるか最小限にすることができるので装置100の小型化と経済性向上に資する。被処理体Wは、熱処理においては高温環境下に長時間置かれると不純物が拡散して集積回路の電気的特性が悪化するため、高速昇温と高速冷却が必要でありそのために被処理体Wの温度管理が不可欠であるが放射温度計200はかかる要請に応えるものである。特に、放射温度計200又は制御部300が数式8を利用して被処理体Wの温度を計算すればその誤差は±3℃に維持されるためにRTP装置100は高品質の熱処理を提供することができる。
【0087】
次いで、図示しないガス導入部から流量制御された処理ガスが処理室110に導入される。所定の熱処理(例えば、10秒間)が終了すると制御部300はランプドライバ310を制御してランプ130の加熱を停止するように命令する。これに応答して、ランプドライバ310はランプ130の駆動を停止する。装置100が図20に示す構造を使用すれば制御部300は昇降機構117を制御して、底部114Aを図22に示す冷却位置に移動する。また、好ましくは、熱伝導性の高いヘリウムガスが図23に示すように被処理体Wと底部114Aとの間に導入される。これにより、被処理体Wの冷却効率は高くなり比較的低消費電力で高速冷却を行うことができる。冷却速度は、例えば、200ーC/sである。
【0088】
熱処理後に被処理体Wは上述したのと逆の手順によりゲートバルブから処理室110の外へクラスターツールの搬送アームにより導出される。次いで、必要があれば、搬送アームは被処理体Wを次段の装置(成膜装置など)に搬送する。
【0089】
以上、本発明の好ましい実施例を説明したが、本発明はその要旨の範囲内で種々の変形及び変更が可能である。
【0090】
【発明の効果】
本発明の例示的一態様である温度測定方法、熱処理装置及び方法、並びに、コンピュータ可読媒体によれば、放射温度計が被処理体の温度を高精度に測定することができるので高品質な熱処理の達成を容易にする。
【図面の簡単な説明】
【図1】 本発明の例示的一態様としての熱処理装置の概略断面図である。
【図2】 図1に示す熱処理装置に適用可能な石英ウインドウの概略平面図である。
【図3】 図1に示す熱処理装置に適用可能な石英ウインドウ、ランプ及びリフレクタの一部拡大断面図である。
【図4】 図1に示す熱処理装置に適用可能なランプの概略斜視図である。
【図5】 図3に示すリフレクタの形状で石英ウインドウにランプから入射する光の影響を説明するための概略断面図である。
【図6】 図3に示すリフレクタの変形例を説明するための概略部分拡大断面図である。
【図7】 図6に示すリフレクタの効果を説明する概略部分拡大断面図である。
【図8】 図6に示すリフレクタと結合した石英ウインドウの流路とランプ封止部との関係を説明するための概略平面図である。
【図9】 図6に示すリフレクタと結合した石英ウインドウの流路とランプ封止部との関係を説明するための概略部分拡大断面図である。
【図10】 図6に示すランプがシングルエンド型ランプに置換された場合の概略部分拡大断面図である。
【図11】 図6に示すランプがシングルエンド型ランプに置換された場合の概略上面図である。
【図12】 図1に示す熱処理装置に適用可能な放射温度計及びその近傍の処理室の概略拡大断面図である。
【図13】 図12に示す放射温度計のチョッパの概略平面図である。
【図14】 被処理体の温度と従来の温度換算式を適用して被処理体の中央を測定した図12に示す放射温度計の温度との関係を示すグラフである。
【図15】 被処理体の温度と従来の温度換算式を適用して被処理体の端部を測定した図12に示す放射温度計の温度との関係を示すグラフである。
【図16】 従来の温度換算式を実際の被処理体の温度測定に適用する場合に含まれる誤差を説明するための概略断面図である。
【図17】 被処理体の温度と本発明により補正された温度換算式を適用して被処理体の中央を測定した図12に示す放射温度計の温度との関係を示すグラフである。
【図18】 被処理体の温度と本発明により補正された温度換算式を適用して被処理体の端部を測定した図12に示す放射温度計の温度との関係を示すグラフである。
【図19】 被処理体の冷却速度に関するシミュレーションの結果を示すグラフである。
【図20】 図1に示す熱処理装置の冷却プレートしての底部の変形例を説明するための概略断面図である。
【図21】 図20に示す構造において被処理体を加熱する際の被処理体と底部との位置関係を説明するための概略断面図である。
【図22】 図20に示す構造において被処理体を冷却する際の被処理体と底部との位置関係を説明するための概略断面図である。
【図23】 図22に示す実線領域Vの概略拡大断面図である。
【符号の説明】
100 熱処理装置
110 処理室
114 底部(冷却プレート)
114A 底部(冷却プレート)
120 石英ウインドウ
122 梁
124 (円周方向)梁部分
126 (半径方向)梁部分
128 流路
130 ランプ
140 リフレクタ
144 溝
145 水平部
146 スリット
150 サポートリング
160 ベアリング
170 磁石
180 ガス導入部
190 排気部
200 放射温度計
210 ロッド
230 チョッパ
300 制御部
310 ランプドライバ[0001]
BACKGROUND OF THE INVENTION
The present invention relates to a heat treatment apparatus and method for heat-treating an object to be processed such as a single crystal substrate or a glass substrate, a method for measuring the temperature of the object to be processed, and a computer-readable medium storing the temperature measuring method as a program. The present invention is suitable for, for example, a rapid thermal processing (RTP) apparatus suitable for manufacturing a semiconductor device such as a memory or an IC. Here, RTP is a technique including rapid thermal annealing (RTA), rapid thermal chemical vapor deposition (RTCVD), rapid thermal oxidation (RTO), rapid thermal nitridation (RTN), and the like.
[0002]
[Prior art]
In general, in order to manufacture a semiconductor integrated circuit, various heat treatments such as a film forming process, an annealing process, an oxidative diffusion process, a sputtering process, an etching process, and a nitriding process are performed multiple times on a silicon substrate such as a semiconductor wafer. Repeated.
[0003]
RTP technology that rapidly raises and lowers the temperature of an object to be processed is drawing attention for the purpose of improving the yield and quality of semiconductor manufacturing processes. Conventional RTP apparatuses typically have a single wafer chamber (processing chamber) for storing objects to be processed (for example, semiconductor wafers, glass substrates for photomasks, glass substrates for liquid crystal displays, and substrates for optical disks), and processing chambers. A quartz window, a heating lamp (for example, a halogen lamp) disposed on the upper or lower part of the quartz window, and a reflector (reflector) disposed on the opposite side of the lamp from the object to be processed. have.
[0004]
The quartz window is configured in a plate shape, or is configured in a tubular shape that can accommodate an object to be processed therein. When the processing chamber is evacuated by a vacuum pump and the inside is maintained in a reduced pressure environment, the quartz window has a thickness of several tens of mm (for example, 30 to 40 mm) to maintain the pressure difference between the reduced pressure and the atmosphere. . Since the quartz window tends to bend toward the processing space due to thermal stress when the temperature rises, the quartz window may be processed into a curved shape in advance so as to leave the processing space.
[0005]
A plurality of halogen lamps are arranged to uniformly heat the object to be processed, and infrared rays from the halogen lamp are uniformly emitted toward the object to be processed by the reflector. The processing chamber is typically connected to a gate valve that leads in and out of an object to be processed on its side wall, and is connected to a gas supply nozzle that introduces a processing gas used for heat treatment on the side wall or the quartz tube. .
[0006]
The temperature of the object to be processed needs to be accurately grasped in order to affect the quality of the process (for example, the film thickness in the film forming process). A temperature measuring device for measuring the temperature is provided in the processing chamber. Although the temperature measuring device may be constituted by a thermocouple, since the temperature measuring device must be brought into contact with the object to be treated, the object to be treated may be contaminated by the metal constituting the thermocouple. Therefore, the infrared intensity radiated from the back surface of the object to be processed is detected, and the radiation intensity of the object to be processed is obtained by calculating the emissivity ε of the object to be processed according to the following equation 1 to convert the temperature of the object to be processed. A pyrometer that calculates temperature has been proposed as a temperature measuring device. The pyrometer is disclosed in, for example, Japanese Patent Publication No. 258051.
[0007]
[Expression 1]
Figure 0004346208
[0008]
Where E BB (T) is the radiation intensity from a black body at temperature T, E m (T) is the radiation intensity measured from the object to be treated at temperature T, and ε is the emissivity of the object to be treated.
[0009]
In operation, the object to be processed is introduced into the processing chamber from the gate valve, and its periphery is supported by the holder. During the heat treatment, a processing gas such as nitrogen gas or oxygen gas is introduced from the gas supply nozzle. On the other hand, infrared rays irradiated from the halogen lamp are absorbed by the object to be processed, and the temperature of the object to be processed rises. The output of the halogen lamp is feedback controlled based on the measurement result of the temperature measuring device.
[0010]
[Problems to be solved by the invention]
However, the conventional method for measuring the temperature of the object to be processed obtained by Equation 1 has an error of about 20 to 40 ° C. compared to the actual temperature of the object to be processed, and has a problem that high-quality heat treatment cannot be performed. As a result of diligent investigation of this cause, the present inventors have to consider several errors when applying Equation 1 to the actual temperature measurement of the object to be processed. ) The light emitted from the object to be processed is multiple-reflected on the surface facing the object to be processed, (2) the light emitted from the heating source, and (3) the transmission of the radiated light caused by reflection at the end surface of the transmission medium. It was discovered that (4) absorption loss of the transmission medium of the radiation light is included. The light of (1) and (2) is sometimes called stray light. In particular, in a single-wafer processing chamber where the reflectance of members around the object to be processed is increased in order to increase thermal efficiency, the influence of measurement error due to stray light. Is big.
[0011]
Accordingly, it is a general object of the present invention to provide a novel and useful temperature measurement method, heat treatment apparatus and method, and computer-readable medium that solve such problems.
[0012]
More specifically, it is an exemplary object of the present invention to provide a temperature measurement method, a heat treatment apparatus and method, and a computer-readable medium that can measure the temperature of an object to be processed with high accuracy.
[0013]
[Means for Solving the Problems]
In order to achieve the above object, a temperature measurement method as an exemplary embodiment of the present invention is a temperature measurement method in which a temperature T of a measurement object heated from a heat source in a multiple reflection environment is measured using a radiation thermometer. E BB (T) is the intensity of radiation from a black body at temperature T, E m (T) is the measured radiation intensity from the measured object at temperature T, ε is the emissivity of the measured object, α is a correction factor for multiple reflections, and β is the radiation temperature of the emitted light from the measured object A correction coefficient related to the reflection loss by the meter, S to be a correction coefficient related to the leakage of radiated light from the heat source, and G to be a correction coefficient related to the absorption loss of the radiated light from the object to be measured by the radiation thermometer. Formula E where α = β = S = 0 and G = 1 m (T) = G [ε / {1-α (1-ε)}-β] {E BB The temperature T is calculated using (T) + S}. In such a temperature measurement method, the above-described Equation 1 is corrected by at least one of α, β, S, and G, so that the temperature of the object to be measured can be measured with higher accuracy. A computer-readable medium storing such a temperature measurement method as part of a temperature measurement program is also an independent transaction object.
[0014]
A heat treatment apparatus as an exemplary aspect of the present invention includes a treatment chamber that performs a predetermined heat treatment on a workpiece, a heat source that heats the workpiece, and a temperature of the workpiece that is connected to the treatment chamber. A radiation thermometer to measure, and a control unit for controlling the heating power of the heat source from the temperature of the object measured by the radiation thermometer, the radiation thermometer comprising a slit, a high reflectance surface, A chopper that has a low reflectance surface and is rotatable, and is disposed between the chopper and the object to be processed, and transmits the heat radiation from the object to be processed and the object to be processed and the chopper. The above-mentioned equation is used under the above-mentioned conditions, including a rod that enables multiple reflection of the thermal radiation light between the two and a detector that detects the thermal radiation light that passes through the slit of the chopper. Such a heat treatment apparatus can also exhibit the same effect as the above-described temperature measurement method.
[0015]
A heat treatment method as an exemplary embodiment of the present invention includes a step of heating an object to be processed with a heat source in a reduced pressure environment, and the temperature of the object to be processed using the radiation thermometer with the above formula under the above conditions. And a step of controlling the heating power of the heat source from the temperature of the object measured by the radiation thermometer. Such a heat treatment method can also exhibit the same effect as the above-described temperature measurement method.
[0016]
Other objects and further features of the present invention will become apparent from the preferred embodiments described below with reference to the accompanying drawings.
[0017]
DETAILED DESCRIPTION OF THE INVENTION
Hereinafter, an exemplary heat treatment apparatus 100 of the present invention will be described with reference to the accompanying drawings. In the drawings, the same reference numerals denote the same members. Here, FIG. 1 is a schematic cross-sectional view of a heat treatment apparatus 100 as an exemplary embodiment of the present invention. As shown in FIG. 1, the heat treatment apparatus 100 includes a processing chamber 110, a quartz window 120, a heating lamp 130, a reflector 140, a support ring 150, a bearing 160, a permanent magnet 170, A gas introduction unit 180, an exhaust unit 190, a radiation thermometer 200, and a control unit 300 are included.
[0018]
The processing chamber 110 is formed of, for example, stainless steel or aluminum and is connected to the quartz window 120. The processing chamber 110 defines a processing space for heat-treating the workpiece W by the cylindrical side wall 112 and the quartz window 120. In the processing space, a support ring 150 on which an object to be processed W such as a semiconductor wafer is placed, and a support portion 152 connected to the support ring 150 are arranged. These members will be described in the rotation mechanism of the workpiece W. In addition, a gas introduction part 180 and an exhaust part 190 are connected to the side wall 112. The processing space is maintained in a predetermined reduced pressure environment by the exhaust unit 190. A gate valve for introducing and leading the workpiece W is omitted in FIG.
[0019]
The bottom 114 of the processing chamber 110 is connected to cooling pipes 116a and 116b (hereinafter simply referred to as “116”) and functions as a cooling plate. If necessary, the cooling plate 114 may have a temperature control function. The temperature control mechanism includes, for example, a control unit 300, a temperature sensor, and a heater, and is supplied with cooling water from a water source such as tap water. Other types of refrigerants (alcohol, galden) instead of cooling water (Registered trademark) , Freon, etc.) may be used. As the temperature sensor, a well-known sensor such as a PTC thermistor, an infrared sensor, or a thermocouple can be used. The heater is configured as a heater wire wound around the cooling pipe 116, for example. The temperature of the water flowing through the cooling pipe 116 can be adjusted by controlling the magnitude of the current flowing through the heater wire.
[0020]
The quartz window 120 is hermetically attached to the processing chamber 110, maintains a differential pressure between the decompressed environment in the processing chamber 110 and the atmosphere, and transmits heat radiation from the lamp 130. As shown in FIGS. 2 and 3, it has a cylindrical quartz plate 121 and a beam 122 having a radius of about 400 mm and a thickness of about 2 to 6 mm. Here, FIG. 2 is a plan view of the quartz window 120. 3 is a partially enlarged sectional view of the quartz window 120, the lamp 130, and the reflector 140 shown in FIG.
[0021]
The beam 122 cools the beam portion 124 that increases the circumferential strength of the quartz window 120, the beam portion 126 that increases the radial strength of the quartz window 120, and the sealing portion 136 of the quartz window 120 and the lamp 130. Air flow path 128. Each portion 124 and 126 of beam 122 is 10 mm or less in thickness, preferably about 2 to 6 mm, and has a height of about 10 mm or more. Although the beam 122 faces the lamp 130 in this embodiment, the present invention includes an arrangement in which the beam 122 faces the workpiece W and a structure in which the beam 122 is arranged above and below the plate 121. . In the latter case, it goes without saying that the dimensions of the portions 124 and 126 may be different.
[0022]
Since the quartz plate 121 is improved in strength against thermal deformation by the beam 122, it does not need to bend in a direction away from the processing chamber 110 as in the prior art, and has a planar shape. As a result, the quartz plate 121 is easier to process than before. In this embodiment, the quartz plate 121 and the beam 122 are joined by welding, but this does not exclude a method of forming them integrally.
[0023]
As described above, the thickness of the plate 121 and the beam 122 of the quartz window 120 is 10 mm or less, preferably about 2 to 6 mm. The thickness of the conventional quartz window is several 10 mm (for example, 30 to 40 mm). Smaller than As a result, the quartz window 120 of the present embodiment absorbs less light from the lamp 130 than the conventional quartz window. As a result, the quartz window 120 has the following advantages. That is, first, since the irradiation efficiency from the lamp 130 to the workpiece W can be improved as compared with the conventional case, a high temperature increase can be achieved with low power consumption. Secondly, the temperature difference (that is, the thermal stress difference) between the front and back surfaces of the plate 121 can be kept lower than in the prior art, so that it is difficult to break. The same applies to the beam 122. Third, since the temperature rise of the quartz window 120 is lower than that of the conventional quartz window, it is possible to prevent deposition films and reaction by-products from adhering to the surface in the case of film formation processing, and temperature reproducibility. And the frequency of cleaning the processing chamber 110 can be reduced.
[0024]
The lamp 130 is a double end type in this embodiment, but may be a single end type as described later, or may use other heat sources such as a heating wire heater. Here, the double end type refers to a type of lamp having two electrode portions 132, such as the lamp 130 shown in FIG. A single-ended type refers to a lamp having only one end, such as a light bulb. The lamp 130 functions as a heat source for heating the workpiece W and is a halogen lamp in this embodiment, but is not limited to this. The output of the lamp 130 is determined by the lamp driver 310, and the lamp driver 310 is controlled by the control unit 300 as will be described later, and supplies the lamp 130 with power corresponding thereto.
[0025]
As shown in FIG. 4, the lamp 130 includes two electrode portions 132 and a lamp house 134, and the lamp house 134 has a filament 135 that connects the two electrode portions 132. Here, FIG. 4 is a schematic perspective view of the lamp 130. The power supplied to the electrode unit 132 is determined by the lamp driver 310, and the lamp driver 310 is controlled by the control unit 300. The electrode part 132 and the lamp driver 310 are sealed by a sealing part 136 described later.
[0026]
As shown in FIG. 4, the lamp house 134 has a vertical portion 134a and an arc-shaped horizontal portion 134b bent 90 degrees from the vertical portion 134a. The length of the horizontal portion 134b is disposed between the beam portions 126 (for example, 126a and 126b) that form adjacent concentric arcs in FIG. However, the lamp 130 does not necessarily need to be completely filled between the adjacent beam portions 126, and may be arranged at a predetermined opening angle. For example, in FIG. 2, the ramp 130 is disposed between the beam portions 126a and 126b and between the beam portions 126c and 126d, but between the beam portions 126b and 126c and between the beam portions 126d and 126a. For example, the lamp 130 is not disposed.
[0027]
Thus, in the present embodiment, the lamps 130 are arranged substantially concentrically so as to correspond to the substantially circular workpiece W. Each lamp 130 has a plurality of arc-shaped cylindrical lamps having the same bending radius when viewed along the circumferential direction from the center of the quartz window 120, and a bending radius when viewed along the radial direction from the center of the quartz window 120. And a plurality of different arc-shaped cylindrical lamps.
[0028]
The present invention does not exclude the use of a straight cylindrical double-ended lamp as a heat source. In that case, the shape of the beam 122 would be changed to accommodate such a lamp. However, the lamp 130 of this embodiment is superior to the straight cylindrical lamp. This is because a straight cylindrical lamp has a large wafer area covered by a single lamp and is arranged so as to cross the workpiece W, so that the directivity is generally poor, and temperature control for each region of the workpiece W can be performed. Have difficulty. On the other hand, since the lamps 130 of the present embodiment are arranged substantially concentrically, temperature control for each region of the object to be processed W is easy, directivity of radiant heat is large, and Direct radiation can be performed efficiently.
[0029]
The reflector 140 has a function of reflecting the heat radiation light from the lamp 130. The reflector 140 has a substantially cylindrical shape, and its cross section has a rectangular parallelepiped shape as shown in FIG. 1, but more specifically, as shown in FIG. 3, the vertical portion 132 of the lamp 130 is arranged. And a plurality of concentric horizontal grooves 144 shown in FIG. 3 in which the horizontal portion 134b of the lamp 130 is disposed. In the upper part of the reflector 140, a cooling pipe is disposed inside or outside, but the illustration is omitted in FIG. As shown in FIG. 3, the reflector 140 includes a horizontal portion 145 that faces the beam 122 between adjacent horizontal grooves 144.
[0030]
The reflector 140 may be replaced with a reflector 140A shown in FIG. 6 having a slit 146 that houses the beam 122 in the horizontal portion 145. Here, FIG. 6 is a schematic partial enlarged sectional view for explaining the structure of the reflector 140A. In the shape of the reflector 140 shown in FIG. 3, since the optical path 2 passing through the beam 122 is longer than the optical path 1 passing through the plate 121 as shown in FIG. It becomes larger than that of 121. Here, FIG. 5 is a schematic cross-sectional view for explaining the influence of light incident on the quartz window 120 from the lamp 130 in the shape of the reflector 140 shown in FIG. As a result, a temperature difference between the quartz plate 121 and the beam 122 may occur, and a crack may occur at the joint 123 between the beam 122 and the plate 121 due to thermal stress. Such a drawback can be solved by adjusting the thickness of the beam 122, but may alternatively be solved by using the reflector 140A shown in FIG.
[0031]
As shown in FIG. 6, the reflector 140 </ b> A is different from the reflector 140 in that it has a groove 144 </ b> A higher than the groove 144 and a slit 146 that houses the beam 122 in the horizontal portion 145. According to the reflector 140A, by inserting the beam 122 of the quartz window 120 into the slit 146, it is possible to avoid the light from the lamp 130 being directly irradiated onto the beam 122. Further, in this structure, as shown in FIG. 7, the quartz window 120 receives the surface pressure generated by the thermal stress and the pressure difference between the reduced pressure environment in the processing chamber 110 and the atmospheric pressure, and is bent and destroyed by the yield stress. It also has an effect to prevent. Here, FIG. 7 is a schematic partial enlarged sectional view for explaining the effect of the reflector 140A. The present invention also includes a case where the reflector has a protruding portion that engages with the beam 122 to increase the strength of the quartz window 120.
[0032]
With reference to FIG.8 and FIG.9, the relationship between the flow path 128 and the sealing part 136 of the quartz window 120 is demonstrated. Here, FIG. 8 is a schematic plan view for explaining the relationship between the flow path 128 of the quartz window 120 in which the beam 122 is inserted into the reflector 140A and the sealing portion 136, and FIG. 9 is a partially enlarged sectional view thereof. It is. The cooling air flows through the flow path 128 as shown in FIGS. In FIG. 8, the round part represents the position of the sealing part 136. Electric power is supplied to the lamp 130 through the electrode 132 disposed in the through hole 142 of the reflector 140A and the sealing portion 136 of the vertical portion 134a of the lamp house 134. The cooling air effectively passes through the through hole 142 and cools the sealing portion 136. The cooling air introduction means is omitted in FIG.
[0033]
10 and 11 are a schematic partial enlarged cross-sectional view and a schematic top view when the double-ended lamp 130 is replaced with the single-ended lamp 130A and disposed on the reflector 140A. The single-ended lamp 130A has good directivity and controllability of heat radiation light.
[0034]
Next, the radiation thermometer 200 will be described with reference to FIGS. Here, FIG. 12 is a schematic enlarged sectional view of the radiation thermometer 200 and the processing chamber 110 in the vicinity thereof. FIG. 13 is a schematic plan view of the chopper 230 of the radiation thermometer 200. The radiation thermometer 200 is provided on the opposite side of the lamp 130 with respect to the workpiece W. Although the present invention does not exclude the structure in which the radiation thermometer 200 is provided on the same side as the lamp 130, it is preferable to prevent the radiation light of the lamp 130 from entering the radiation thermometer 200.
[0035]
The radiation thermometer 200 is attached to the bottom 114 of the processing chamber 110. A surface 114a of the bottom 114 facing the inside of the processing chamber 110 is plated with gold and functions as a reflection plate (high reflectance surface). This is because if the surface 114a is a low reflectance surface such as black, the heat of the workpiece W is absorbed and the irradiation output of the lamp 130 must be raised uneconomically. The bottom 114 has a cylindrical through hole 115. The radiation thermometer 200 includes a rod 210 made of quartz or sapphire, a casing 220, a chopper (or sector) 230, a motor 240, a lens 250, an optical fiber 260, and a radiation detector 270.
[0036]
The rod 210 of this embodiment is composed of a quartz or sapphire rod. Quartz and sapphire are used because they have good heat resistance and good optical properties as will be described later, but it goes without saying that the material of the rod 210 is not limited to these. Since the rod 210 has good heat resistance, a mechanism for cooling the rod 210 is not necessary, which contributes to downsizing of the apparatus 100.
[0037]
If necessary, the rod 210 may protrude into the processing chamber 110 by a predetermined distance. The rod 210 is inserted into a through hole 115 provided in the bottom 114 of the processing chamber 110 and sealed with an O-ring 190. As a result, the processing chamber 110 can maintain a reduced pressure environment therein regardless of the through hole 115.
[0038]
The rod 210 has excellent light collection efficiency because it can guide the heat radiation light once incident on the inside of the rod 210 to the casing 220 with little or no attenuation. Further, the rod 210 enables multiple reflection of radiated light between the chopper 230 (its high reflectivity surface 232) and the workpiece W. By bringing the rod 210 closer to the workpiece W, the temperature of the workpiece W can be accurately measured.
[0039]
The rod 210 enables the casing 220 to be separated from the workpiece W. For this reason, the rod 210 eliminates the need for a cooling mechanism for cooling the casing 220 and contributes to downsizing of the apparatus 100. Alternatively, even if a cooling mechanism for the casing 220 is provided, the rod 210 can minimize the cooling power of the cooling mechanism.
[0040]
The rod 210 of this embodiment may be composed of a quartz or sapphire rod and a multi-core optical fiber. In this case, the multi-core optical fiber is disposed between the quartz rod and the chopper 230. Thereby, the light guide path of the rod 210 can be made flexible, and the degree of freedom of arrangement of the radiation thermometer can be increased. Further, since the main body or casing 220 of the radiation thermometer 200 can be further separated from the object to be processed W, adverse effects such as deformation of each part of the radiation thermometer 200 under the influence of the temperature from the object to be processed W can be prevented. Thus, higher measurement accuracy can be maintained.
[0041]
The casing 220 is provided below the through hole 115 and has a substantially cylindrical shape.
[0042]
The chopper 230 has a disk shape that is disposed substantially horizontally so that a part of the chopper 230 is positioned below the through hole 115 inside the casing 220. The chopper 230 is connected to the motor 240 (rotary shaft attached to the motor shaft) at the center thereof, and is driven to rotate by the motor 240. As shown in FIG. 13, the chopper 230 is divided into four equal parts and has two high reflectivity surfaces 232 and two low reflectivity surfaces 234. The surfaces 232 and 234 are alternately arranged and each have a slit 231. The high reflectivity surface 232 is formed by, for example, aluminum or gold plating, and the low reflectivity surface 234 is formed by, for example, black coating. The high reflectivity surface 232 has a measurement part 232 a in the slit 231 and a measurement part 232 b in a part other than the slit 231. Similarly, the low reflectivity surface 234 has a measurement part 234 a in the slit 231 and a measurement part 234 b in a part other than the slit 231.
[0043]
However, the structure of the chopper 230 shown in FIG. 13 is merely an example, and includes structures that can be conceived by those skilled in the art from the disclosure of the present invention. For example, the chopper is composed of a semi-circular high reflectivity surface provided with slits 231, or the high reflectivity surface provided with slits 231 and notches are alternately formed by dividing the disc into four or six equal parts. Or may be configured by providing the slit 231 only on the high reflectivity surface.
[0044]
When the chopper 230 is rotated by the motor 240, the high reflectance surface 232 and the low reflectance surface 234 appear alternately under the rod 210. When the surface 232 is under the rod 210, most of the light propagating through the rod 210 is reflected, propagates again through the rod 210, and is projected onto the surface of the workpiece W. On the other hand, when the surface 234 is under the rod 210, most of the light propagating through the rod 210 is absorbed, and a very small amount of light is reflected therefrom. The slit 231 guides the radiated light from the workpiece W or the multiple reflected light to the detector 270.
[0045]
The detector 270 includes an imaging lens (not shown), a Si photocell, and an amplifier circuit, and the radiation light incident on the imaging lens is converted into a voltage, that is, a radiation intensity E described later. 1 (T), E 2 It is converted into an electrical signal representing (T) and sent to the control unit 300. The control unit 300 includes a CPU and a memory, and a radiation intensity E described later. 1 (T), E 2 Based on (T), the emissivity ε and the substrate temperature T of the workpiece W are calculated. This calculation may be performed by a calculation unit (not shown) in the radiation thermometer 200.
[0046]
More specifically, the light passing through the slit 231 is collected by the lens 250 and transmitted to the detector 270 by the optical fiber 260. The radiant intensity (or luminance) on the high reflectivity surface 232 and the low reflectivity surface 234 is expressed by the following equations 2 and 4, respectively.
[0047]
[Expression 2]
Figure 0004346208
[0048]
Where E 1 (T) is the radiation intensity of the high reflectivity surface 232 at the temperature T determined by the detector 270, R is the effective reflectivity of the high reflectivity surface 232, ε is the emissivity of the workpiece W, E BB (T) is the radiation intensity of the black body at the temperature T. Equation 2 is derived from Equation 3 below. Here, it is assumed that there is no transmission of thermal radiation in the workpiece W.
[0049]
[Equation 3]
Figure 0004346208
[0050]
[Expression 4]
Figure 0004346208
[0051]
Where E 2 (T) is the measured radiation intensity of the low reflectivity surface 234 at the temperature T determined by the detector 270. Equation 4 is derived from Planck's equation. From Equations 2 and 4, the emissivity ε is expressed by Equation 5 below.
[0052]
[Equation 5]
Figure 0004346208
[0053]
In general, the spectral density of the radiation divergence of electromagnetic waves radiated from a black body is given by Planck's equation. When the radiation thermometer 200 measures a black body, the temperature T of the black body in a specific wavelength region to be measured Measured radiation intensity E BB The relationship with (T) can be expressed by the following equation using constants A, B, and C determined in advance determined by the optical system of the radiation thermometer 200 and the like.
[0054]
[Formula 6]
Figure 0004346208
[0055]
[Expression 7]
Figure 0004346208
[0056]
Where C 2 Is the second constant of radiation.
[0057]
The detector 270 or the control unit 300 determines the radiation intensity E according to the above Equation 5 and Equation 2 or 4. BB Since (T) can be obtained, this can be substituted into Equation 7 to obtain the temperature T. In any case, the control unit 300 can obtain the temperature T of the workpiece W.
[0058]
However, in actuality, the temperature obtained by Equation 7 includes an error of about 20 to 40 ° C. as compared with the actual temperature of the workpiece W, as shown in FIGS. Hateful. Here, FIG. 14 is a graph showing the relationship between the temperature of the workpiece W and the temperature of the radiation thermometer 200 measured at the center of the workpiece W by applying Equation 1. FIG. 15 is a graph showing the relationship between the temperature of the object to be processed W and the temperature of the radiation thermometer 200 obtained by measuring the end of the object to be processed W using Formula 1.
[0059]
As a result of diligent examination of this cause, the present inventors have found that several errors must be taken into consideration when applying the above-described Equation 1 to the actual temperature measurement of the workpiece W. Further, as shown in FIG. 16, the errors are as follows: (1) the light J emitted from the workpiece W is reflected multiple times by the surface 114a, (2) the light K emitted from the lamp 130, and (3) the light emitted. 210 includes a transmission loss L caused by reflection at the end face of 210, and (4) an absorption loss M of 210 of the emitted light. Lights J and K are sometimes called stray light. In particular, in the single wafer processing chamber 110 in which the reflectance of the inner surface in the processing chamber 110 and members around the object to be processed W is increased in order to increase thermal efficiency, the light J and K are caused by stray light. The effect of measurement error is large. Here, FIG. 16 is a schematic cross-sectional view for explaining an error included when Equation 1 is applied to the actual temperature measurement of the workpiece W.
[0060]
Therefore, the present inventors modified Equation 1 as Equation 8 below.
[0061]
[Equation 8]
Figure 0004346208
[0062]
Equation 8 corrects the multiple reflections of (1) by ε / {1-α (1-ε)}, corrects the leakage from the lamp 130 of (2) by S, The reflection loss at the end face of the fiber is corrected by β, and the weight correction for the absorption in (4) is corrected by G (gain). Of course, even if one or more of these are omitted, a temperature approximating the actual temperature of the object to be processed W can be obtained from Equation 1. In addition, the temperature measurement calculation program including these expressions 8 or an expression representing a part of the correction is a floppy disk. (Registered trademark) It may be stored on a disk or other computer readable medium and / or distributed online using the Internet or other communication network to become an independent transaction object.
[0063]
17 and 18 are graphs comparing the temperature measured using Equation 8 with the actual temperature of the workpiece W. FIG. More specifically, FIG. 17 is a graph showing the relationship between the temperature of the workpiece W and the temperature of the radiation thermometer 200 measured at the center of the workpiece W by applying Equation 8. FIG. 18 is a graph showing the relationship between the temperature of the workpiece W and the temperature of the radiation thermometer 200 measured at the end of the workpiece W by applying Equation 8. As shown in FIGS. 17 and 18, it is understood that the difference between the measured value and the actual value of the temperature of the workpiece W can be maintained below ± 3 ° C. by applying Formula 8. Let's go.
[0064]
The control unit 300 includes a CPU and a memory inside, and feedback-controls the output of the lamp 130 by recognizing the temperature T of the workpiece W and controlling the lamp driver 310. Further, as will be described later, the control unit 300 sends a drive signal to the motor driver 320 at a predetermined timing to control the rotation speed of the workpiece W.
[0065]
The gas introduction unit 180 includes, for example, a gas source (not shown), a flow rate adjustment valve, a mass flow controller, a gas supply nozzle, and a gas supply path connecting them, and introduces a gas used for heat treatment into the processing chamber 110. In the present embodiment, the gas introduction unit 180 is provided on the side wall 112 of the processing chamber 110 and introduced from the side of the processing chamber 110, but the position thereof is not limited, and for example, the gas introduction unit 180 is configured as a shower head. Processing gas may be introduced from the top of the chamber 110.
[0066]
If annealing, gas source is N 2 , Ar, etc. O 2 , H 2 , H 2 O, NO 2 N for nitriding 2 , NH 3 If the film formation process, NH 3 , SiH 2 Cl 2 And SiH 4 Of course, the processing gas is not limited to these. The mass flow controller controls the gas flow rate, and has a bridge circuit, an amplifier circuit, a comparator control circuit, a flow rate control valve, etc., and measures the flow rate by detecting the heat transfer from upstream to downstream with the gas flow. To control the flow control valve. The gas supply path uses, for example, a seamless pipe or a bite joint or a metal gasket joint in the connecting portion to prevent impurities from being mixed into the supply gas from the pipe. In order to prevent dust particles caused by dirt and corrosion inside the pipe, the pipe is made of a corrosion-resistant material, or the pipe is made of PTFE (Teflon). (Registered trademark) ), PFA, polyimide, PBI, or other insulating materials, an electropolishing process, or a dust particle trapping filter.
[0067]
Although the exhaust part 190 is provided substantially horizontally with the gas introduction part 180 in the present embodiment, the position and number thereof are not limited. A desired exhaust pump (a turbo molecular pump, a sputter ion pump, a getter pump, a sorption pump, a cryopump, etc.) is connected to the exhaust unit 190 together with a pressure control valve. In this embodiment, the processing chamber 110 is maintained in a reduced pressure environment. However, the present invention does not necessarily include the reduced pressure environment, and can be applied in a range of, for example, 133 Pa to atmospheric pressure. The exhaust unit 190 also has a function of exhausting helium gas described later with reference to FIGS. 20 to 24 before the next heat treatment.
[0068]
FIG. 19 is a graph showing the results of a simulation regarding the cooling rate of the workpiece W. In FIG. 19, the gap means the distance between the workpiece W and the bottom 114. From the graph shown in FIG. 19, (1) the cooling rate increases as the gap becomes smaller. (2) The cooling rate dramatically increases by flowing helium gas having high thermal conductivity between the workpiece W and the bottom 114. It will be understood that it goes up.
[0069]
In the configuration of the RTP apparatus 100 shown in FIG. 1, the upper surface of the object to be processed W is heated by a lamp 130 and a bottom 114 as a cooling plate is provided on the back surface of the object to be processed W. For this reason, the structure shown in FIG. 1 has a relatively fast cooling rate, but a large amount of heat is dissipated, so that a relatively large electric power is required for rapid temperature rise. On the other hand, a method of stopping the introduction of the cooling water in the cooling pipe 116 at the time of heating can be considered, but it is not preferable because the yield is lowered.
[0070]
Therefore, as shown in FIGS. 20 to 22, the bottom 114 as a cooling plate may be replaced with a bottom 114 </ b> A configured to be movable with respect to the workpiece W. More preferably, helium gas having a high thermal conductivity is allowed to flow between the workpiece W and the bottom portion 114A during cooling in order to increase the heat dissipation efficiency. Here, FIG. 20 is a schematic cross-sectional view for explaining a bottom 114A as a cooling plate configured to be movable with respect to the workpiece W. FIG. 21 is a schematic cross-sectional view for explaining the positional relationship between the object to be processed W and the bottom portion 114A when the object to be processed W is heated in the structure of FIG. FIG. 22 is a schematic cross-sectional view for explaining the positional relationship between the target object W and the bottom 114A when the target object W is cooled in the structure of FIG. 20 to 22, the radiation thermometer 200 and the cooling pipe 116 are omitted.
[0071]
As shown in FIG. 20, the bottom 114 </ b> A can be moved up and down with respect to the workpiece W by an elevating mechanism 117 that has a bellows or the like for maintaining a reduced pressure environment in the processing chamber 110 and is controlled by the control unit 300. . Since any structure known in the art can be applied to the lifting mechanism 117, a detailed description thereof is omitted here. Unlike the present embodiment, the workpiece W or the support ring 150 may be configured to be movable. When the workpiece W is heated, as shown in FIG. 21, the bottom 114A is lowered so as to be separated from the workpiece W, and the supply of helium gas is stopped. At this time, the distance between the workpiece W and the bottom 114 is, for example, 10 mm. Since the distance between the bottom 114A and the object to be processed W is large, the object to be processed W can be rapidly heated without being affected by the bottom 114A. The position of the bottom 114A shown in FIG. 21 is set to the home position, for example.
[0072]
When the workpiece W is cooled, as shown in FIG. 22, the bottom 114A is raised so as to be close to the workpiece W and the supply of helium gas is started. Since the distance between the bottom portion 114A and the workpiece W is narrow, the workpiece W is affected by the bottom portion 114A and can be cooled at high speed. At this time, the distance between the workpiece W and the bottom 114 is, for example, 1 mm. An example of introducing the helium gas of FIG. 22 is shown in FIG. Here, FIG. 23 is a schematic enlarged sectional view of the solid line region V of FIG. As shown in the figure, the bottom 114 is provided with innumerable small holes 115a for guiding helium gas. A case 410 having a valve 400 connected to a helium gas supply pipe is connected to the bottom 114.
[0073]
In the present embodiment, the relative movement between the cooling plate 114A and the workpiece W has been described, but the present invention can also be applied to the relative movement between the workpiece W and the lamp 130.
[0074]
Hereinafter, the rotation mechanism of the workpiece W will be described with reference to FIG. In order to maintain high electrical characteristics of each element of the integrated circuit, product yield, and the like, it is required that heat treatment be performed more uniformly over the entire surface of the workpiece W. If the temperature distribution on the workpiece W is not uniform, for example, the RTP apparatus 100 is high in that the film thickness in the film deposition process becomes non-uniform or the silicon crystal slips due to thermal stress. Unable to provide quality heat treatment. The non-uniform temperature distribution on the object to be processed W may be caused by the non-uniform illuminance distribution of the lamp 130, or the processing gas introduced in the vicinity of the gas introduction part 180 takes heat from the surface of the object to be processed W. It may be caused by that. The rotation mechanism rotates the wafer to allow the workpiece W to be heated uniformly by the lamp 130.
[0075]
The rotation mechanism of the workpiece W includes a support ring 150, a ring-shaped permanent magnet 170, a magnetic body 172 such as a ring-shaped SUS, a motor driver 320, and a motor 330.
[0076]
The support ring 150 has a circular ring shape made of ceramics having excellent heat resistance, such as SiC. The support ring 150 functions as a mounting table for the workpiece W, and has a ring-shaped notch along the circumferential direction in an L-shaped cross section in the hollow circular portion. Since the notch radius is designed to be smaller than the radius of the object to be processed W, the support ring 150 can hold the object to be processed W (the rear peripheral edge thereof) in the notch. If necessary, the support ring 150 may have an electrostatic chuck or a clamp mechanism for fixing the workpiece W. The support ring 150 prevents deterioration of soaking due to heat radiation from the end of the workpiece W.
[0077]
The support ring 150 is connected to the support portion 152 at the end thereof. If necessary, a heat insulating member such as quartz glass is inserted between the support ring 150 and the support portion 152 to thermally protect a magnetic body 172 and the like described later. The support portion 152 of this embodiment is configured as a hollow cylindrical opaque quartz ring member. The bearing 160 is fixed to the support portion 152 and the inner wall 112 of the processing chamber 110, and enables the support portion 152 to rotate while maintaining the reduced pressure environment in the processing chamber 110. A magnetic body 172 is provided at the tip of the support portion 152.
[0078]
The concentrically arranged ring-shaped permanent magnets 170 and the magnetic body 172 are magnetically coupled, and the permanent magnets 170 are driven to rotate by a motor 330. The motor 330 is driven by a motor driver 320, and the motor driver 320 is controlled by the control unit 300.
[0079]
As a result, when the permanent magnet 170 rotates, the magnetically coupled magnetic body 172 rotates with the support portion 152, and the support ring 150 and the workpiece W rotate. The rotational speed is illustratively 90 RPM in the present embodiment, but in practice, the turbulent flow of gas in the processing chamber 110 and the object to be processed are provided so as to provide a uniform temperature distribution in the object W to be processed. It will be determined according to the material and size of the object to be processed W, the type and temperature of the processing gas, and the like so as not to bring about the wind cutting effect around W. The magnet 170 and the magnetic body 172 may be reversed as long as they are magnetically coupled, or both may be magnets.
[0080]
Next, the operation of the RTP device 100 will be described. A transfer arm such as a cluster tool (not shown) carries the workpiece W into the processing chamber 110 via a gate valve (not shown). When the transfer arm supporting the workpiece W arrives at the upper part of the support ring 150, a lifter pin lifting system (not shown) projects (for example, three) lifter pins (not shown) from the support ring 150 to support the workpiece W. . As a result, the support of the workpiece W is transferred from the transfer arm to the lifter pin, and the transfer arm is returned from the gate valve. Thereafter, the gate valve is closed. The transfer arm may then move to a home position (not shown).
[0081]
On the other hand, the lifter pin lifting / lowering system then returns a lifter pin (not shown) into the support ring 150, thereby placing the workpiece W at a predetermined position on the support ring 150. The lifter pin lifting / lowering system can use a bellows (not shown), thereby maintaining the decompression environment of the processing chamber 110 during the lifting / lowering operation and preventing the atmosphere in the processing chamber 102 from flowing out.
[0082]
Thereafter, the controller 300 controls the lamp driver 310 and instructs the lamp 130 to be driven. In response to this, the lamp driver 310 drives the lamp 300, and the lamp 130 heats the workpiece W to, for example, about 800 ° C. The heat rays radiated from the lamp 130 are irradiated onto the upper surface of the workpiece W in the processing space through the quartz window 120, so that the workpiece W is rapidly increased to 800 ° C. at a heating rate of 200-C / s, for example. Warm up. In general, the peripheral portion of the object to be processed W tends to have a larger amount of heat radiation than the center side thereof. However, the lamp 130 of this embodiment is arranged concentrically, and power control for each region is possible. Provides directivity and temperature control capability. If the apparatus 100 uses the structure shown in FIG. 20, the bottom 114A is disposed at the home position as shown in FIG. In particular, in the structure shown in FIG. 21, the workpiece W is separated from the bottom 114A, which is a cooling plate, and is not easily affected by the structure. At the same time before or after heating, the exhaust unit 190 maintains the pressure in the processing chamber 110 in a reduced pressure environment.
[0083]
At the same time, the controller 300 controls the motor driver 320 and commands the motor 330 to be driven. In response to this, the motor driver 320 drives the motor 330, and the motor 330 rotates the ring-shaped magnet 170. As a result, the support portion 152 (or 152A) rotates, and the workpiece W rotates with the support ring 150. Since the workpiece W rotates, the in-plane temperature is maintained uniformly during the heat treatment period.
[0084]
During heating, the quartz window 120 has several advantages because the plate 121 is relatively thin. These advantages are as follows: (1) The light from the lamp 130 is not absorbed so much so that the irradiation efficiency to the workpiece W is not reduced. (2) The temperature difference between the front and back surfaces of the plate 121 is small, and thermal stress breakdown occurs. (3) Since the temperature rise of the plate 121 is small even in the film formation process, the deposited film and reaction by-products are difficult to adhere to the surface. (4) The beam 122 increases the strength of the quartz window 120. Therefore, even if the plate 120 is thin, the differential pressure between the reduced pressure environment in the processing chamber 110 and the atmospheric pressure can be maintained. Further, as shown in FIG. 6, when the beam 122 of the quartz window 120 is inserted into the groove 146 of the reflector 140A, (5) the temperature rise of the beam 122 is small and the thermal stress breakdown between the plate 121 and the beam 122 can be prevented. (6) Additional effects such as further improved resistance to the pressure difference between the reduced pressure environment in the processing chamber 110 and the atmospheric pressure can be obtained.
[0085]
The temperature of the workpiece W is measured by the radiation thermometer 200, and the control unit 300 feedback-controls the lamp driver 310 based on the measurement result. Since the workpiece W is rotating, the surface temperature distribution is expected to be uniform, but if necessary, the radiation thermometer 200 can set the temperature of the workpiece W at a plurality of locations (for example, If the radiation thermometer 200 determines that the temperature distribution on the object to be processed W is not uniform, the control unit 300 can detect a lamp in a specific area on the object to be processed W. The lamp driver 310 can also be instructed to change the 130 output.
[0086]
Since the radiation thermometer 200 has the rod 210 and the distance between the chopper 230 and the object W to be processed is separated, the main body of the radiation thermometer 200 is hardly affected by the radiant heat from the object W, and the temperature measurement accuracy is high. Is expensive. Further, since the cooling mechanism of the radiation thermometer 200 main body is unnecessary or can be minimized, the apparatus 100 can be reduced in size and improved in economic efficiency. When the object to be processed W is left in a high temperature environment for a long time in the heat treatment, the impurities diffuse and the electrical characteristics of the integrated circuit are deteriorated. Therefore, high-speed temperature rise and high-speed cooling are required. However, the radiation thermometer 200 responds to such a request. In particular, if the radiation thermometer 200 or the control unit 300 calculates the temperature of the workpiece W using Equation 8, the error is maintained at ± 3 ° C., so the RTP apparatus 100 provides high-quality heat treatment. be able to.
[0087]
Next, a process gas whose flow rate is controlled is introduced into the process chamber 110 from a gas introduction unit (not shown). When a predetermined heat treatment (for example, 10 seconds) is completed, the controller 300 instructs the lamp driver 310 to stop heating the lamp 130. In response to this, the lamp driver 310 stops driving the lamp 130. If the apparatus 100 uses the structure shown in FIG. 20, the controller 300 controls the lifting mechanism 117 to move the bottom 114A to the cooling position shown in FIG. Preferably, helium gas having high thermal conductivity is introduced between the workpiece W and the bottom 114A as shown in FIG. Thereby, the cooling efficiency of the to-be-processed object W becomes high, and high-speed cooling can be performed with comparatively low power consumption. The cooling rate is, for example, 200-C / s.
[0088]
After the heat treatment, the object to be processed W is led out of the processing chamber 110 from the gate valve by the transfer arm of the cluster tool in the reverse procedure as described above. Next, if necessary, the transfer arm transfers the workpiece W to the next stage apparatus (film forming apparatus or the like).
[0089]
Although the preferred embodiments of the present invention have been described above, the present invention can be variously modified and changed within the scope of the gist thereof.
[0090]
【The invention's effect】
According to the temperature measurement method, the heat treatment apparatus and method, and the computer readable medium which are exemplary aspects of the present invention, the radiation thermometer can measure the temperature of the object to be processed with high accuracy, so that the heat treatment can be performed with high quality. Making it easier to achieve.
[Brief description of the drawings]
FIG. 1 is a schematic cross-sectional view of a heat treatment apparatus as an exemplary embodiment of the present invention.
FIG. 2 is a schematic plan view of a quartz window applicable to the heat treatment apparatus shown in FIG.
3 is a partial enlarged cross-sectional view of a quartz window, a lamp and a reflector applicable to the heat treatment apparatus shown in FIG.
4 is a schematic perspective view of a lamp applicable to the heat treatment apparatus shown in FIG.
5 is a schematic cross-sectional view for explaining the influence of light incident from a lamp on a quartz window in the shape of the reflector shown in FIG.
6 is a schematic partial enlarged cross-sectional view for explaining a modification of the reflector shown in FIG. 3. FIG.
7 is a schematic partially enlarged cross-sectional view for explaining the effect of the reflector shown in FIG. 6; FIG.
FIG. 8 is a schematic plan view for explaining the relationship between the flow path of the quartz window coupled to the reflector shown in FIG. 6 and the lamp sealing portion.
9 is a schematic partial enlarged cross-sectional view for explaining the relationship between a flow path of a quartz window coupled to the reflector shown in FIG. 6 and a lamp sealing portion. FIG.
10 is a schematic partially enlarged cross-sectional view when the lamp shown in FIG. 6 is replaced with a single-ended lamp. FIG.
11 is a schematic top view when the lamp shown in FIG. 6 is replaced with a single-ended lamp. FIG.
12 is a schematic enlarged cross-sectional view of a radiation thermometer applicable to the heat treatment apparatus shown in FIG. 1 and a processing chamber in the vicinity thereof.
13 is a schematic plan view of a chopper of the radiation thermometer shown in FIG. 12. FIG.
14 is a graph showing the relationship between the temperature of the object to be processed and the temperature of the radiation thermometer shown in FIG. 12 when the center of the object to be processed is measured by applying a conventional temperature conversion formula.
15 is a graph showing the relationship between the temperature of the object to be processed and the temperature of the radiation thermometer shown in FIG. 12 where the end of the object to be processed is measured by applying a conventional temperature conversion formula.
FIG. 16 is a schematic cross-sectional view for explaining errors included when a conventional temperature conversion formula is applied to the actual temperature measurement of an object to be processed.
17 is a graph showing the relationship between the temperature of the object to be processed and the temperature of the radiation thermometer shown in FIG. 12 in which the center of the object to be processed is measured by applying the temperature conversion formula corrected according to the present invention.
18 is a graph showing the relationship between the temperature of the object to be processed and the temperature of the radiation thermometer shown in FIG. 12 in which the end of the object to be processed is measured by applying the temperature conversion formula corrected according to the present invention.
FIG. 19 is a graph showing a result of a simulation regarding a cooling rate of an object to be processed;
20 is a schematic cross-sectional view for explaining a modification of the bottom portion of the heat treatment apparatus shown in FIG. 1 as a cooling plate.
FIG. 21 is a schematic cross-sectional view for explaining the positional relationship between the object to be processed and the bottom when the object to be processed is heated in the structure shown in FIG.
22 is a schematic cross-sectional view for explaining the positional relationship between the object to be processed and the bottom when the object to be processed is cooled in the structure shown in FIG.
23 is a schematic enlarged cross-sectional view of a solid line region V shown in FIG.
[Explanation of symbols]
100 Heat treatment equipment
110 treatment room
114 Bottom (cooling plate)
114A Bottom (cooling plate)
120 quartz window
122 Beam
124 (Circumferential direction) Beam part
126 (radial direction) beam part
128 channels
130 lamp
140 reflector
144 groove
145 Horizontal part
146 slit
150 Support ring
160 Bearing
170 Magnet
180 Gas introduction part
190 Exhaust section
200 Radiation thermometer
210 Rod
230 Chopper
300 Control unit
310 Lamp driver

Claims (4)

多重反射環境において熱源から加熱される被測定体の温度Tを放射温度計を用いて測定する温度測定方法であって、
BB(T)を温度Tの黒体からの放射強度、Em(T)を温度Tの被測定体からの測定された放射強度、εを前記被測定体の放射率、αを多重反射に関する補正係数、βを前記被測定体からの放射光の前記放射温度計による反射損失に関する補正係数、Sを前記熱源からの放射光の漏れ分に関する補正係数、Gを前記被測定体からの放射光の前記放射温度計による吸収損失に関する補正係数とする場合に、少なくともα=β=S=0かつG=1ではない下記式を利用して前記温度Tを算出することを特徴とする温度測定方法
m(T)=G〔ε/{1−α(1−ε)}−β〕{EBB(T)+S}。
A temperature measurement method for measuring a temperature T of a measurement object heated from a heat source in a multiple reflection environment using a radiation thermometer,
E BB (T) is the radiation intensity from the black body at temperature T, E m (T) is the measured radiation intensity from the measured object at temperature T, ε is the emissivity of the measured object, and α is the multiple reflection Is a correction coefficient related to a reflection loss of radiation emitted from the object to be measured by the radiation thermometer, S is a correction coefficient related to leakage of light emitted from the heat source, and G is radiation from the object to be measured. When the correction coefficient relating to the absorption loss of light by the radiation thermometer is used, at least α = β = S = 0 and G = 1 is not used, and the temperature T is calculated using the following equation: Method E m (T) = G [ε / {1−α (1−ε)} − β] {E BB (T) + S}.
被処理体に所定の熱処理を行う処理室と、
前記被処理体を加熱する熱源と、
前記処理室に接続されて前記被処理体の温度を測定する放射温度計と、
前記放射温度計により測定された前記被処理体の温度から前記熱源の加熱力を制御する制御部とを有する熱処理装置であって、
前記放射温度計は、
スリットと高反射率面と低反射率面とを有して回転可能なチョッパと、
前記チョッパと前記被処理体との間に配置され、前記被処理体からの熱放射光を透過して前記被処理体と前記チョッパとの間の前記熱放射光の多重反射を可能にするロッドと、
前記チョッパの前記スリットを通過する前記熱放射光を検出する検出器とを有し、
BB(T)を温度Tの黒体からの放射強度、Em(T)を温度Tの被測定体からの測定された放射強度、εを前記被測定体の放射率、αを多重反射に関する補正係数、βを前記被処理体からの放射光の前記放射温度計による反射損失に関する補正係数、Sを前記熱源からの放射光の漏れ分に関する補正係数、Gを前記被処理体からの放射光の前記放射温度計による吸収損失に関する補正係数とする場合に、少なくともα=β=S=0かつG=1ではない下記式を利用して前記温度Tは算出される熱処理装置
m(T)=G〔ε/{1−α(1−ε)}−β〕{EBB(T)+S}。
A treatment chamber for performing a predetermined heat treatment on the workpiece;
A heat source for heating the object to be processed;
A radiation thermometer connected to the processing chamber to measure the temperature of the object to be processed;
A heat treatment apparatus having a control unit for controlling the heating power of the heat source from the temperature of the object to be processed measured by the radiation thermometer,
The radiation thermometer is
A chopper rotatable with a slit, a high reflectivity surface and a low reflectivity surface;
A rod that is disposed between the chopper and the object to be processed and allows multiple reflection of the heat radiation light between the object to be processed and the chopper by transmitting heat radiation light from the object to be processed. When,
A detector that detects the thermal radiation that passes through the slit of the chopper;
E BB (T) is the radiation intensity from the black body at temperature T, E m (T) is the measured radiation intensity from the measured object at temperature T, ε is the emissivity of the measured object, and α is the multiple reflection Is a correction coefficient related to the reflection loss of radiation emitted from the object to be processed by the radiation thermometer, S is a correction coefficient related to leakage of light emitted from the heat source, and G is radiation from the object to be processed. when the correction factor for the absorption loss by the radiation thermometer of light, at least α = β = S = 0 and the utilizing no formula in G = 1 temperature T heat treatment is calculated device E m (T ) = G [ε / {1-α (1-ε)} − β] {E BB (T) + S}.
減圧環境で被処理体を熱源により加熱する工程と、
前記被処理体の温度を放射温度計により測定する工程と、
前記放射温度計により測定された前記被処理体の温度から前記熱源の加熱力を制御する工程とを有する熱処理方法であって、
前記放射温度計は、
スリットと高反射率面と低反射率面とを有して回転可能なチョッパと、
前記チョッパと前記被処理体との間に配置され、前記被処理体からの熱放射光を透過して前記被処理体とチョッパとの間の前記熱放射光の多重反射を可能にするロッドと、
前記チョッパの前記スリットを通過する前記熱放射光を検出する検出器とを有し、
前記測定工程は、EBB(T)を温度Tの黒体からの放射強度、Em(T)を温度Tの被測定体からの測定された放射強度、εを前記被測定体の放射率、αを多重反射に関する補正係数、βを前記被処理体からの放射光の前記放射温度計による反射損失に関する補正係数、Sを前記熱源からの放射光の漏れ分に関する補正係数、Gを前記被処理体からの放射光の前記放射温度計による吸収損失に関する補正係数とする場合に、少なくともα=β=S=0かつG=1ではない下記式を利用して前記温度Tを算出する熱処理方法
m(T)=G〔ε/{1−α(1−ε)}−β〕{EBB(T)+S}。
Heating the object to be processed with a heat source in a reduced pressure environment;
Measuring the temperature of the object to be processed with a radiation thermometer;
And a step of controlling a heating power of the heat source from a temperature of the object measured by the radiation thermometer,
The radiation thermometer is
A chopper rotatable with a slit, a high reflectivity surface and a low reflectivity surface;
A rod that is disposed between the chopper and the object to be processed and transmits heat radiation light from the object to be processed and enables multiple reflection of the heat radiation light between the object to be processed and the chopper; ,
A detector that detects the thermal radiation that passes through the slit of the chopper;
In the measuring step, E BB (T) is a radiation intensity from a black body at a temperature T, E m (T) is a radiation intensity measured from a measurement object at a temperature T, and ε is an emissivity of the measurement object. , Α is a correction coefficient for multiple reflection, β is a correction coefficient for reflection loss of radiation emitted from the object to be processed by the radiation thermometer, S is a correction coefficient for leakage of radiation emitted from the heat source, and G is the correction coefficient. A heat treatment method for calculating the temperature T using at least the following equation which is not α = β = S = 0 and G = 1 when the correction coefficient relating to the absorption loss of the radiation from the processing object by the radiation thermometer is used. E m (T) = G [ε / {1-α (1-ε)} − β] {E BB (T) + S}.
多重反射環境において熱源から加熱される被測定体の温度Tを放射温度計を用いて測定する温度測定プログラムを格納するコンピュータ可読媒体であって、
前記温度測定プログラムは、EBB(T)を温度Tの黒体からの放射強度、Em(T)を温度Tの被測定体からの測定された放射強度、εを前記被測定体の放射率、αを多重反射に関する補正係数、βを前記被測定体からの放射光の前記放射温度計による反射損失に関する補正係数、Sを前記熱源からの放射光の漏れ分に関する補正係数、Gを前記被測定体からの放射光の前記放射温度計による吸収損失に関する補正係数とする場合に、少なくともα=β=S=0かつG=1ではない下記式を利用して前記温度Tを算出することを特徴とするコンピュータ可読媒体
m(T)=G〔ε/{1−α(1−ε)}−β〕{EBB(T)+S}。
A computer-readable medium storing a temperature measurement program for measuring a temperature T of a measurement object heated from a heat source in a multiple reflection environment using a radiation thermometer,
In the temperature measurement program, E BB (T) is a radiation intensity from a black body at a temperature T, E m (T) is a radiation intensity measured from a measurement object at a temperature T, and ε is a radiation of the measurement object. Rate, α is a correction coefficient for multiple reflections, β is a correction coefficient for reflection loss of radiation emitted from the object to be measured by the radiation thermometer, S is a correction coefficient for leakage of radiation emitted from the heat source, and G is the correction coefficient When the correction coefficient relating to the absorption loss by the radiation thermometer of the radiated light from the object to be measured is used, the temperature T is calculated using at least the following formula that is not α = β = S = 0 and G = 1. A computer readable medium E m (T) = G [ε / {1−α (1−ε)} − β] {E BB (T) + S}.
JP2000121662A 2000-04-21 2000-04-21 Temperature measuring method, heat treatment apparatus and method, and computer-readable medium Expired - Fee Related JP4346208B2 (en)

Priority Applications (3)

Application Number Priority Date Filing Date Title
JP2000121662A JP4346208B2 (en) 2000-04-21 2000-04-21 Temperature measuring method, heat treatment apparatus and method, and computer-readable medium
DE10119047A DE10119047B4 (en) 2000-04-21 2001-04-18 Thermal processing device and thermal processing method
US09/838,566 US6630991B2 (en) 2000-04-21 2001-04-20 Thermal processing apparatus

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2000121662A JP4346208B2 (en) 2000-04-21 2000-04-21 Temperature measuring method, heat treatment apparatus and method, and computer-readable medium

Publications (2)

Publication Number Publication Date
JP2001304971A JP2001304971A (en) 2001-10-31
JP4346208B2 true JP4346208B2 (en) 2009-10-21

Family

ID=18632279

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2000121662A Expired - Fee Related JP4346208B2 (en) 2000-04-21 2000-04-21 Temperature measuring method, heat treatment apparatus and method, and computer-readable medium

Country Status (1)

Country Link
JP (1) JP4346208B2 (en)

Families Citing this family (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101288035B (en) 2005-09-14 2013-06-19 马特森技术有限公司 Repeatable heat-treating methods and apparatus
CN101702950B (en) 2007-05-01 2012-05-30 加拿大马特森技术有限公司 Irradiance pulse heat-treating methods and apparatus
US9279727B2 (en) 2010-10-15 2016-03-08 Mattson Technology, Inc. Methods, apparatus and media for determining a shape of an irradiance pulse to which a workpiece is to be exposed
JP6295674B2 (en) * 2014-01-20 2018-03-20 ウシオ電機株式会社 Heat treatment apparatus and lamp control method
JP6539578B2 (en) 2015-12-22 2019-07-03 株式会社Screenホールディングス Heat treatment apparatus and heat treatment method
JP6720033B2 (en) * 2016-09-14 2020-07-08 株式会社Screenホールディングス Heat treatment equipment
CN107067919B (en) * 2016-10-08 2022-08-26 哈尔滨理工大学 Horizontal pneumatic optical effect simulation device
JP7209598B2 (en) * 2019-07-26 2023-01-20 東京エレクトロン株式会社 SUBSTRATE PROCESSING APPARATUS AND SUBSTRATE PROCESSING METHOD
CN112179661B (en) * 2020-09-18 2022-04-22 中国航发四川燃气涡轮研究院 Heating device for wheel disc test

Also Published As

Publication number Publication date
JP2001304971A (en) 2001-10-31

Similar Documents

Publication Publication Date Title
JP4540796B2 (en) Quartz window, reflector and heat treatment equipment
JP2001308023A (en) Equipment and method for heat treatment
JP4948701B2 (en) Heating apparatus, heat treatment apparatus having the heating apparatus, and heat treatment control method
US6630991B2 (en) Thermal processing apparatus
US6566630B2 (en) Thermal processing apparatus for introducing gas between a target object and a cooling unit for cooling the target object
US6437290B1 (en) Heat treatment apparatus having a thin light-transmitting window
KR101624217B1 (en) Apparatus including heating source reflective filter for pyrometry
US6860634B2 (en) Temperature measuring method, heat treating device and method, computer program, and radiation thermometer
JP5077018B2 (en) Heat treatment equipment
WO1998038673A1 (en) Substrate temperature measuring instrument, method of measuring substrate temperature, substrate heating method and heat treatment device
US6825615B2 (en) Lamp having a high-reflectance film for improving directivity of light and heat treatment apparatus having such a lamp
JP4346208B2 (en) Temperature measuring method, heat treatment apparatus and method, and computer-readable medium
JP4646354B2 (en) Heat treatment apparatus and method
JP4828031B2 (en) Lamp, heat treatment equipment using lamp
JP4905907B2 (en) Lamp and heat treatment apparatus
JP4666427B2 (en) Quartz window and heat treatment equipment
JP2002261038A (en) Heat treatment device
JP2002357481A (en) Temperature measurement method and device therefor, heat treatment device and its method
JP4942880B2 (en) Heat treatment equipment
JP2002198319A (en) Heat treatment apparatus
JP2008218698A (en) Heat treatment apparatus
JP2012032401A (en) Temperature measurement method and apparatus, and heat treatment apparatus and heat treatment method

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20070327

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20090413

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20090428

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20090619

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20090714

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20090714

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20120724

Year of fee payment: 3

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20001115

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20150724

Year of fee payment: 6

LAPS Cancellation because of no payment of annual fees