JP4285108B2 - Trap device, processing system, and impurity removal method - Google Patents

Trap device, processing system, and impurity removal method Download PDF

Info

Publication number
JP4285108B2
JP4285108B2 JP2003181846A JP2003181846A JP4285108B2 JP 4285108 B2 JP4285108 B2 JP 4285108B2 JP 2003181846 A JP2003181846 A JP 2003181846A JP 2003181846 A JP2003181846 A JP 2003181846A JP 4285108 B2 JP4285108 B2 JP 4285108B2
Authority
JP
Japan
Prior art keywords
gas
exhaust gas
working fluid
impurity
exhaust
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP2003181846A
Other languages
Japanese (ja)
Other versions
JP2005013866A (en
Inventor
智仁 小松
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Priority to JP2003181846A priority Critical patent/JP4285108B2/en
Priority to KR1020057024219A priority patent/KR100687942B1/en
Priority to PCT/JP2004/008759 priority patent/WO2005000440A1/en
Priority to CNB2004800017492A priority patent/CN100348288C/en
Priority to US10/562,127 priority patent/US7488374B2/en
Publication of JP2005013866A publication Critical patent/JP2005013866A/en
Application granted granted Critical
Publication of JP4285108B2 publication Critical patent/JP4285108B2/en
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4412Details relating to the exhausts, e.g. pumps, filters, scrubbers, particle traps
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01DSEPARATION
    • B01D53/00Separation of gases or vapours; Recovering vapours of volatile solvents from gases; Chemical or biological purification of waste gases, e.g. engine exhaust gases, smoke, fumes, flue gases, aerosols
    • B01D53/34Chemical or biological purification of waste gases
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01DSEPARATION
    • B01D53/00Separation of gases or vapours; Recovering vapours of volatile solvents from gases; Chemical or biological purification of waste gases, e.g. engine exhaust gases, smoke, fumes, flue gases, aerosols
    • B01D53/34Chemical or biological purification of waste gases
    • B01D53/46Removing components of defined structure
    • B01D53/68Halogens or halogen compounds
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02CCAPTURE, STORAGE, SEQUESTRATION OR DISPOSAL OF GREENHOUSE GASES [GHG]
    • Y02C20/00Capture or disposal of greenhouse gases
    • Y02C20/30Capture or disposal of greenhouse gases of perfluorocarbons [PFC], hydrofluorocarbons [HFC] or sulfur hexafluoride [SF6]
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S55/00Gas separation
    • Y10S55/15Cold traps

Description

【0001】
【発明の属する技術分野】
本発明は、成膜装置等の処理装置より排出される排気ガス中のガス状の不純物を除去するトラップ装置、処理システム及び不純物除去方法に関する。
【0002】
【従来の技術】
一般に、ICなどの集積回路や論理素子を形成するためには、半導体ウエハ、ガラス基板、LCD基板等の表面に、所望の薄い成膜を施す行程やこれを所望のパターンにエッチングする行程が繰り返して行なわれる。
ところで、成膜工程を例にとれば、この工程においては、所定の処理ガス(原料ガス)を処理容器内にて反応させることによってシリコンの薄膜、シリコンの酸化物や窒化物の薄膜、或いは金属の薄膜、金属の酸化物や窒化物の薄膜等を被処理体の表面に形成するが、この成膜反応と同時に余分な反応副生成物が発生し、これが排気ガスと共に排出されてしまう。また、未反応の処理ガスも排出される。
【0003】
この反応副生成物や未反応の処理ガスは、そのまま大気中に放出されると環境汚染等の原因になることから、これを防止するために一般的には処理容器から延びる排気ガス系にトラップ装置を介設し、これにより排気ガス中に含まれている反応副生成物や未反応の処理ガス等を捕獲して除去するようになっている。
このトラップ装置の構成は、捕獲除去すべき反応副生成物等の特性に応じて種々提案されているが、例えば常温で凝縮(液化)、凝固(固化)する反応副生成物を除去する場合には、このトラップ装置はその一例として排気ガスの導入口と排出口を有する筐体内に多数のフィンを設けて構成されている。そして、このフィンは、排気ガスの流れる方向に対して、順次配列してこれらのフィン間を排気ガスが通過する時に排気ガス中の反応副生成物等をフィン表面に付着させて捕獲するようになっている。また、このフィンを冷却流体等により冷却して捕獲効率を上げることも行なわれている。
【0004】
ここで、原料ガスとして高融点金属ハロゲン化合物のTiCl4 (四塩化チタン)を用いてTi金属膜を成膜する場合を例にとって説明すると、原料ガスとしてはTiCl4 の他にH2 ガスを用い、これをArガスの存在下にてプラズマにより活性化して水素で還元し、Ti膜を半導体ウエハ表面に堆積させている。この時、反応副生成物としてTiClx(X<4)が発生し、また、未反応のTiCl4 ガスも存在し、これらのTiClxやTiCl4 等が排気ガスに含まれて流出する。これらのTiClxやTiCl4 等は大気汚染等の原因となる不純物ガスであることから、上記したようなトラップ装置により捕獲されることになる。
【0005】
ここで、上記した未反応ガスであるTiCl4 や反応副生成物であるTiClx等の不純物ガスは、比較的蒸気圧が高いため、上述したようにトラップ装置内を冷却していても、このトラップ装置内で完全に捕獲して除去することがかなり困難であり、十分な回収率が得られない場合があった。このため、トラップ機構よりも下流側に設けられている除害装置で、上記トラップ機構を通り抜けた不純物ガスを完全に除去して無害化するためにかなりの負担がかかり、この除害装置のランニングコストが高騰するのみならず、この除害装置自体の寿命も短くなる、といった問題があった。このような問題は、TiCl4 やWF6 や(Ta(OE)52 (ペントエトキシタンタル)などの高融点金属化合物ガスを用いる成膜装置の共通の問題である。
【0006】
また、TiCl4 を用いる他の成膜方法として、TiN膜を成膜する方法が知られている。すなわち、原料ガスとして高融点金属ハロゲン化合物のTiCl4 (四塩化チタン)を用いてTiN膜を成膜する場合を例にとって説明すると、原料ガスとしてはTiCl4 の他にNH3 ガスを用い、両ガスを反応させることによってTiN膜を半導体ウエハ表面に堆積させている。この時、反応副生成物としてNH4 ClやTiCl4 (NH3 )n(nは正の整数)が発生し、また、未反応のTiCl4 ガスも存在し、これらのガス成分は排気ガスに含まれて流出し、上記したようなトラップ装置により捕獲されることになる。
【0007】
そして、排気ガス中の含まれる不純物ガス、例えば塩素ガスをより完全に除くためにこの不純物ガスと反応する反応性ガス、例えばアンモニアガスを排気系の途中で排気ガスに混合させて不純物ガスを凝縮し易い物質、例えば塩化アンモニウムに変換し、この塩化アンモニウムもトラップ装置にて冷却凝縮させて捕集することにより、不純物ガスを効率的に除去する方法も提案されている(特許文献1)。
またその他に、特許文献2に開示されているように、粉末成形品を焼結する焼結炉より排出されるワックス蒸気を含有する排気ガス中からワックスを液化させて回収する際に、ワックストラップ装置内に小孔を有するトラップ円板を多段に配置し、上記小孔を通過する排気ガスを断熱膨張させつつ排気ガスを自冷してワックスを液化させて回収するようにした技術も開示されている。
【0008】
【特許文献1】
特開2001−214272号公報
【特許文献2】
特開昭62−4405号公報
【0009】
【発明が解決しようとする課題】
ところで、上述したような特許文献1に示すような従来のトラップ装置にあっては、トラップ処理が進むにつれて捕集物が冷却フィンに付着すると、排気ガスは捕集物層を介して冷却フィンと熱交換を行うことから、排気ガスに対する冷却効率が次第に低下し、このため捕集効果も時間の経過と共に劣化して、不純物ガスを完全には除去できなくなるのみならず、メンテナンスの頻度が高くなる、といった問題があった。この場合、捕集効率の経時的劣化を防止するためには、冷却フィンの段数を多く設定することも考えられるが、この場合には装置が過度に大型化するために現実的ではない。またメンテナンス時に捕集物を冷却フィンから洗浄により除去する場合、冷却フィンが多段に形成されて全体構造が複雑なため、洗浄操作も行い難い、といった問題もあった。
【0010】
また特許文献2に示すようなトラップ装置では、捕集物が粘性のある固形物の場合には、捕集物がトラップ円板の小孔を次第に塞いでしまうのでメンテナンスの頻度が非常に高くなる、といった問題があった。
更にこの特許文献2のトラップ装置では、単なる小孔を用いて排気ガスを断熱膨張させているので、この冷却効率はそれ程高くはなく、従って、排気ガス中の不純物ガスを十分に捕集し切れずに、捕集効率が比較的低くなってしまう、といった問題もあった。
本発明は、以上のような問題点に着目し、これを有効に解決すべく創案されたものである。本発明の目的は、排気ガス中からガス状の不純物を除去するために構造が簡単で、且つ捕集効率も常時高く維持することが可能なトラップ装置、処理システム及び不純物除去方法を提供することにある。
【0011】
【課題を解決するための手段】
本発明者は、排気ガス中におけるガス状の不純物のトラップ方法について鋭意研究した結果、ラバルノズルを用いて作動流体を超音速で吹き込むことにより排気ガスを混合させると共に、この混合ガスを断熱膨張させることにより、排気ガスを効率的に冷却してガス状の不純物を凝縮、凝固させて捕集することができる、という知見を得ることにより、本発明に至ったものである。
【0012】
請求項1に係る発明は、成膜用のガスを反応させて被処理体の表面に薄膜を形成する成膜処理を施す処理装置内を真空排気するための真空ポンプを有する真空排気系に介設されて、前記真空排気系内を流れる排気ガス中に含まれるガスであって、前記ガスの未反応ガスや前記ガスの反応によって生じた反応副生成物のガスよりなるガス状の不純物を除去するためのトラップ装置において、前記真空排気系の排気通路に介設された不純物捕集容器と、作動流体を超音速で吹き込むことにより前記排気ガスを混合させると共に生じた混合ガスを断熱膨張させて前記不純物捕集容器内で前記ガス状の不純物が含まれる前記排気ガスの温度を低下させるノズル手段と、前記混合ガス中における前記ガス状の不純物が冷却されて凝縮又は凝固する時に核となる物質を導入するための核導入手段と、を備えたことを特徴とするトラップ装置である。
このように、ノズル手段により作動流体を超音速で吹き込むことにより排気ガスと混合させると共に、この混合ガスを断熱膨張させることにより、排気ガスを冷却してガス状の不純物を凝縮、凝固して捕集させるようにしたので、冷却効率を常に高く維持することができ、従って、捕集効率も常に高く維持することが可能となる。また、従来のトラップ装置で用いた冷却フィン等のような複雑な構造物を不要にできるので、不純物捕集容器内に凝縮、凝固により付着した例えば粘性のある捕集物を除去するメンテナンス作業を行う際に、このメンテナンス作業を迅速に、且つ容易に行うことが可能となる。
また、排気ガス中に凝縮、凝固の起点となる核を導入するようにしたので、ガス状の不純物が過冷却状態になることを防止してこの凝縮、凝固を促進することができるので、不純物の捕集効率を一層向上させることが可能となる。
【0013】
この場合、例えば請求項2に規定するように、前記ノズル手段は、前記不純物捕集容器に対して複数回並列に設けられる。
また例えば請求項3に規定するように、前記ノズル手段は、その流路面積が作動流体の流れ方向に沿って次第に絞り込まれて喉部を通過した後に次第に拡大するようになされたノズル本体を有する。
また例えば請求項4に規定するように、前記ノズル本体は断面が略円形に成形された作動流体噴射口を有しており、前記作動流体噴射口の周囲を囲むようにして前記排気ガスを前記不純物捕集容器側に向けて導入するためのリング状の排気ガス導入口が形成されている。
【0014】
また例えば請求項5に規定するように、前記ノズル本体は断面が略リング状に成形された作動流体噴射口を有しており、その中心部には前記排気ガスを前記不純物捕集容器側に向けて導入するための略円形の排気ガス導入口が形成されている。
また例えば請求項6に規定するように、前記排気ガス導入口へ向かう排気ガスを一時的に滞留させるための前段滞留室が設けられる。
また例えば請求項7に規定するように、前記ノズル手段の先端部側には、前記作動流体噴射口より噴射された超音速の作動流体と前記排気ガス導入口より取り込んだ排気ガスとを混合させる混合管と、その流路面積を順次拡大させてポンプ機能を持たせた拡散管とを順次連結させている。
【0015】
また例えば請求項8に規定するように、前記混合管と前記拡散管とには、前記不純物が凝縮、凝固して付着することを防止するための付着防止用加熱手段が設けられる。
これによれば、付着防止用加熱手段により混合管や拡散管が加熱されているので、この内壁面に不純物が例えば粘性のある固形物状になって付着することを防止することができる。
【0016】
また例えば請求項9に規定するように、前記不純物捕集容器には、前記凝縮、凝固された不純物を付着させるための不純物付着板が着脱可能に設けられる。
また例えば請求項10に規定するように、前記ノズル手段は、ラバルノズルである。
また例えば請求項11に規定するように、前記作動流体は、N 、H 、Ar、Heの内、いずれか1つのガスよりなる。
また例えば請求項12に規定するように、前記処理装置は、成膜用のガスを反応させて被処理体の表面に薄膜を形成する成膜処理を施す処理装置である。
【0017】
請求項13に係る発明は、上記トラップ装置を用いた処理システムであり、すなわち成膜用のガスを反応させて被処理体の表面に薄膜を形成する成膜処理を施す処理装置と、前記処理装置内を真空引きするために途中に真空ポンプが介設された真空排気系と、前記真空排気系に介設された請求項1乃至12のいずれか一項に記載されたトラップ装置と、を備えたことを特徴とする処理システムである。
請求項14に係る発明は、上記トラップ装置を用いて行われるトラップ方法を規定したものであり、すなわち成膜用のガスを反応させて被処理体の表面に薄膜を形成する成膜処理を施す処理装置から排出される排気ガス中から前記ガスの未反応ガスや前記ガスの反応によって生じた反応副生成物のガスよりなるガス状の不純物を除去する不純物除去方法において、前記排気ガス中に作動流体を超音速で吹き込むことにより前記排気ガスを混合させると共に生じた混合ガスを断熱膨張させて前記不純物捕集容器内で前記ガス状の不純物が含まれる前記排気ガスの温度を低下させると共に前記混合ガス中における前記ガス状の不純物が冷却されて凝縮又は凝固する時に核となる物質を導入するようにしたことを特徴とする不純物除去方法である。
【0018】
【発明の実施の形態】
以下に、本発明のトラップ装置、処理システム及び不純物除去方法の一実施例を添付図面に基づいて詳述する。
<第1実施例>
図1は本発明に係るトラップ装置が設けられる処理システムの一例を示す概略構成図、図2は本発明のトラップ装置の第1実施例を示す断面図である。
本実施例では、被処理体としての半導体ウエハの表面に高融点金属化合物ガスとして高融点金属ハロゲン化合物ガスであるTiCl4 ガスを用いてプラズマCVD(Chemical Vapor Deposition)によりTi膜を成膜処理する場合を例にとって説明する。
図1に示すように、この処理システム2は、半導体ウエハWに対してTi膜の成膜を実際に施す処理装置(成膜装置)4と、この処理装置4内の雰囲気を真空引きして排気する真空排気系6と、この真空排気系6に介設された本発明のトラップ装置8とにより主に構成される。
【0019】
まず、処理装置4について説明すると、この処理装置4は、例えばアルミニウム製の筒体状の処理容器10を有しており、この処理容器10は接地されている。この処理容器10内には、底部より導電性の支柱12を介して載置台14が設けられており、この上面に被処理体としての半導体ウエハWを載置して保持するようになっている。この載置台14は、例えばNi等の導電性材料よりなって下部電極を兼用するものであり、内部には、半導体ウエハWを加熱する抵抗加熱ヒータ16が埋め込まれている。
【0020】
また、処理容器10の天井部には、原料ガス等の必要なガスを処理容器10内へ導入するシャワーヘッド18が絶縁材20を介して設けられており、このシャワーヘッド18には、途中に供給側開閉弁22を介設したガス供給通路24が接続されて、それぞれ流量制御されたTiCl4 ガス、H2 ガス、Arガス等の必要なガスを供給できるようになっている。尚、各ガスをそれぞれ独立した供給通路から供給するようにしてもよい。
また、このシャワーヘッド18は、上部電極を兼ねるものであり、これには、マッチング回路26を介して例えば450kHzの高周波電源28が接続されて、載置台14とシャワーヘッド18との間に高周波によるプラズマを発生させるようになっている。尚、この高周波電源28の周波数は450kHzに限定されず、他の周波数を用いてもよく、例えば13.56MHz等を用いてもよい。
また、処理容器10の側壁には、ウエハWの搬出入を行なうゲートバルブ30が設けられ、底部周辺部には排気口32が設けられる。
【0021】
一方、上述のように形成された処理装置4に接続される真空排気系6は、上記排気口32に接続される、例えば内径が10cm程度のステンレス製の排気通路34を有している。この排気通路34には、排気ガス中のガス状の不純物を除去するための上記トラップ装置8、処理容器10内の雰囲気を真空引きする真空ポンプ36及び排気ガス中に残留する不純物ガスを完全に除去する除害装置38が、この順序で下流側に向けて順次介設されている。
また、この排気通路34の最上流側には、この排気通路34の流路面積を変えて処理容器10内の圧力を制御する圧力制御弁40が介設される。上記圧力制御弁40の直ぐ下流側の排気通路34には、この排気通路34内へ流量制御されたアンモニア(NH3 )ガスを注入するアンモニアガスノズル42が設けられており、ここを流れる排気ガス中にアンモニアガスを注入して排気ガス中に含まれる塩化水素や塩素ガスとこのアンモニアガスとを反応させて塩化アンモニウム等を形成し得るようになっている。
【0022】
また上記トラップ装置8の直ぐ上流側及び下流側の排気通路34には、このトラップ装置8の着脱時等にこれを排気通路34から隔離するための開閉弁44がそれぞれ介設されている。また上記ガス供給通路24及び上記処理容器10と上記トラップ装置8との間の排気通路34には、それぞれ図中点線で示すようにテープヒータ46A、46Bが巻回されており、各通路24、34内を流れる不純物ガスの臨界温度(凝縮温度、或いは凝固温度)以上の温度に加熱して通路24、34内で不純物ガスが液化したり、固化したりすることを防止するようになっている。
【0023】
そして本発明に係るトラップ装置8は、図2にも示すように、例えばアルミニウム製の箱状に成形された不純物捕集容器50を有している。この不純物捕集容器50の天井部にはガス入口52が形成されており、このガス入口52に上流側より延びてくる排気通路34を接続して排気ガスを導入するようになっている。またこの不純物捕集容器50の一側壁には、ガス出口54が形成されており、このガス出口54に下流側に延びる排気通路34を接続してガス状の不純物が除去された排気ガスを下流側へ排出するようになっている。尚、上記ガス入口52及びガス出口54の設置位置は特に限定されない。
【0024】
また上記不純物捕集容器50の他の一側壁には、例えば開閉可能になされた開閉ドア56となっており、メンテナンス時等の必要時にこの開閉ドア56を開閉し得るようになっている。この開閉ドア56は、Oリング等のシール部材58を介して気密に閉塞される。またこの不純物捕集容器50内の底部の略全面には、着脱可能になされた不純物付着板60が取り付けられており、この上面に凝縮、凝固させて例えば粘度の高い液状になった不純物、或いは凝縮、凝固した不純物M(図2参照)を付着させるようになっている。
【0025】
そして、この不純物付着板60に対向する不純物捕集容器50の天井部62には、本発明の特徴とするノズル手段64が設けられている。このノズル手段64には、作動ガス通路66を介して作動流体として例えばN2 ガスを貯留する作動ガス源68が接続されており、所定の圧力のN2 ガスを上記ノズル手段64に向けて供給できるようになっている。またこの作動ガス通路66の途中には、N2 ガスの供給を制御する開閉弁70が介設されている。そして、上記ノズル手段64の直ぐ上流側の作動ガス通路66には、この作動流体中にガス状の不純物が凝縮、凝固する時に核となる物質を導入するための核導入手段72が設けられている。
【0026】
この凝縮、凝固の起点となる核を導入する核導入手段72としては、ここでは上記作動ガス通路66に取り付けたガスノズル72Aを有しており、このガスノズル72Aより核として流量制御された水蒸気を導入し得るようになっている。そして上記構成により、上記ノズル手段64からは、超音速状態で上記作動流体(N ガス)を噴射し得るようになっている。この結果、作動流体は、不純物捕集容器50内に吹き込まれて排気ガスと混合しつつ断熱膨張して排気ガスを冷却し、ガス状の不純物をこの臨界点以下に冷却して凝縮、凝固し得るようになっている。
【0027】
この場合、図2に示すように上記ノズル手段64を形成するノズル本体74は、その中心に流路面積が作動流体の流れ方向に沿って次第に絞り込まれて、最も流路面積が狭い喉部74Aを通過した後に次第に拡大するような形状となっており、従って、その最下端部の断面が略円形になされた作動流体噴射口74Cとなっている。このようなノズル手段64としては、例えばラバルノズルを用いることができる。
【0028】
次に、以上のように構成された処理システムを用いて行なわれる不純物除去方法について説明する。
まず、Ti膜の成膜時には、処理装置4の処理容器10内の載置台14上に半導体ウエハWを載置し、そして、これを所定の温度に昇温加熱維持する。これと同時に、下部電極である載置台14と上部電極であるシャワーヘッド18との間に高周波電圧を印加し、また、シャワーヘッド14からTiCl4 ガス、H2 ガス、Arガス等の所定のガスを流量制御しつつ流し、処理空間にプラズマを立ててTi膜の成膜を行なう。これと同時に、真空排気系6も駆動して処理容器10内の雰囲気を真空引きして内部を所定の圧力に維持する。
【0029】
この時のプロセス条件に関しては、例えばウエハサイズが8インチサイズと仮定すると、プロセス圧力は665Pa(≒5Torr)、プロセス温度は650℃程度、TiCl4 ガスの流量は5sccm程度、H2 ガスの流量は2000sccm程度、Arガス流量は500sccm程度である。
上記Ti膜の成膜反応により、TiCl4 ガスは約10%程度消費されるが、残りの約90%程度は未反応ガスとして、また、TiCl2 やTiCl3 やHClなどの反応副生成物として排気ガスと共に排気口32より真空排気系6の排気通路34内へ流入してこれを流下し、この排気ガスは更にトラップ装置8、真空ポンプ36及び除害装置38の順に順次流れて行く。ここで、上記未反応ガスや反応副生成物の内、特にTiCl4 ガスは比較的蒸気圧が高いので、トラップされ難いが、アンモニアガスノズル42から反応ガスとしてNH3 ガスを排気通路34中に導入しており、これにより、NH3 ガスと主にTiCl4 ガスとを反応させてTiCl4 ・2NH3 の錯体よりなる化合物を形成している。この錯体は、TiCl4 ガスよりもかなり蒸気圧が低く、例えばTiCl4 ガスは21.3℃において1300Paであるが、上記錯体は21.3℃において1×10-4Pa程度である。また、HClガスもNH3 ガスと反応してNH4 Clガスとなるが、これも蒸気圧が低い。
【0030】
このように、主として未反応残留ガスを、NH3 ガスと反応させて蒸気圧の低い化合物に変換され、また、反応副生成物であるHClをNH3 ガスと反応させて蒸気圧の低い化合物に変換され、トラップ装置8内で比較的捕集し易くなっている。上記錯体やNH4 Cl等よりなるガス状の不純物は排気ガス中に含まれて、トラップ装置8のガス入口52より不純物捕集容器50内へ導入されることになる。
ここで、この不純物捕集容器50内へは、この天井部に設けたノズル手段64より作動流体としてN2 ガスが断熱膨張により超音速状態で吹き込まれている。このN2 ガスは断熱膨張をすることにより自らは温度が低下し(これを自冷とも称す)つつ排気ガスと混合し、これによって排気ガスを冷却するので上記ガス状の不純物は臨界点以下に冷却されて凝縮し、或いは凝固して析出し、この不純物Mは不純物捕集容器50内の底部に設けた不純物付着板60に付着して堆積することによって捕集されることになる。このようにしてガス状の不純物が除去された排気ガスは、ガス出口54より排出されて下流側の真空ポンプ36の方に流れて行く。
【0031】
このように、圧力差を作動流体であるN ガスの運動エネルギに効率的に変換して超音速状態を実現できるノズル、例えばラバルノズルを用い、このラバノズルより吹き出されたN ガスが排気ガスと混合して断熱膨張し、この時に自冷する冷熱によりガス状の不純物を冷却して凝縮、凝固させるようにしたので、ガス状の不純物を効率的に排ガス中から除去することができる。
また従来のトラップ装置で用いた冷却フィン等を使用していないので、冷却効率を常時高く維持することができ、しかも、捕集された不純物が増加しても排気コンダクタンスに悪影響を与えることもない。しかも、上述したように冷却フィン等を用いていないので、トラップ装置8の全体構成も簡単化することができる。尚、この時の作動流体の流量は、上流側の処理容器10内の圧力制御に悪影響を与えないような流量とする。
【0032】
また上記作動流体であるN2 ガス中には、核導入手段72より導入された水蒸気が含まれているので、これが不純物捕集容器50内で冷却されて微細な氷粒となって核として機能し、上記ガス状の不純物が過冷却されることなく上記氷粒を核として凝縮、凝固して析出することになり、この結果、、不純物の捕集効率を一層高めることが可能となる。尚、上記核導入手段72を不純物捕集容器50に設けて、水蒸気をこの不純物捕集容器50内に直接的に導入するようにしてもよい。この点は後述する他の実施例でも同様である。
【0033】
またこのトラップ装置8のメンテナンス時には、開閉ドア56を取り外した後に、着脱可能になされた不純物付着板60を不純物捕集容器50より取り出し、この不純物付着板60の上面に付着している不純物Mを洗浄して除去するだけで済むので、メンテナンス作業性も大幅に向上させることができる。
尚、この第1実施例では、本発明の理解を容易にするために、ノズル手段64を一基のみ設けた場合を例にとって説明したが、このノズル手段64を複数個並列させて設けるようにし、各ノズル手段64から不純物捕集容器50内へ水蒸気が混入された作動流体を噴射して吹き込むようにしてもよい。
【0034】
<第2実施例>
次に本発明の第2実施例について説明する。この第2実施例では先の第1実施例のノズル手段64の構造を少し変更し、これを複数個並列に設けた構成となっている。
図3はこのような本発明のトラップ装置の第2実施例を示す断面図、図4は図3中の1つのノズル手段を示す拡大断面図、図5は図4中のA−A線矢視断面図である。尚、図1及び図2に示す部分と同一構成部分については同一符号を付してその説明を省略する。
図示するように、ここでは不純物捕集容器50の前段側に処理容器10側から流れてくる排気ガスを一時的に滞留乃至貯留するための例えばステンレス製の前段滞留室80を有している。この前段滞留室80の側壁の一部にガス入口82が設けられており、このガス入口82に上流側の排気通路34を接続して排気ガスを流入させるようになっている。
【0035】
そして、上記前段滞留室80の長手方向の側壁と前記不純物捕集容器50の天井部62との間には、前段滞留室80と不純物捕集容器50内とを連通するようにして複数、図示例では9個の連通路84が並列に設けられており、この連通路84を介して前段滞留室80内の排気ガスを不純物捕集容器50内の方へ流すようになっている。この連通路84は、図4にも示すように、排気ガスの流れ方向に沿ってその内径が順次縮径されて円錐状になされた導入管86と、この導入管86に続く円筒体状の混合管88と、この混合管88に続いて排気ガスの流れ方向に沿ってその内径が順次拡径された拡散管90とにより主に構成されている。
【0036】
一方、上記前段滞留室80内には、上記作動ガス通路66に接続される所定の大きさの作動ガスヘッダ92が設けられており、この作動ガスヘッダ92内に凝縮、凝固の起点となる水蒸気が含有された作動ガスを導入するようになっている。そして、上記作動ガスヘッダ92から、上記各連通路84に向けて、図2において説明したものと同様な構造のノズル手段64が延在させて設けられている。このノズル手段64のノズル本体74の先端部は、図4にも示すように、上記導入管86と混合管88との接合部に略位置されており、非接触状態になされている。
【0037】
従って、この部分において図5にも示すように、中心部では断面が略円形の作動流体噴射口74Cが形成され、この作動流体噴射口74Cの周囲を囲むようにして断面が略リング状の排気ガス導入口94が形成されることになり、この排気ガス導入口94から排気ガスが不純物捕集容器50内に向けて導入される。ここで上記ノズル本体74は、前述したように、その中心に流路面積が作動流体の流れ方向に沿って次第に絞り込まれて、最も流路面積が狭い喉部74Aを通過した後に次第に拡大するような形状となっており、従って、その最下端部の断面が略円形になされた作動流体噴射口74Cとなっている。このようなノズル手段64としては、前述したように例えばラバルノズルを用いることができる。
【0038】
このようにして、ノズル手段64から超音速状態の作動流体を噴射するようにした結果、このノズル手段64はエジェクターポンプのようなポンプ機能を有することになり、排気ガス導入口94からの排気ガスは作動ガスの噴流に押し流されて排気側に向かって流れるようになっている。
また、この混合管88と拡散管90の外周壁には、例えばテープヒータのような付着防止用加熱手段96が設けられており、これをガス状の不純物の臨界温度以上に加熱することにより、この内壁面に不純物が凝縮、凝固して付着することを防止するようになっている。
【0039】
この第2実施例の場合には、基本的には第1実施例の場合と同様な作用効果を発揮できる。例えば処理容器10側から流れてくる排気ガスは前段滞留室80内で全体に拡散し、各連通路84を介して並行して不純物捕集容器50内側へ導入されることになる。これと同時に、作動ガスヘッダ92を介して各ノズル手段64の作動流体噴出口74Cからは、作動流体として例えばN2 ガスが断熱膨張により超音速状態で噴射される。この超音速状態のN2 ガスは、リング状の排気ガス導入口94から導入される排気ガスと混合管88内にて混合されつつ拡散管90内を拡散されて不純物捕集容器50内に至り、ガス状の不純物を冷却して凝縮、凝固させ、不純物付着板60上に不純物Mが付着することになる。これにより、第1実施例の場合と同様に、排気ガス中から不純物を効率的に除去することができる。特に複数個のノズル手段64を並列に設けたので、その分、不純物の除去効率を高めることができる。
【0040】
また作動流体中に例えば水蒸気のような核を混入させることにより、第1実施例の場合と同様に、ガス状の不純物の過冷却をなくして、この不純物の除去効率を一層高めることができる。更には、従来のトラップ装置は排気コンダクタンスを低下させるように作用するのに対して、この第2実施例では、ノズル手段64はポンプ機能を発揮して作動流体噴射口74Cの周囲に設けたリング状の排気ガス導入口94からの排気ガスを巻き込むようにして排気側へ押し流すように作用するので、排気コンダクタンスを高めることができ、排気系に悪影響を与えることがない。また混合管88や拡散管90には、付着防止用加熱手段96を設けてこれを加熱するようにしているので、この内壁面側に不純物が付着することを防止することができる。
【0041】
ここで図4に示す構成において、各部における温度、圧力、流速等の各パラメータについて検討した結果、次に示すような結果を得ることができた。
ノズル入口における作動流体圧力P1:1.33×104 Pa(≒0.1atm)
作動ガス源68内の作動流体のガス温度T1:293K(20℃)
ノズル入口における作動流体流速U1:0.0m/s(超音速に比べればゼロとみなせる)
ガスの比熱比κ:1.4
排気ガス導入口94の排気ガスの圧力Pe2:133Pa
排気ガス導入口94の排気ガスの温度Te2:423K(150℃)
排気ガス導入口94の排気ガスの速度Ue2:328.2m/s
排気ガス導入口94の面積Se:808.5mm2
作動流体噴射口74Cの面積Sn:1155.0mm2
混合管88の直径D1:50.0mm
拡散管90の出口直径D2:53.9mm
【0042】
上記のように各パラメータを設定した時、以下に示すような結果を得た。
ノズル出口における圧力Pn2:133Pa(≒0.001atm)
ノズル出口における作動流体温度Tn2:78.6K(−194.4℃)
ノズル出口における作動流体速度Un2:656.4m/s(超音速状態)
混合管88の出口における圧力P4:133Pa
混合管88の出口における混合ガスの温度T4:150.8K(−122.2℃)
混合管88の出口における混合ガスの速度U4:413.3m/s
拡散管90の出口における圧力P5:189.9Pa
拡散管90の出口における混合ガスの温度T5:167.0K(−106.0℃)
拡散管90の出口における混合ガスの速度U5:372m/s
【0043】
以上に示したように、作動流体がノズルの出口から超音速状態で噴射された後に混合管88、拡散管90を経て不純物捕集容器50内に至るまで、混合ガスの温度は非常に低くできることが確認できた。
【0044】
<第3実施例>
次に本発明の第3実施例について説明する。この第3実施例では先の第2実施例のノズル手段64の構造に関して、中心側と外周側とを逆転させた構造とし、中心側より排気ガスを流し、外周側より作動流体を噴射させるようにしたものである。
図6はこのような本発明のトラップ装置の第3実施例を示す断面図、図7は図6中の1つのノズル手段を示す拡大断面図、図8は図7中のB−B線矢視断面図である。尚、図3乃至図5に示す部分と同一構成部分については同一符号を付してその説明を省略する。
図示するように、ここでも第2実施例と同様に、不純物捕集容器50の前段側に処理容器10側から流れてくる排気ガスを一時的に滞留乃至貯留するための例えばステンレス製の前段滞留室80を有している。この前段滞留室80の側壁の一部にガス入口82が設けられており、このガス入口82に上流側の排気通路34を接続して排気ガスを流入させるようになっている。
【0045】
そして、上記前段滞留室80の長手方向の側壁からは略円筒状の複数の、図示例では6本のノズル本体100が不純物捕集容器50に向けて延在させて設けられている。また前段滞留室80と上記不純物捕集容器50との間には、作動ガス通路66に接続された所定の大きさの作動ガスヘッダ92が介在させて設けられている。
【0046】
そして、上記作動ガスヘッダ92の長手方向の側壁と前記不純物捕集容器50の天井部62との間には、作動ガスヘッダ92と不純物捕集容器50内とを連通するようにして複数、図示例では6個の連通路102が並列に設けられており、この連通路102を介して作動ガスヘッダ92内の作動流体を不純物捕集容器50内の方へ流すようになっている。この連通路102は、図7にも示すように、作動流体の流れ方向に沿ってその内径が順次縮径されて円錐状になされた導入管104と、この導入管104に続く円筒体状の混合管106と、この混合管106に続いて排気ガス(作動流体)の流れ方向に沿ってその内径が順次拡径された拡散管108とにより主に構成されている。
【0047】
ここで上記導入管104と混合管106とで、ノズル外筒110が構成されており、このノズル外筒110と上記ノズル本体100とで、ノズル手段112を形成している。具体的には、上記ノズル本体100は、上記作動ガスヘッダ92の一側壁を気密に貫通してヘッダ内部へ挿入され、このノズル本体100の先端部は、上記混合管106の途中まで挿通されて非接触状態になされている。そして、上記ノズル本体100の先端部の外周には、流路面積が作動流体の流れ方向に沿って次第に絞り込まれて流路面積が最も狭い喉部100Aを通過した後に次第に拡大するような形状となる断面凸状になされた絞り部112がリング状に形成されており、この流路を作動流体が通った時に、▲1▼部と▲2▼部(図7参照)の差圧が有効に速度に変換されて低温で超音速の状態が実現できるようになっている。
【0048】
従って、図8にも示すように、中心部では断面が略円形の排気ガス導入口114が形成され、この排気ガス導入口114の周囲を囲むようにして断面が略リング状の作動流体噴射口100Cが形成されることになり、上記排気ガス導入口114から排気ガスが不純物捕集容器50内に向けて導入される。また、上記作動流体噴射口100Cから作動流体が噴射される。尚、上記断面凸状の絞り部112は、ノズル本体100側ではなく、混合管106の内面側に設けるようにしてもよく、或いは両者に設けるようにしてもよく、いずれにしても、作動流体を超音速状態で噴射できる、いわゆるラバルノズルを形成できるならば、その形状は問わない。
【0049】
この第3実施例の場合にも、先の第1実施例及び第2実施例と同様な作用効果を発揮できる。すなわち、排気ガスはノズル本体100の中心を通って排気ガス導入口114より放出され、また作動流体であるN ガスは作動ガスヘッダ92から導入管104内及び喉部100Aを通ってリング状の作動流体噴射口100Cより超音速状態で噴射される。この時このN ガスは排気ガスと混合されて、この混合ガスが断熱膨張する結果、自冷して低温になるので、前述したように排気ガスを巻き込みつつガス状の不純物を凝縮、凝固することになる。この場合、第2実施例の場合と同様に、このノズル手段112はポンプ機能を発揮するので、排気コンダクタンスに悪影響を与えることを防止することができる。
【0050】
更には、この第3実施例の場合には、排気ガスの周囲を囲むようにして作動流体であるN2 ガスが流れることになるので、排気ガスが混合管106の内壁面や拡散管108の内壁面に直接接触することを避けることができる。従って、上記凝縮、凝固した不純物が、上記混合管106や拡散管108の内壁面に付着することを防止することができる。尚、この第3実施例の場合でも、上記不純物の付着を完全に防止するために第2実施例のように付着防止用加熱手段96を設けるようにしてもよい。
尚、上記各実施例においては、凝縮、凝固の起点となる核を形成するために、水蒸気を導入してこれを氷結させるようにしたが、これに限定されず、セラミックスや石英等のパウダを用いるようにしてもよい。また作動流体に関してもN2 ガスに限定されず、ArガスやHeガス等の不活性ガス、H2 ガス等を用いてもよい。
【0051】
また成膜する膜種に関してもTi膜に限定されず、反応副生成物や未反応物質を排気ガス中から除去する必要のある全ての成膜装置、或いは処理装置に本発明を適用することができる。
また、上記各実施例では、被処理体として半導体ウエハを例にとって説明したが、これに限定されず、ガラス基板、LCD基板等にも適用できるのは勿論である。
【0052】
【発明の効果】
以上説明したように、本発明のトラップ装置、処理システム及び不純物除去方法によれば、次のように優れた作用効果を発揮することができる。
請求項1〜7、9〜14の発明によれば、ノズル手段により作動流体を超音速で吹き込むことにより排気ガスと混合させると共に、この混合ガスを断熱膨張させることにより、排気ガスを冷却してガス状の不純物を凝縮、凝固して捕集させるようにしたので、冷却効率を常に高く維持することができ、従って、捕集効率も常に高く維持することができる。また、従来のトラップ装置で用いた冷却フィン等のような複雑な構造物を不要にできるので、不純物捕集容器内に凝縮、凝固により付着した例えば粘性のある捕集物を除去するメンテナンス作業を行う際に、このメンテナンス作業を迅速に、且つ容易に行うことができる。
また、排気ガス中に核を導入するようにしたので、ガス状の不純物が過冷却状態になることを防止してこの凝縮、凝固を促進することができるので、不純物の捕集効率を一層向上させることができる。
請求項8の発明によれば、付着防止用加熱手段により混合管や拡散管が加熱されているので、この内壁面に不純物が例えば粘性のある固形物状になって付着することを防止することができる。
【図面の簡単な説明】
【図1】本発明に係るトラップ装置が設けられる処理システムの一例を示す概略構成図である。
【図2】本発明のトラップ装置の第1実施例を示す断面図である。
【図3】本発明のトラップ装置の第2実施例を示す断面図である。
【図4】図3中の1つのノズル手段を示す拡大断面図である。
【図5】図4中のA−A線矢視断面図である。
【図6】本発明のトラップ装置の第3実施例を示す断面図である。
【図7】図6中の1つのノズル手段を示す拡大断面図である。
【図8】図7中のB−B線矢視断面図である。
【符号の説明】
2 処理システム
4 処理装置
6 真空排気系
8 トラップ装置
10 処理容器
34 排気通路
36 真空ポンプ
38 除害装置
50 不純物捕集容器
64 ノズル手段
66 作動ガス通路
68 作動ガス源
72 核導入手段
74 ノズル本体
74A 喉部
74C 作動流体噴射口
80 前段滞留室
86 導入管
88 混合管
90 拡散管
92 作動ガスヘッダ
94 排気ガス導入口
96 付着防止用加熱手段
W 半導体ウエハ(被処理体)
[0001]
BACKGROUND OF THE INVENTION
The present invention relates to a trap apparatus, a processing system, and an impurity removing method for removing gaseous impurities in exhaust gas discharged from a processing apparatus such as a film forming apparatus.
[0002]
[Prior art]
In general, in order to form an integrated circuit such as an IC or a logic element, a process of forming a desired thin film on a surface of a semiconductor wafer, a glass substrate, an LCD substrate, or the like and a process of etching the film into a desired pattern are repeated. It is done.
By the way, taking a film forming process as an example, in this process, a predetermined process gas (raw material gas) is reacted in a processing container to react with a silicon thin film, a silicon oxide or nitride thin film, or a metal. A thin film of metal, a thin film of metal oxide or nitride, etc. are formed on the surface of the object to be processed. At the same time as this film formation reaction, an extra reaction byproduct is generated and discharged together with the exhaust gas. Unreacted processing gas is also discharged.
[0003]
Since these reaction by-products and unreacted processing gas are released into the atmosphere as they are, they cause environmental pollution and the like, and are generally trapped in an exhaust gas system extending from the processing container to prevent this. An apparatus is interposed to capture and remove reaction by-products and unreacted processing gas contained in the exhaust gas.
Various configurations of this trap apparatus have been proposed according to the characteristics of reaction by-products to be captured and removed. For example, when removing reaction by-products that are condensed (liquefied) and solidified (solidified) at room temperature, As an example, this trap device is configured by providing a large number of fins in a housing having an exhaust gas inlet and an exhaust port. The fins are sequentially arranged with respect to the flow direction of the exhaust gas so that when the exhaust gas passes between the fins, reaction by-products in the exhaust gas adhere to the fin surface and are captured. It has become. In addition, the fins are cooled by a cooling fluid or the like to increase the capture efficiency.
[0004]
Here, TiCl, which is a refractory metal halogen compound, is used as a source gas.Four The case where a Ti metal film is formed using (titanium tetrachloride) will be described as an example.Four Besides H2 A gas is used, which is activated by plasma in the presence of Ar gas and reduced with hydrogen, and a Ti film is deposited on the surface of the semiconductor wafer. At this time, TiClx (X <4) is generated as a reaction by-product, and unreacted TiClFour There are also gases, these TiClx and TiClFour Etc. are contained in the exhaust gas and flow out. These TiClx and TiClFour Are trapped by the trap device as described above because they are impurity gases that cause air pollution.
[0005]
Here, TiCl which is the above-mentioned unreacted gasFour Since the impurity gas such as TiClx which is a reaction by-product has a relatively high vapor pressure, it can be completely captured and removed in the trap device even if the trap device is cooled as described above. In some cases, it was quite difficult to obtain a sufficient recovery rate. For this reason, in the abatement apparatus provided on the downstream side of the trap mechanism, it takes a considerable burden to completely remove the impurity gas that has passed through the trap mechanism and render it harmless. There was a problem that not only the cost increased, but also the life of the abatement device itself was shortened. Such a problem is caused by TiClFour And WF6 Ya (Ta (OE)Five )2 This is a common problem of a film forming apparatus using a refractory metal compound gas such as (pentoethoxytantalum).
[0006]
TiClFour As another film forming method using a TiN film, a method of forming a TiN film is known. That is, TiCl, which is a refractory metal halide, is used as a source gas.Four The case where a TiN film is formed using (titanium tetrachloride) will be described as an example.Four In addition to NHThree A TiN film is deposited on the surface of the semiconductor wafer by using gases and reacting both gases. At this time, NH as a reaction by-productFour Cl and TiClFour (NHThree ) N (n is a positive integer) and unreacted TiClFour There is also gas, and these gas components are included in the exhaust gas and flow out, and are captured by the trap device as described above.
[0007]
In order to remove the impurity gas contained in the exhaust gas, for example, chlorine gas more completely, a reactive gas that reacts with the impurity gas, for example, ammonia gas, is mixed with the exhaust gas in the middle of the exhaust system to condense the impurity gas. There is also proposed a method of efficiently removing impurity gas by converting it into a substance that is easily treated, for example, ammonium chloride, and cooling and condensing this ammonium chloride in a trap device (Patent Document 1).
In addition, as disclosed in Patent Document 2, when the wax is liquefied and recovered from the exhaust gas containing the wax vapor discharged from the sintering furnace for sintering the powder molded product, a wax trap is used. Also disclosed is a technique in which trap disks having small holes are arranged in multiple stages in the apparatus, and the exhaust gas passing through the small holes is adiabatically expanded and the exhaust gas is cooled by itself to liquefy and recover the wax. ing.
[0008]
[Patent Document 1]
JP 2001-214272 A
[Patent Document 2]
JP 62-4405 A
[0009]
[Problems to be solved by the invention]
By the way, in the conventional trap apparatus as shown in Patent Document 1 as described above, when the trapped material adheres to the cooling fin as the trap process proceeds, the exhaust gas passes through the trapped material layer and the cooling fin. Since heat exchange is performed, the cooling efficiency with respect to the exhaust gas gradually decreases, so that the trapping effect also deteriorates with time, and not only the impurity gas cannot be completely removed, but also the frequency of maintenance increases. There was a problem such as. In this case, in order to prevent the collection efficiency from deteriorating with time, it is conceivable to set a large number of cooling fins. However, in this case, the apparatus becomes excessively large, which is not practical. Further, when the collected matter is removed from the cooling fins by cleaning during maintenance, there is a problem that the cooling fins are formed in multiple stages and the entire structure is complicated, so that the cleaning operation is difficult to perform.
[0010]
Further, in the trap device as shown in Patent Document 2, when the collected material is a viscous solid material, the collected material gradually closes the small holes of the trap disk, so that the maintenance frequency becomes very high. There was a problem such as.
Further, in the trap device of Patent Document 2, exhaust gas is adiabatically expanded using simple holes, so that the cooling efficiency is not so high, and therefore, the impurity gas in the exhaust gas is sufficiently collected. In addition, there is a problem that the collection efficiency is relatively low.
The present invention has been devised to pay attention to the above problems and to effectively solve them. An object of the present invention is to provide a trap device, a processing system, and an impurity removal method that have a simple structure for removing gaseous impurities from exhaust gas and that can maintain a high collection efficiency at all times. It is in.
[0011]
[Means for Solving the Problems]
  As a result of earnest research on the trapping method of gaseous impurities in exhaust gas, the present inventor has used a Laval nozzle.By mixing the exhaust gas by blowing the working fluid at supersonic speed, and adiabatic expansion of the mixed gas,The present invention has been achieved by obtaining the knowledge that exhaust gas can be efficiently cooled to condense, solidify and collect gaseous impurities.
[0012]
  The invention according to claim 1Deposition process that forms a thin film on the surface of the object by reacting the film forming gasIs included in the exhaust gas flowing in the vacuum exhaust system, which is interposed in the vacuum exhaust system having a vacuum pump for evacuating the inside of the processing apparatus.Gas, which is a reaction by-product generated by the reaction of the gas and an unreacted gas of the gasIn the trap device for removing gaseous impurities, an impurity collection container interposed in the exhaust passage of the vacuum exhaust system,The exhaust gas is mixed by blowing the working fluid at supersonic speed, and the resulting mixed gas is expanded adiabatically.In the impurity collection containerBefore the gaseous impurities are containedNozzle means for reducing the temperature of the exhaust gas;A nucleus introducing means for introducing a substance that becomes a nucleus when the gaseous impurities in the mixed gas are cooled and condensed or solidified;A trap device characterized by comprising:
  Thus, by the nozzle meansBy blowing the working fluid at supersonic speed and mixing it with the exhaust gas, by adiabatically expanding this mixed gas,The exhaust gas is cooled to condense and solidify and collect gaseous impurities, so that the cooling efficiency can always be kept high, and therefore the collection efficiency can always be kept high. . In addition, since a complicated structure such as a cooling fin used in a conventional trap device can be made unnecessary, a maintenance work for removing, for example, a viscous trapped substance that has adhered to the impurity collection container due to condensation or solidification. When performing, this maintenance work can be performed quickly and easily.
  In addition, since the nucleus that is the starting point of condensation and solidification is introduced into the exhaust gas, it is possible to prevent the gaseous impurities from being brought into a supercooled state and promote the condensation and solidification. It is possible to further improve the collection efficiency.
[0013]
In this case, for example, as defined in claim 2, the nozzle means is provided in parallel with the impurity collecting container a plurality of times.
Further, for example, as defined in claim 3, the nozzle means has a nozzle body whose flow passage area is gradually narrowed along the flow direction of the working fluid and gradually increases after passing through the throat. .
Further, for example, as defined in claim 4, the nozzle body has a working fluid injection port having a substantially circular cross section, and the exhaust gas is trapped in the periphery of the working fluid injection port. A ring-shaped exhaust gas inlet for introduction toward the collection container is formed.
[0014]
Further, for example, as defined in claim 5, the nozzle body has a working fluid injection port whose cross section is formed in a substantially ring shape, and the exhaust gas is introduced to the impurity collection container side in the center thereof. A substantially circular exhaust gas inlet for introduction is formed.
For example, as defined in claim 6, a pre-stage retention chamber is provided for temporarily retaining the exhaust gas toward the exhaust gas inlet.
For example, as defined in claim 7, the supersonic working fluid ejected from the working fluid ejection port and the exhaust gas taken in from the exhaust gas introduction port are mixed on the tip end side of the nozzle means. A mixing tube and a diffusion tube having a pump function by sequentially increasing the flow path area are sequentially connected.
[0015]
  Further, for example, as defined in claim 8, the mixing tube and the diffusion tube are provided with an adhesion preventing heating means for preventing the impurities from condensing and solidifying.
  According to this, since the mixing tube and the diffusion tube are heated by the adhesion preventing heating means, it is possible to prevent impurities from adhering to the inner wall surface in the form of, for example, a viscous solid.
[0016]
  Also for example billingItem 9As described above, the impurity collecting container is detachably provided with an impurity attachment plate for attaching the condensed and solidified impurities.
  For example, claimsNo. 10As can be seen, the nozzle means is a Laval nozzle.
  Also for example billingItem 11As defined, the working fluid is N2 , H2 , Ar, and He are made of any one gas.
  Also for example billingItem 12As specified, the processing device comprises:A film forming process is performed in which a film forming gas is reacted to form a thin film on the surface of the object to be processed.It is a processing device.
[0017]
  Claim13The invention is a processing system using the trap device, that is,Processing to form a thin film on the surface of the object to be processed by reacting the film forming gas2. An apparatus, an evacuation system in which a vacuum pump is provided in the middle to evacuate the inside of the processing apparatus, and an evacuation system interposed in the evacuation systemUp to twelveWhat is claimed is: 1. A processing system comprising: the trap device according to claim 1.
  ClaimItem 14The invention according to the above stipulates a trapping method performed using the trap device, that is,A process for forming a thin film on the surface of an object to be processed by reacting a film forming gas.From the exhaust gas exhausted from the control deviceA gaseous state comprising an unreacted gas of the gas or a reaction by-product gas generated by the reaction of the gas.In the impurity removal method for removing impurities, in the exhaust gasBefore the exhaust gas is mixed by blowing a working fluid at supersonic speed, and the resulting mixed gas is adiabatically expanded to contain the gaseous impurities in the impurity collection container.While reducing the temperature of the exhaust gasA substance that becomes a nucleus when the gaseous impurities in the mixed gas are cooled and condensed or solidified is introduced.This is an impurity removal method characterized by the above.
[0018]
DETAILED DESCRIPTION OF THE INVENTION
Hereinafter, an embodiment of a trap device, a processing system, and an impurity removal method of the present invention will be described in detail with reference to the accompanying drawings.
<First embodiment>
FIG. 1 is a schematic configuration diagram showing an example of a processing system provided with a trap apparatus according to the present invention, and FIG. 2 is a cross-sectional view showing a first embodiment of the trap apparatus of the present invention.
In this embodiment, TiCl, which is a refractory metal halide compound gas, is used as the refractory metal compound gas on the surface of the semiconductor wafer as the object to be processed.Four A case where a Ti film is formed by plasma CVD (Chemical Vapor Deposition) using a gas will be described as an example.
As shown in FIG. 1, the processing system 2 evacuates the processing apparatus (film forming apparatus) 4 that actually forms a Ti film on the semiconductor wafer W and the atmosphere in the processing apparatus 4. The vacuum pumping system 6 for exhausting and the trap device 8 of the present invention interposed in the vacuum pumping system 6 are mainly configured.
[0019]
First, the processing apparatus 4 will be described. The processing apparatus 4 has a cylindrical processing container 10 made of, for example, aluminum, and the processing container 10 is grounded. In the processing container 10, a mounting table 14 is provided from the bottom via a conductive support column 12, and a semiconductor wafer W as an object to be processed is mounted and held on the upper surface. . The mounting table 14 is made of a conductive material such as Ni and also serves as a lower electrode, and a resistance heater 16 for heating the semiconductor wafer W is embedded therein.
[0020]
Further, a shower head 18 for introducing a necessary gas such as a raw material gas into the processing container 10 is provided on the ceiling of the processing container 10 via an insulating material 20. A gas supply passage 24 provided with a supply-side on-off valve 22 is connected to control the flow rate of TiCl.Four Gas, H2 Necessary gases such as gas and Ar gas can be supplied. Each gas may be supplied from an independent supply passage.
The shower head 18 also serves as an upper electrode. A high frequency power source 28 having a frequency of 450 kHz, for example, is connected to the shower head 18 via a matching circuit 26, and a high frequency is applied between the mounting table 14 and the shower head 18. Plasma is generated. The frequency of the high frequency power supply 28 is not limited to 450 kHz, and other frequencies may be used, for example, 13.56 MHz.
Further, a gate valve 30 for loading and unloading the wafer W is provided on the side wall of the processing vessel 10, and an exhaust port 32 is provided on the bottom periphery.
[0021]
On the other hand, the vacuum exhaust system 6 connected to the processing apparatus 4 formed as described above has an exhaust passage 34 made of stainless steel having an inner diameter of about 10 cm, for example, connected to the exhaust port 32. In the exhaust passage 34, the trap device 8 for removing gaseous impurities in the exhaust gas, the vacuum pump 36 for evacuating the atmosphere in the processing vessel 10, and the impurity gas remaining in the exhaust gas are completely removed. An abatement device 38 to be removed is sequentially provided downstream in this order.
In addition, a pressure control valve 40 that controls the pressure in the processing container 10 by changing the flow path area of the exhaust passage 34 is interposed on the most upstream side of the exhaust passage 34. In the exhaust passage 34 immediately downstream of the pressure control valve 40, ammonia (NHThree ) Ammonia gas nozzle 42 for injecting gas is provided. Ammonia gas is injected into the exhaust gas flowing therethrough to react hydrogen chloride or chlorine gas contained in the exhaust gas with this ammonia gas, and so on. Can be formed.
[0022]
The exhaust passages 34 immediately upstream and downstream of the trap device 8 are respectively provided with on-off valves 44 for isolating the trap device 8 from the exhaust passage 34 when the trap device 8 is attached or detached. Tape heaters 46A and 46B are wound around the gas supply passage 24 and the exhaust passage 34 between the processing vessel 10 and the trap device 8, respectively, as shown by dotted lines in the figure. The impurity gas flowing in 34 is heated to a temperature equal to or higher than the critical temperature (condensation temperature or solidification temperature) to prevent the impurity gas from being liquefied or solidified in the passages 24, 34. .
[0023]
And the trap apparatus 8 which concerns on this invention has the impurity collection container 50 shape | molded, for example in the box shape made from aluminum, as shown also in FIG. A gas inlet 52 is formed in the ceiling portion of the impurity collecting container 50, and an exhaust passage 34 extending from the upstream side is connected to the gas inlet 52 to introduce exhaust gas. Further, a gas outlet 54 is formed on one side wall of the impurity collecting container 50, and an exhaust passage 34 extending downstream is connected to the gas outlet 54 so that the exhaust gas from which gaseous impurities have been removed is downstream. It is designed to discharge to the side. The installation positions of the gas inlet 52 and the gas outlet 54 are not particularly limited.
[0024]
On the other side wall of the impurity collecting container 50, for example, an openable / closable door 56 that can be opened and closed is provided. The openable / closable door 56 can be opened and closed when necessary, such as during maintenance. The open / close door 56 is airtightly closed through a seal member 58 such as an O-ring. Further, an detachable impurity attachment plate 60 is attached to substantially the entire bottom surface of the impurity collection container 50, and impurities which are condensed and solidified on the upper surface, for example, become a liquid having a high viscosity, or Condensed and solidified impurities M (see FIG. 2) are attached.
[0025]
And the nozzle means 64 which is the characteristic of this invention is provided in the ceiling part 62 of the impurity collection container 50 which opposes this impurity adhesion board 60. FIG. The nozzle means 64 has, for example, N as working fluid via the working gas passage 66.2 A working gas source 68 for storing gas is connected, and N at a predetermined pressure is connected.2 Gas can be supplied toward the nozzle means 64. In the middle of the working gas passage 66, N2 An on-off valve 70 for controlling the gas supply is interposed. The working gas passage 66 immediately upstream of the nozzle means 64 is provided with a nucleus introducing means 72 for introducing a substance that becomes a nucleus when gaseous impurities are condensed and solidified in the working fluid. Yes.
[0026]
  As the nucleus introduction means 72 for introducing the nucleus that is the starting point of condensation and solidification, a gas nozzle 72A attached to the working gas passage 66 is provided here, and water vapor whose flow rate is controlled as a nucleus is introduced from the gas nozzle 72A. It has come to be able to do. And by the said structure, from the said nozzle means 64, the said working fluid (N2 Gas) can be injected. This resultAs a result, the working fluid is blown into the impurity collecting container 50 and adiabatically expanded while being mixed with the exhaust gas.The gas gas is cooled, and gaseous impurities are cooled below the critical point to condense and solidify.
[0027]
In this case, as shown in FIG. 2, the nozzle main body 74 forming the nozzle means 64 is gradually narrowed in the center along the flow direction of the working fluid, and the throat portion 74A having the narrowest channel area. Accordingly, the working fluid injection port 74C has a shape in which the cross section of the lowermost end thereof is substantially circular. As such nozzle means 64, for example, a Laval nozzle can be used.
[0028]
Next, an impurity removal method performed using the processing system configured as described above will be described.
First, at the time of forming the Ti film, the semiconductor wafer W is mounted on the mounting table 14 in the processing container 10 of the processing apparatus 4, and this is heated and maintained at a predetermined temperature. At the same time, a high-frequency voltage is applied between the mounting table 14 serving as the lower electrode and the shower head 18 serving as the upper electrode.Four Gas, H2 A predetermined gas such as gas or Ar gas is flowed while controlling the flow rate, and plasma is generated in the processing space to form a Ti film. At the same time, the evacuation system 6 is also driven to evacuate the atmosphere in the processing vessel 10 and maintain the inside at a predetermined pressure.
[0029]
Regarding the process conditions at this time, for example, assuming that the wafer size is 8 inches, the process pressure is 665 Pa (≈5 Torr), the process temperature is about 650 ° C., TiClFour The gas flow rate is about 5 sccm, H2 The gas flow rate is about 2000 sccm, and the Ar gas flow rate is about 500 sccm.
Due to the film formation reaction of the Ti film, TiClFour About 10% of the gas is consumed, but the remaining about 90% is used as unreacted gas and TiCl.2 And TiClThree As a reaction byproduct such as HCl or HCl, the exhaust gas flows into the exhaust passage 34 of the vacuum exhaust system 6 from the exhaust port 32 and flows down, and this exhaust gas further flows into the trap device 8, the vacuum pump 36, and the detoxifying device. It flows in order of 38. Here, among the unreacted gas and reaction byproducts, particularly TiCl.Four Since the gas has a relatively high vapor pressure, it is difficult to be trapped.Three Gas is introduced into the exhaust passage 34, and this allows NHThree Gas and mainly TiClFour React with gas to get TiClFour ・ 2NHThree The compound which consists of a complex of is formed. This complex is TiClFour Vapor pressure is much lower than gas, for example TiClFour The gas is 1300 Pa at 21.3 ° C., but the complex is 1 × 10 2 at 21.3 ° C.-FourIt is about Pa. HCl gas is also NHThree NH reacts with gasFour Although it becomes Cl gas, this also has a low vapor pressure.
[0030]
Thus, mainly the unreacted residual gas is NHThree It is converted to a compound with a low vapor pressure by reacting with gas, and the reaction by-product HCl is converted to NH.Three It reacts with the gas to be converted into a compound having a low vapor pressure and is relatively easily collected in the trap device 8. The above complex or NHFour Gaseous impurities such as Cl are contained in the exhaust gas and introduced into the impurity collecting container 50 from the gas inlet 52 of the trap device 8.
Here, the impurity collecting container 50 is filled with N as working fluid from the nozzle means 64 provided on the ceiling.2 Gas is blown in a supersonic state by adiabatic expansion. This N2 As the gas undergoes adiabatic expansion, the temperature of the gas itself decreases (also referred to as self-cooling) and mixes with the exhaust gas, thereby cooling the exhaust gas, so that the gaseous impurities are cooled below the critical point. The impurities M are condensed or solidified and deposited, and the impurities M are collected by adhering to and depositing on the impurity adhering plate 60 provided at the bottom of the impurity collecting container 50. The exhaust gas from which gaseous impurities have been removed in this way is discharged from the gas outlet 54 and flows toward the vacuum pump 36 on the downstream side.
[0031]
  In this way, the pressure difference is N, which is the working fluid.2 Uses nozzles that can efficiently convert to gas kinetic energy to achieve supersonic conditions, such as Laval nozzles, N blown out from this mule nozzle 2 The gas mixes with the exhaust gas and adiabatically expands.Since the gaseous impurities are cooled, condensed and solidified by the cold heat that is self-cooled, the gaseous impurities can be efficiently removed from the exhaust gas.
  In addition, since the cooling fins used in the conventional trap apparatus are not used, the cooling efficiency can be constantly maintained high, and even if the collected impurities increase, the exhaust conductance is not adversely affected. . Moreover, since the cooling fins are not used as described above, the overall configuration of the trap device 8 can be simplified. The flow rate of the working fluid at this time is set to a flow rate that does not adversely affect the pressure control in the upstream processing container 10.
[0032]
N which is the above working fluid2 Since the gas contains water vapor introduced from the nucleus introduction means 72, it is cooled in the impurity collection vessel 50 to function as fine ice particles as a nucleus, and the gaseous impurities are The ice particles are condensed, solidified and precipitated without being supercooled, and as a result, the impurity collection efficiency can be further increased. The nucleus introducing means 72 may be provided in the impurity collecting container 50 so that water vapor is directly introduced into the impurity collecting container 50. This also applies to other embodiments described later.
[0033]
During maintenance of the trap device 8, the detachable impurity adhering plate 60 is taken out from the impurity collecting container 50 after the opening / closing door 56 is removed, and the impurities M adhering to the upper surface of the impurity adhering plate 60 are removed. Since it only needs to be removed by washing, maintenance workability can be greatly improved.
In the first embodiment, in order to facilitate understanding of the present invention, the case where only one nozzle means 64 is provided has been described as an example. However, a plurality of nozzle means 64 are provided in parallel. The working fluid mixed with water vapor may be jetted and blown from the nozzle means 64 into the impurity collecting container 50.
[0034]
<Second embodiment>
Next, a second embodiment of the present invention will be described. In the second embodiment, the structure of the nozzle means 64 of the first embodiment is slightly changed, and a plurality of the nozzle means 64 are provided in parallel.
3 is a cross-sectional view showing a second embodiment of the trap apparatus of the present invention, FIG. 4 is an enlarged cross-sectional view showing one nozzle means in FIG. 3, and FIG. 5 is an AA arrow in FIG. FIG. The same components as those shown in FIGS. 1 and 2 are denoted by the same reference numerals, and the description thereof is omitted.
As shown in the drawing, here, a front stage retention chamber 80 made of, for example, stainless steel for temporarily retaining or storing exhaust gas flowing from the processing container 10 side is provided on the front stage side of the impurity collection container 50. A gas inlet 82 is provided in a part of the side wall of the pre-stage residence chamber 80, and an upstream exhaust passage 34 is connected to the gas inlet 82 to allow exhaust gas to flow in.
[0035]
A plurality of front side retention chambers 80 and the inside of the impurity collection container 50 are communicated between the longitudinal side wall of the front stage retention chamber 80 and the ceiling 62 of the impurity collection container 50. In the illustrated example, nine communication passages 84 are provided in parallel, and the exhaust gas in the pre-stage residence chamber 80 flows toward the impurity collection container 50 through the communication passages 84. As shown in FIG. 4, the communication path 84 has an introduction pipe 86 that has a conical shape whose inner diameter is sequentially reduced along the flow direction of the exhaust gas, and a cylindrical body that follows the introduction pipe 86. The mixing tube 88 and the mixing tube 88 are mainly composed of a diffusion tube 90 whose inner diameter is sequentially expanded along the flow direction of the exhaust gas.
[0036]
On the other hand, a working gas header 92 of a predetermined size connected to the working gas passage 66 is provided in the upstream residence chamber 80, and the working gas header 92 contains water vapor that is the starting point of condensation and solidification. Introduced working gas is introduced. The nozzle means 64 having the same structure as that described in FIG. 2 is provided extending from the working gas header 92 toward the communication passages 84. As shown in FIG. 4, the tip of the nozzle body 74 of the nozzle means 64 is substantially located at the junction between the introduction pipe 86 and the mixing pipe 88 and is in a non-contact state.
[0037]
Accordingly, as shown in FIG. 5, in this portion, a working fluid injection port 74C having a substantially circular cross section is formed in the central portion, and an exhaust gas introduction having a substantially ring shape is provided so as to surround the working fluid injection port 74C. An opening 94 is formed, and exhaust gas is introduced from the exhaust gas introduction port 94 into the impurity collection container 50. Here, as described above, the nozzle body 74 is gradually narrowed in the center along the flow direction of the working fluid, and gradually expands after passing through the throat portion 74A having the narrowest channel area. Accordingly, the working fluid injection port 74C has a substantially circular cross section at its lowermost end. As the nozzle means 64, for example, a Laval nozzle can be used as described above.
[0038]
Thus, as a result of jetting the supersonic working fluid from the nozzle means 64, the nozzle means 64 has a pump function like an ejector pump, and the exhaust gas from the exhaust gas inlet 94. Is pushed by the jet of working gas and flows toward the exhaust side.
Further, on the outer peripheral wall of the mixing tube 88 and the diffusion tube 90, for example, a heating means 96 for preventing adhesion such as a tape heater is provided, and by heating this to a temperature higher than the critical temperature of gaseous impurities, Impurities are prevented from condensing and solidifying on the inner wall surface.
[0039]
In the case of the second embodiment, basically the same operational effects as in the case of the first embodiment can be exhibited. For example, the exhaust gas flowing from the processing container 10 side diffuses throughout the front-stage residence chamber 80 and is introduced into the impurity collection container 50 in parallel through the communication paths 84. At the same time, from the working fluid jets 74C of the nozzle means 64 via the working gas header 92, for example, N as working fluid.2 Gas is injected in a supersonic state by adiabatic expansion. N in this supersonic state2 The gas is diffused in the diffusion pipe 90 while being mixed with the exhaust gas introduced from the ring-shaped exhaust gas inlet 94 in the mixing pipe 88 and reaches the impurity collection container 50 to cool the gaseous impurities. Then, it is condensed and solidified, and the impurity M adheres on the impurity adhesion plate 60. Thereby, as in the case of the first embodiment, impurities can be efficiently removed from the exhaust gas. In particular, since the plurality of nozzle means 64 are provided in parallel, the impurity removal efficiency can be increased accordingly.
[0040]
Further, by mixing a nucleus such as water vapor into the working fluid, as in the case of the first embodiment, it is possible to eliminate the supercooling of gaseous impurities and further improve the efficiency of removing these impurities. Furthermore, while the conventional trap device acts to reduce the exhaust conductance, in this second embodiment, the nozzle means 64 exhibits a pump function and is a ring provided around the working fluid injection port 74C. Since the exhaust gas from the exhaust gas inlet 94 is pushed into the exhaust side, the exhaust conductance can be increased and the exhaust system is not adversely affected. In addition, since the mixing tube 88 and the diffusion tube 90 are provided with the heating means 96 for preventing adhesion so as to heat them, it is possible to prevent impurities from adhering to the inner wall surface side.
[0041]
Here, in the configuration shown in FIG. 4, as a result of examining each parameter such as temperature, pressure, and flow velocity at each part, the following results were obtained.
Working fluid pressure P1: 1.33 × 10 at the nozzle inletFour Pa (≒ 0.1atm)
Gas temperature T1: 293K of the working fluid in the working gas source 68 (20 ° C.)
Working fluid flow velocity U1: 0.0 m / s at nozzle inlet (can be regarded as zero compared to supersonic speed)
Specific heat ratio κ of gas: 1.4
Exhaust gas pressure Pe2: 133 Pa at the exhaust gas inlet 94
Exhaust gas temperature Te2 at the exhaust gas inlet 94: 423K (150 ° C.)
Exhaust gas velocity Ue2 at the exhaust gas inlet 94: 328.2 m / s
Area Se of exhaust gas inlet 94: 808.5 mm2
Area Sn of working fluid injection port 74C: 1155.0 mm2
Diameter D1 of mixing tube 88: 50.0 mm
Diffusion tube 90 outlet diameter D2: 53.9 mm
[0042]
When each parameter was set as described above, the following results were obtained.
Pressure Pn2 at nozzle outlet: 133 Pa (≈0.001 atm)
Working fluid temperature at the nozzle outlet Tn2: 78.6K (-194.4 ° C)
Working fluid velocity at nozzle outlet Un2: 656.4 m / s (supersonic state)
Pressure P4 at the outlet of the mixing pipe 88: 133 Pa
Temperature T4 of the mixed gas at the outlet of the mixing tube 88: 150.8K (-122.2 ° C)
Gas velocity U4 at the outlet of the mixing tube 88: 413.3 m / s
Pressure P5 at the outlet of the diffusion tube 90: 189.9 Pa
Temperature T5 of the mixed gas at the outlet of the diffusion tube 90: 167.0 K (−106.0 ° C.)
Velocity U5 of mixed gas at the outlet of the diffusion tube 90: 372 m / s
[0043]
As described above, the temperature of the mixed gas can be very low until the working fluid is injected from the outlet of the nozzle in a supersonic state and then reaches the impurity collecting container 50 through the mixing tube 88 and the diffusion tube 90. Was confirmed.
[0044]
<Third embodiment>
Next, a third embodiment of the present invention will be described. In the third embodiment, with respect to the structure of the nozzle means 64 of the second embodiment, the central side and the outer peripheral side are reversed, exhaust gas is allowed to flow from the central side, and working fluid is injected from the outer peripheral side. It is a thing.
FIG. 6 is a cross-sectional view showing a third embodiment of such a trap apparatus of the present invention, FIG. 7 is an enlarged cross-sectional view showing one nozzle means in FIG. 6, and FIG. 8 is a BB line arrow in FIG. FIG. The same components as those shown in FIGS. 3 to 5 are denoted by the same reference numerals, and the description thereof is omitted.
As shown in the figure, here, as in the second embodiment, for example, a front stage stay made of stainless steel is used for temporarily retaining or storing the exhaust gas flowing from the processing container 10 side on the front stage side of the impurity collecting container 50. A chamber 80 is provided. A gas inlet 82 is provided in a part of the side wall of the pre-stage residence chamber 80, and an upstream exhaust passage 34 is connected to the gas inlet 82 to allow exhaust gas to flow in.
[0045]
Further, a plurality of substantially cylindrical nozzle bodies 100 in the illustrated example are provided to extend toward the impurity collecting container 50 from the longitudinal side wall of the upstream residence chamber 80. Further, a working gas header 92 of a predetermined size connected to the working gas passage 66 is provided between the pre-stage residence chamber 80 and the impurity collection container 50.
[0046]
A plurality of the working gas header 92 and the impurity collecting container 50 are communicated between the longitudinal side wall of the working gas header 92 and the ceiling portion 62 of the impurity collecting container 50 in the illustrated example. Six communication passages 102 are provided in parallel, and the working fluid in the working gas header 92 flows to the inside of the impurity collection container 50 through the communication passages 102. As shown in FIG. 7, the communication path 102 has an introduction pipe 104 whose inner diameter is successively reduced along the flow direction of the working fluid and formed into a conical shape, and a cylindrical body following the introduction pipe 104. The mixing tube 106 and the diffusion tube 108 whose inner diameter is sequentially expanded along the flow direction of the exhaust gas (working fluid) following the mixing tube 106 are mainly configured.
[0047]
Here, a nozzle outer cylinder 110 is constituted by the introduction pipe 104 and the mixing pipe 106, and the nozzle means 112 is formed by the nozzle outer cylinder 110 and the nozzle body 100. Specifically, the nozzle body 100 is inserted into the header through the one side wall of the working gas header 92 in an airtight manner, and the tip of the nozzle body 100 is inserted partway through the mixing tube 106 and is not In contact. The outer periphery of the tip of the nozzle body 100 has a shape in which the channel area is gradually narrowed along the flow direction of the working fluid and gradually increases after passing through the throat portion 100A having the smallest channel area. The constricted portion 112 having a convex cross section is formed in a ring shape, and when the working fluid passes through this flow path, the differential pressure between the portion (1) and the portion (2) (see FIG. 7) is effective. It is converted to speed so that a supersonic state can be realized at a low temperature.
[0048]
Therefore, as shown in FIG. 8, an exhaust gas inlet 114 having a substantially circular cross section is formed at the central portion, and a working fluid injection port 100 </ b> C having a substantially ring shape is formed so as to surround the exhaust gas inlet 114. Thus, the exhaust gas is introduced from the exhaust gas introduction port 114 toward the impurity collection container 50. Further, the working fluid is ejected from the working fluid ejection port 100C. The throttle section 112 having a convex cross section may be provided not on the nozzle body 100 side but on the inner surface side of the mixing tube 106, or on both sides. As long as a so-called Laval nozzle can be formed in a supersonic state, the shape is not limited.
[0049]
  In the case of the third embodiment, the same effects as those of the first and second embodiments can be exhibited. That is, the exhaust gas is discharged from the exhaust gas introduction port 114 through the center of the nozzle body 100 and is a working fluid N.2 From the working gas header 92, the gas passes through the inlet pipe 104 and the throat portion 100 </ b> A to the ring-shaped working fluid jet 100 </ b> C.In supersonic conditionsBe injected. This N at this time2 gasIs mixed with exhaust gas, and this gas mixture adiabatically expands, resulting in self-cooling and low temperature.As described above, gaseous impurities are condensed and solidified while the exhaust gas is involved. In this case, as in the case of the second embodiment, since the nozzle means 112 exhibits a pump function, it is possible to prevent adverse effects on the exhaust conductance.
[0050]
Furthermore, in the case of this third embodiment, N is a working fluid so as to surround the exhaust gas.2 Since the gas flows, the exhaust gas can be prevented from coming into direct contact with the inner wall surface of the mixing tube 106 and the inner wall surface of the diffusion tube 108. Therefore, the condensed and solidified impurities can be prevented from adhering to the inner wall surfaces of the mixing tube 106 and the diffusion tube 108. Even in the case of the third embodiment, an adhesion preventing heating means 96 may be provided as in the second embodiment in order to completely prevent the adhesion of the impurities.
In each of the above embodiments, in order to form a nucleus that is a starting point for condensation and solidification, water vapor is introduced to freeze it. However, the present invention is not limited to this, and powders such as ceramics and quartz are used. You may make it use. Also for working fluid, N2 Not limited to gas, inert gas such as Ar gas and He gas, H2 Gas or the like may be used.
[0051]
Further, the type of film to be formed is not limited to the Ti film, and the present invention can be applied to all film forming apparatuses or processing apparatuses that need to remove reaction by-products and unreacted substances from the exhaust gas. it can.
In each of the above-described embodiments, the semiconductor wafer has been described as an example of the object to be processed. However, the present invention is not limited to this and can be applied to a glass substrate, an LCD substrate, or the like.
[0052]
【The invention's effect】
  As described above, according to the trap device, the processing system, and the impurity removal method of the present invention, the following excellent operational effects can be exhibited.
  Claims 1-79-14According to the invention, by the nozzle meansBy blowing the working fluid at supersonic speed and mixing it with the exhaust gas, by adiabatically expanding this mixed gas,Since the exhaust gas is cooled and the gaseous impurities are condensed and solidified to be collected, the cooling efficiency can always be kept high, and therefore the collection efficiency can always be kept high. In addition, since a complicated structure such as a cooling fin used in a conventional trap device can be made unnecessary, a maintenance work for removing, for example, a viscous trapped substance that has adhered to the impurity collecting container due to condensation or solidification. When performing, this maintenance work can be performed quickly and easily.
  In addition, since nuclei are introduced into the exhaust gas, gaseous impurities can be prevented from becoming supercooled and this condensation and solidification can be promoted, further improving the collection efficiency of impurities. Can be made.
  According to the invention of claim 8, since the mixing tube and the diffusion tube are heated by the adhesion preventing heating means, it is possible to prevent impurities from adhering to the inner wall surface in the form of, for example, a viscous solid.Can do.
[Brief description of the drawings]
FIG. 1 is a schematic configuration diagram showing an example of a processing system provided with a trap device according to the present invention.
FIG. 2 is a cross-sectional view showing a first embodiment of the trap device of the present invention.
FIG. 3 is a cross-sectional view showing a second embodiment of the trap device of the present invention.
4 is an enlarged cross-sectional view showing one nozzle means in FIG. 3. FIG.
5 is a cross-sectional view taken along line AA in FIG.
FIG. 6 is a cross-sectional view showing a third embodiment of the trap device of the present invention.
7 is an enlarged sectional view showing one nozzle means in FIG. 6. FIG.
8 is a cross-sectional view taken along line BB in FIG.
[Explanation of symbols]
2 Processing system
4 processing equipment
6 Vacuum exhaust system
8 Trap device
10 Processing container
34 Exhaust passage
36 Vacuum pump
38 Detoxification device
50 Impurity collection container
64 nozzle means
66 Working gas passage
68 Working gas source
72 Nuclear introduction means
74 Nozzle body
74A Throat
74C Working fluid injection port
80 First stage retention chamber
86 Introduction pipe
88 Mixing tube
90 Diffusion tube
92 Working gas header
94 Exhaust gas inlet
96 Heating means for preventing adhesion
W Semiconductor wafer (object to be processed)

Claims (14)

成膜用のガスを反応させて被処理体の表面に薄膜を形成する成膜処理を施す処理装置内を真空排気するための真空ポンプを有する真空排気系に介設されて、前記真空排気系内を流れる排気ガス中に含まれるガスであって、前記ガスの未反応ガスや前記ガスの反応によって生じた反応副生成物のガスよりなるガス状の不純物を除去するためのトラップ装置において、
前記真空排気系の排気通路に介設された不純物捕集容器と、
作動流体を超音速で吹き込むことにより前記排気ガスを混合させると共に生じた混合ガスを断熱膨張させて前記不純物捕集容器内で前記ガス状の不純物が含まれる前記排気ガスの温度を低下させるノズル手段と、
前記混合ガス中における前記ガス状の不純物が冷却されて凝縮又は凝固する時に核となる物質を導入するための核導入手段と、
を備えたことを特徴とするトラップ装置。
A vacuum exhaust system having a vacuum pump for evacuating the inside of a processing apparatus for performing a film forming process for forming a thin film on the surface of the object to be processed by reacting a film forming gas. In a trap device for removing gaseous impurities consisting of unreacted gas of the gas and reaction by-product gas generated by reaction of the gas, which is contained in exhaust gas flowing through the inside,
An impurity collection container interposed in an exhaust passage of the vacuum exhaust system;
Nozzles for the working fluid to lower the temperature of the pre-Symbol exhaust gas contains the gaseous impurities mixed gas in the impurity collecting container by adiabatic expansion caused along with mixing the exhaust gas by blowing supersonic Means,
A nucleus introducing means for introducing a substance that becomes a nucleus when the gaseous impurities in the mixed gas are cooled and condensed or solidified;
A trap device comprising:
前記ノズル手段は、前記不純物捕集容器に対して複数回並列に設けられることを特徴とする請求項1記載のトラップ装置。  2. The trap apparatus according to claim 1, wherein the nozzle means is provided in parallel with the impurity collecting container a plurality of times. 前記ノズル手段は、その流路面積が作動流体の流れ方向に沿って次第に絞り込まれて喉部を通過した後に次第に拡大するようになされたノズル本体を有することを特徴とする請求項1または2記載のトラップ装置。  3. The nozzle means has a nozzle body whose flow path area is gradually narrowed along the flow direction of the working fluid and gradually expands after passing through the throat. Trap device. 前記ノズル本体は断面が略円形に成形された作動流体噴射口を有しており、前記作動流体噴射口の周囲を囲むようにして前記排気ガスを前記不純物捕集容器側に向けて導入するためのリング状の排気ガス導入口が形成されていることを特徴とする請求項1乃至3のいずれか一項に記載のトラップ装置。  The nozzle body has a working fluid injection port having a substantially circular cross section, and a ring for introducing the exhaust gas toward the impurity collection container so as to surround the working fluid injection port. The trap apparatus according to any one of claims 1 to 3, wherein an exhaust gas introduction port is formed. 前記ノズル本体は断面が略リング状に成形された作動流体噴射口を有しており、その中心部には前記排気ガスを前記不純物捕集容器側に向けて導入するための略円形の排気ガス導入口が形成されていることを特徴とする請求項1乃至3のいずれか一項に記載のトラップ装置。  The nozzle body has a working fluid injection port having a substantially ring-shaped cross section, and a substantially circular exhaust gas for introducing the exhaust gas toward the impurity collection container at the center thereof. The trap apparatus according to any one of claims 1 to 3, wherein an introduction port is formed. 前記排気ガス導入口へ向かう排気ガスを一時的に滞留させるための前段滞留室が設けられることを特徴とする請求項4または5記載のトラップ装置。  6. The trap apparatus according to claim 4 or 5, wherein a pre-stage retention chamber is provided for temporarily retaining exhaust gas toward the exhaust gas introduction port. 前記ノズル手段の先端部側には、前記作動流体噴射口より噴射された超音速の作動流体と前記排気ガス導入口より取り込んだ排気ガスとを混合させる混合管と、その流路面積を順次拡大させてポンプ機能を持たせた拡散管とを順次連結させていることを特徴とする請求項4乃至6のいずれか一項に記載のトラップ装置。  On the tip end side of the nozzle means, a mixing tube for mixing the supersonic working fluid ejected from the working fluid ejection port and the exhaust gas taken in from the exhaust gas introduction port, and the flow passage area are sequentially expanded. The trap apparatus according to any one of claims 4 to 6, wherein a diffusion pipe having a pump function is sequentially connected. 前記混合管と前記拡散管とには、前記不純物が凝縮、凝固して付着することを防止するための付着防止用加熱手段が設けられることを特徴とする請求項7記載のトラップ装置。  8. The trap apparatus according to claim 7, wherein the mixing pipe and the diffusion pipe are provided with an adhesion preventing heating means for preventing the impurities from condensing and solidifying. 前記不純物捕集容器には、前記凝縮、凝固された不純物を付着させるための不純物付着板が着脱可能に設けられることを特徴とする請求項1乃至8のいずれか一項に記載のトラップ装置。The trap apparatus according to any one of claims 1 to 8 , wherein an impurity attachment plate for attaching the condensed and solidified impurities is detachably provided in the impurity collection container. 前記ノズル手段は、ラバルノズルであることを特徴とする請求項1乃至9のいずれか一項に記載のトラップ装置。It said nozzle means, the trap device according to any one of claims 1乃optimum 9, characterized in that a Laval nozzle. 前記作動流体は、N 、H 、Ar、Heの内、いずれか1つのガスよりなることを特徴とする請求項1乃至10のいずれか一項に記載のトラップ装置。The working fluid is, N 2, H 2, Ar , among He, trap device according to any one of claims 1乃optimum 10, characterized in that consists of one of the gas. 前記処理装置は、成膜用のガスを反応させて被処理体の表面に薄膜を形成する成膜処理を施す処理装置であることを特徴とする請求項1乃至11のいずれか一項に記載のトラップ装置。Wherein the processing device is to react the gas for film formation to one of the claims 1 to 11, characterized in that the processing apparatus for performing a film forming process for forming a thin film on the surface of the object The trap device described. 成膜用のガスを反応させて被処理体の表面に薄膜を形成する成膜処理を施す処理装置と、
前記処理装置内を真空引きするために途中に真空ポンプが介設された真空排気系と、
前記真空排気系に介設された請求項1乃至12のいずれか一項に記載されたトラップ装置と、
を備えたことを特徴とする処理システム。
A processing apparatus for performing a film forming process for reacting a film forming gas to form a thin film on the surface of the object to be processed;
An evacuation system in which a vacuum pump is interposed in the middle to evacuate the inside of the processing apparatus;
A trap apparatus according to any one of the evacuation system according to claim 1乃optimum 12 which is interposed,
A processing system comprising:
成膜用のガスを反応させて被処理体の表面に薄膜を形成する成膜処理を施す処理装置から排出される排気ガス中から前記ガスの未反応ガスや前記ガスの反応によって生じた反応副生成物のガスよりなるガス状の不純物を除去する不純物除去方法において、
前記排気ガス中に作動流体を超音速で吹き込むことにより前記排気ガスを混合させると共に生じた混合ガスを断熱膨張させて前記不純物捕集容器内で前記ガス状の不純物が含まれる前記排気ガスの温度を低下させると共に前記混合ガス中における前記ガス状の不純物が冷却されて凝縮又は凝固する時に核となる物質を導入するようにしたことを特徴とする不純物除去方法。
The reaction caused by the reaction of the unreacted gas and the gas of the gas from the exhaust gas discharged from the processing apparatus for performing a film forming process for forming a thin film on the surface of the film forming gas by reacting workpiece In the impurity removal method for removing gaseous impurities composed of by-product gas ,
The temperature of the exhaust gas in which the gaseous impurities are contained in the impurity collection container by adiabatic expansion of the mixed gas generated by blowing the working fluid into the exhaust gas at supersonic speed and adiabatic expansion of the generated mixed gas And a substance which becomes a nucleus when the gaseous impurity in the mixed gas is cooled and condensed or solidified is introduced .
JP2003181846A 2003-06-25 2003-06-25 Trap device, processing system, and impurity removal method Expired - Fee Related JP4285108B2 (en)

Priority Applications (5)

Application Number Priority Date Filing Date Title
JP2003181846A JP4285108B2 (en) 2003-06-25 2003-06-25 Trap device, processing system, and impurity removal method
KR1020057024219A KR100687942B1 (en) 2003-06-25 2004-06-22 Trapping device, processing system, and method for removing impurities
PCT/JP2004/008759 WO2005000440A1 (en) 2003-06-25 2004-06-22 Trapping device, processing system, and method for removing impurities
CNB2004800017492A CN100348288C (en) 2003-06-25 2004-06-22 Trapping device, processing system, and method for removing impurities
US10/562,127 US7488374B2 (en) 2003-06-25 2004-06-22 Trapping device, processing system, and method removing impurities

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2003181846A JP4285108B2 (en) 2003-06-25 2003-06-25 Trap device, processing system, and impurity removal method

Publications (2)

Publication Number Publication Date
JP2005013866A JP2005013866A (en) 2005-01-20
JP4285108B2 true JP4285108B2 (en) 2009-06-24

Family

ID=33549537

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2003181846A Expired - Fee Related JP4285108B2 (en) 2003-06-25 2003-06-25 Trap device, processing system, and impurity removal method

Country Status (5)

Country Link
US (1) US7488374B2 (en)
JP (1) JP4285108B2 (en)
KR (1) KR100687942B1 (en)
CN (1) CN100348288C (en)
WO (1) WO2005000440A1 (en)

Families Citing this family (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060276049A1 (en) * 2005-06-06 2006-12-07 Bailey Christopher M High efficiency trap for deposition process
JP4728748B2 (en) * 2005-09-05 2011-07-20 株式会社東芝 Method for cleaning semiconductor manufacturing equipment
JP2007197302A (en) * 2005-12-28 2007-08-09 Sumitomo Electric Ind Ltd Fabrication method and fabrication apparatus of group iii nitride crystal
CN101179005B (en) * 2006-11-10 2010-10-27 Tes股份有限公司 Exhaust air system, semi-conductor manufacturing installation for manufacturing thin film by the same and method thereof
ATE503859T1 (en) * 2007-09-11 2011-04-15 Centrotherm Photovoltaics Ag DEVICE FOR DEPOSITING CHALCOGENS
US20100112191A1 (en) * 2008-10-30 2010-05-06 Micron Technology, Inc. Systems and associated methods for depositing materials
KR101103630B1 (en) 2009-12-21 2012-01-11 한국항공우주연구원 Hydrazine reaction gas disposal device using re-combustion
JP6007715B2 (en) * 2012-03-29 2016-10-12 東京エレクトロン株式会社 Trap mechanism, exhaust system, and film forming apparatus
KR101635388B1 (en) * 2013-09-23 2016-07-08 주식회사 지앤비에스엔지니어링 Scrubber for treating processing waste gas
US10066138B2 (en) 2013-12-27 2018-09-04 Dow Corning Toray Co., Ltd. Room-temperature-curable silicone rubber composition, the use thereof, and method for repairing electronic device
WO2016204974A1 (en) 2015-06-17 2016-12-22 Applied Materials, Inc. Gas control in process chamber
CN105442408A (en) * 2015-12-03 2016-03-30 江西理工大学 Asphalt concrete road system for degrading motor vehicle exhaust gas
JP6628653B2 (en) * 2016-03-17 2020-01-15 東京エレクトロン株式会社 Trap apparatus, exhaust system using the same, and substrate processing apparatus
JP2017183603A (en) * 2016-03-31 2017-10-05 東芝メモリ株式会社 Epitaxial growth system
US10480065B2 (en) * 2017-09-19 2019-11-19 Goodrich Corporation Gas distribution for chemical vapor deposition/infiltration
US11583793B2 (en) * 2019-10-08 2023-02-21 Utica Leaseco, Llc Gas trap system having a conical inlet condensation region
CN111346486A (en) * 2020-03-17 2020-06-30 浙江大学 Method and system for treating tail gas of oxygen-iodine chemical laser
KR20220091744A (en) 2020-12-24 2022-07-01 삼성전자주식회사 Exhaust gas processing system including adsorbent for suppessing powder-like byproduct
CN115433918B (en) * 2022-08-26 2023-11-10 鑫德斯特电子设备(安徽)有限公司 High-cleanliness silicon wafer film forming equipment and film forming method thereof

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS624405A (en) 1985-06-29 1987-01-10 Shimadzu Corp Wax trap device
JPH06190227A (en) * 1992-12-25 1994-07-12 Matsushita Electric Ind Co Ltd Mist or steam condensing recovery device
JP2666706B2 (en) * 1993-12-13 1997-10-22 日本電気株式会社 Semiconductor processing equipment
MY129174A (en) * 1997-07-02 2007-03-30 Shell Int Research Removing a gaseous component from a fluid
SE514171C2 (en) 1998-02-03 2001-01-15 Ericsson Telefon Ab L M Apparatus and method for air cooling of an electrical device
US6238514B1 (en) * 1999-02-18 2001-05-29 Mks Instruments, Inc. Apparatus and method for removing condensable aluminum vapor from aluminum etch effluent
JP4599701B2 (en) * 1999-11-24 2010-12-15 東京エレクトロン株式会社 Exhaust system structure of film forming apparatus and impurity gas removal method

Also Published As

Publication number Publication date
KR20060022277A (en) 2006-03-09
CN100348288C (en) 2007-11-14
CN1723066A (en) 2006-01-18
WO2005000440A1 (en) 2005-01-06
US20060144234A1 (en) 2006-07-06
KR100687942B1 (en) 2007-02-27
US7488374B2 (en) 2009-02-10
JP2005013866A (en) 2005-01-20

Similar Documents

Publication Publication Date Title
JP4285108B2 (en) Trap device, processing system, and impurity removal method
US7022298B2 (en) Exhaust apparatus for process apparatus and method of removing impurity gas
JP4599701B2 (en) Exhaust system structure of film forming apparatus and impurity gas removal method
US6159298A (en) Thermal processing system
CN1823404B (en) Process for producing semiconductor device and substrate treating apparatus
EP1428907A1 (en) Thermal activation of gas for use in a semiconductor process chamber
KR101662421B1 (en) Trap device and film formation device
WO2005050725A1 (en) Method for manufacturing semiconductor device and substrate processing apparatus
TW200936886A (en) Multi-port pumping system for substrate processing chambers
WO2003081651A1 (en) Cvd apparatus and method of cleaning the cvd apparatus
US6107198A (en) Ammonium chloride vaporizer cold trap
JP3539446B2 (en) By-product trap device and cleaning method thereof
WO2003081652A1 (en) Cvd apparatus and method of cleaning the cvd apparatus
CN108660436A (en) The blowing method of silicon nitride reacting furnace
JP3856397B2 (en) Wafer processing method for semiconductor manufacturing apparatus and semiconductor manufacturing apparatus
JP2686447B2 (en) Reactor
JP2001015498A (en) Heat treatment device
US11221182B2 (en) Apparatus with multistaged cooling
US6254685B1 (en) Chemical vapor deposition trap with tapered inlet
JP2002033315A (en) Method and device for cleaning film-forming apparatus
JPH06295870A (en) Chemical vapor deposition system
JP3959174B2 (en) Semiconductor manufacturing apparatus, semiconductor device manufacturing method using the same, and water-cooled trap apparatus
CN116171258A (en) Device and method for producing trisilylamine
JP4111269B2 (en) Substrate processing equipment
JP2000334235A (en) Trapping device

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20050719

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20081209

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20090129

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20090303

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20090316

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20120403

Year of fee payment: 3

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20150403

Year of fee payment: 6

LAPS Cancellation because of no payment of annual fees