JP4159126B2 - 高温処理チャンバ用リッドアセンブリ - Google Patents
高温処理チャンバ用リッドアセンブリ Download PDFInfo
- Publication number
- JP4159126B2 JP4159126B2 JP31203297A JP31203297A JP4159126B2 JP 4159126 B2 JP4159126 B2 JP 4159126B2 JP 31203297 A JP31203297 A JP 31203297A JP 31203297 A JP31203297 A JP 31203297A JP 4159126 B2 JP4159126 B2 JP 4159126B2
- Authority
- JP
- Japan
- Prior art keywords
- gas
- chamber
- cleaning
- film
- deposition
- Prior art date
- Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
- Expired - Fee Related
Links
- 238000012545 processing Methods 0.000 title claims description 133
- 239000007789 gas Substances 0.000 claims description 522
- 238000000034 method Methods 0.000 claims description 373
- 230000008569 process Effects 0.000 claims description 282
- 238000004140 cleaning Methods 0.000 claims description 167
- 238000009826 distribution Methods 0.000 claims description 113
- 239000000758 substrate Substances 0.000 claims description 94
- 239000000463 material Substances 0.000 claims description 71
- 238000002156 mixing Methods 0.000 claims description 43
- 229910052731 fluorine Inorganic materials 0.000 claims description 33
- 239000011737 fluorine Substances 0.000 claims description 33
- 238000005530 etching Methods 0.000 claims description 23
- 239000012530 fluid Substances 0.000 claims description 16
- 238000004519 manufacturing process Methods 0.000 claims description 12
- 238000004891 communication Methods 0.000 claims description 5
- 238000007740 vapor deposition Methods 0.000 claims description 2
- PXGOKWXKJXAPGV-UHFFFAOYSA-N Fluorine Chemical compound FF PXGOKWXKJXAPGV-UHFFFAOYSA-N 0.000 claims 1
- 238000007599 discharging Methods 0.000 claims 1
- 239000010408 film Substances 0.000 description 344
- 238000000151 deposition Methods 0.000 description 215
- 235000012431 wafers Nutrition 0.000 description 206
- 230000008021 deposition Effects 0.000 description 179
- 239000002019 doping agent Substances 0.000 description 126
- 239000005388 borosilicate glass Substances 0.000 description 94
- 238000005229 chemical vapour deposition Methods 0.000 description 78
- 239000005360 phosphosilicate glass Substances 0.000 description 73
- 238000010438 heat treatment Methods 0.000 description 70
- 238000009792 diffusion process Methods 0.000 description 63
- 239000007788 liquid Substances 0.000 description 48
- 238000011065 in-situ storage Methods 0.000 description 42
- 239000004065 semiconductor Substances 0.000 description 41
- 229910052710 silicon Inorganic materials 0.000 description 40
- XUIMIQQOPSSXEZ-UHFFFAOYSA-N Silicon Chemical compound [Si] XUIMIQQOPSSXEZ-UHFFFAOYSA-N 0.000 description 39
- 239000001307 helium Substances 0.000 description 39
- 229910052734 helium Inorganic materials 0.000 description 39
- SWQJXJOGLNCZEY-UHFFFAOYSA-N helium atom Chemical compound [He] SWQJXJOGLNCZEY-UHFFFAOYSA-N 0.000 description 39
- 238000002955 isolation Methods 0.000 description 39
- 239000010703 silicon Substances 0.000 description 39
- 238000006243 chemical reaction Methods 0.000 description 37
- 229910052751 metal Inorganic materials 0.000 description 36
- 239000002184 metal Substances 0.000 description 36
- QVGXLLKOCUKJST-UHFFFAOYSA-N atomic oxygen Chemical compound [O] QVGXLLKOCUKJST-UHFFFAOYSA-N 0.000 description 35
- 238000001514 detection method Methods 0.000 description 32
- YCKRFDGAMUMZLT-UHFFFAOYSA-N Fluorine atom Chemical compound [F] YCKRFDGAMUMZLT-UHFFFAOYSA-N 0.000 description 31
- 235000011194 food seasoning agent Nutrition 0.000 description 30
- ZOXJGFHDIHLPTG-UHFFFAOYSA-N Boron Chemical group [B] ZOXJGFHDIHLPTG-UHFFFAOYSA-N 0.000 description 28
- 125000001153 fluoro group Chemical group F* 0.000 description 28
- 229910052760 oxygen Inorganic materials 0.000 description 28
- 239000001301 oxygen Substances 0.000 description 28
- 238000005538 encapsulation Methods 0.000 description 27
- 230000006641 stabilisation Effects 0.000 description 27
- 238000011105 stabilization Methods 0.000 description 27
- 229910052796 boron Inorganic materials 0.000 description 25
- 230000006378 damage Effects 0.000 description 24
- 238000005247 gettering Methods 0.000 description 24
- XAGFODPZIPBFFR-UHFFFAOYSA-N aluminium Chemical compound [Al] XAGFODPZIPBFFR-UHFFFAOYSA-N 0.000 description 23
- 229910052782 aluminium Inorganic materials 0.000 description 22
- 239000002826 coolant Substances 0.000 description 22
- 238000005137 deposition process Methods 0.000 description 22
- 238000010521 absorption reaction Methods 0.000 description 21
- CBENFWSGALASAD-UHFFFAOYSA-N Ozone Chemical compound [O-][O+]=O CBENFWSGALASAD-UHFFFAOYSA-N 0.000 description 20
- 239000012159 carrier gas Substances 0.000 description 20
- 238000011109 contamination Methods 0.000 description 20
- IJGRMHOSHXDMSA-UHFFFAOYSA-N Atomic nitrogen Chemical compound N#N IJGRMHOSHXDMSA-UHFFFAOYSA-N 0.000 description 19
- PMHQVHHXPFUNSP-UHFFFAOYSA-M copper(1+);methylsulfanylmethane;bromide Chemical compound Br[Cu].CSC PMHQVHHXPFUNSP-UHFFFAOYSA-M 0.000 description 18
- 238000002474 experimental method Methods 0.000 description 18
- 230000006870 function Effects 0.000 description 18
- DQWPFSLDHJDLRL-UHFFFAOYSA-N triethyl phosphate Chemical compound CCOP(=O)(OCC)OCC DQWPFSLDHJDLRL-UHFFFAOYSA-N 0.000 description 18
- XLYOFNOQVPJJNP-UHFFFAOYSA-N water Substances O XLYOFNOQVPJJNP-UHFFFAOYSA-N 0.000 description 18
- 150000003254 radicals Chemical class 0.000 description 17
- VYPSYNLAJGMNEJ-UHFFFAOYSA-N Silicium dioxide Chemical compound O=[Si]=O VYPSYNLAJGMNEJ-UHFFFAOYSA-N 0.000 description 16
- 239000005380 borophosphosilicate glass Substances 0.000 description 16
- 238000011049 filling Methods 0.000 description 16
- AJSTXXYNEIHPMD-UHFFFAOYSA-N triethyl borate Chemical compound CCOB(OCC)OCC AJSTXXYNEIHPMD-UHFFFAOYSA-N 0.000 description 16
- NJPPVKZQTLUDBO-UHFFFAOYSA-N novaluron Chemical compound C1=C(Cl)C(OC(F)(F)C(OC(F)(F)F)F)=CC=C1NC(=O)NC(=O)C1=C(F)C=CC=C1F NJPPVKZQTLUDBO-UHFFFAOYSA-N 0.000 description 15
- 238000010926 purge Methods 0.000 description 15
- 229910052814 silicon oxide Inorganic materials 0.000 description 15
- 238000010586 diagram Methods 0.000 description 14
- 239000000203 mixture Substances 0.000 description 14
- 230000004044 response Effects 0.000 description 14
- 229910010293 ceramic material Inorganic materials 0.000 description 13
- 238000000576 coating method Methods 0.000 description 13
- 229910052698 phosphorus Inorganic materials 0.000 description 13
- 238000001039 wet etching Methods 0.000 description 13
- QGZKDVFQNNGYKY-UHFFFAOYSA-N Ammonia Chemical compound N QGZKDVFQNNGYKY-UHFFFAOYSA-N 0.000 description 12
- XKRFYHLGVUSROY-UHFFFAOYSA-N Argon Chemical compound [Ar] XKRFYHLGVUSROY-UHFFFAOYSA-N 0.000 description 12
- OAICVXFJPJFONN-UHFFFAOYSA-N Phosphorus Chemical compound [P] OAICVXFJPJFONN-UHFFFAOYSA-N 0.000 description 12
- 229910004014 SiF4 Inorganic materials 0.000 description 12
- 238000000137 annealing Methods 0.000 description 12
- 230000008859 change Effects 0.000 description 12
- 239000011574 phosphorus Substances 0.000 description 12
- ABTOQLMXBSRXSM-UHFFFAOYSA-N silicon tetrafluoride Chemical compound F[Si](F)(F)F ABTOQLMXBSRXSM-UHFFFAOYSA-N 0.000 description 12
- BLRPTPMANUNPDV-UHFFFAOYSA-N Silane Chemical compound [SiH4] BLRPTPMANUNPDV-UHFFFAOYSA-N 0.000 description 10
- 230000008901 benefit Effects 0.000 description 10
- 239000000919 ceramic Substances 0.000 description 10
- 238000001816 cooling Methods 0.000 description 10
- 238000002347 injection Methods 0.000 description 10
- 239000007924 injection Substances 0.000 description 10
- 229920001343 polytetrafluoroethylene Polymers 0.000 description 10
- 239000004810 polytetrafluoroethylene Substances 0.000 description 10
- -1 TEOS Chemical compound 0.000 description 9
- 239000005368 silicate glass Substances 0.000 description 9
- 239000003570 air Substances 0.000 description 8
- 239000011248 coating agent Substances 0.000 description 8
- 239000006185 dispersion Substances 0.000 description 8
- 239000001257 hydrogen Substances 0.000 description 8
- 229910052739 hydrogen Inorganic materials 0.000 description 8
- 229910052757 nitrogen Inorganic materials 0.000 description 8
- TWNQGVIAIRXVLR-UHFFFAOYSA-N oxo(oxoalumanyloxy)alumane Chemical compound O=[Al]O[Al]=O TWNQGVIAIRXVLR-UHFFFAOYSA-N 0.000 description 8
- UFHFLCQGNIYNRP-UHFFFAOYSA-N Hydrogen Chemical compound [H][H] UFHFLCQGNIYNRP-UHFFFAOYSA-N 0.000 description 7
- 238000004590 computer program Methods 0.000 description 7
- 230000000694 effects Effects 0.000 description 7
- 150000002500 ions Chemical class 0.000 description 7
- 230000000670 limiting effect Effects 0.000 description 7
- 241000894007 species Species 0.000 description 7
- 238000001157 Fourier transform infrared spectrum Methods 0.000 description 6
- 238000002835 absorbance Methods 0.000 description 6
- PNEYBMLMFCGWSK-UHFFFAOYSA-N aluminium oxide Inorganic materials [O-2].[O-2].[O-2].[Al+3].[Al+3] PNEYBMLMFCGWSK-UHFFFAOYSA-N 0.000 description 6
- 229910021529 ammonia Inorganic materials 0.000 description 6
- 229910052786 argon Inorganic materials 0.000 description 6
- 229910052785 arsenic Inorganic materials 0.000 description 6
- RQNWIZPPADIBDY-UHFFFAOYSA-N arsenic atom Chemical compound [As] RQNWIZPPADIBDY-UHFFFAOYSA-N 0.000 description 6
- 125000004429 atom Chemical group 0.000 description 6
- 230000004888 barrier function Effects 0.000 description 6
- 230000007423 decrease Effects 0.000 description 6
- 238000013461 design Methods 0.000 description 6
- 238000011068 loading method Methods 0.000 description 6
- 238000012423 maintenance Methods 0.000 description 6
- 238000005259 measurement Methods 0.000 description 6
- 239000012528 membrane Substances 0.000 description 6
- 230000005855 radiation Effects 0.000 description 6
- 230000006798 recombination Effects 0.000 description 6
- 238000005215 recombination Methods 0.000 description 6
- 239000000523 sample Substances 0.000 description 6
- 230000035939 shock Effects 0.000 description 6
- 229910000077 silane Inorganic materials 0.000 description 6
- 238000012546 transfer Methods 0.000 description 6
- PXHVJJICTQNCMI-UHFFFAOYSA-N Nickel Chemical compound [Ni] PXHVJJICTQNCMI-UHFFFAOYSA-N 0.000 description 5
- 230000015572 biosynthetic process Effects 0.000 description 5
- 238000007796 conventional method Methods 0.000 description 5
- 238000005260 corrosion Methods 0.000 description 5
- 230000007797 corrosion Effects 0.000 description 5
- 238000000280 densification Methods 0.000 description 5
- 239000012535 impurity Substances 0.000 description 5
- 230000002045 lasting effect Effects 0.000 description 5
- 238000005457 optimization Methods 0.000 description 5
- 239000002245 particle Substances 0.000 description 5
- 238000000623 plasma-assisted chemical vapour deposition Methods 0.000 description 5
- 230000003449 preventive effect Effects 0.000 description 5
- 238000005086 pumping Methods 0.000 description 5
- 238000007789 sealing Methods 0.000 description 5
- 238000004544 sputter deposition Methods 0.000 description 5
- ZAMOUSCENKQFHK-UHFFFAOYSA-N Chlorine atom Chemical compound [Cl] ZAMOUSCENKQFHK-UHFFFAOYSA-N 0.000 description 4
- KRHYYFGTRYWZRS-UHFFFAOYSA-N Fluorane Chemical compound F KRHYYFGTRYWZRS-UHFFFAOYSA-N 0.000 description 4
- ZOKXTWBITQBERF-UHFFFAOYSA-N Molybdenum Chemical compound [Mo] ZOKXTWBITQBERF-UHFFFAOYSA-N 0.000 description 4
- 230000009471 action Effects 0.000 description 4
- 239000000460 chlorine Substances 0.000 description 4
- 229910052801 chlorine Inorganic materials 0.000 description 4
- 239000004020 conductor Substances 0.000 description 4
- 238000007872 degassing Methods 0.000 description 4
- 238000001312 dry etching Methods 0.000 description 4
- 238000005468 ion implantation Methods 0.000 description 4
- 230000007246 mechanism Effects 0.000 description 4
- 229910052750 molybdenum Inorganic materials 0.000 description 4
- 239000011733 molybdenum Substances 0.000 description 4
- 238000012544 monitoring process Methods 0.000 description 4
- 230000003647 oxidation Effects 0.000 description 4
- 238000007254 oxidation reaction Methods 0.000 description 4
- 238000009832 plasma treatment Methods 0.000 description 4
- 230000000644 propagated effect Effects 0.000 description 4
- 230000002829 reductive effect Effects 0.000 description 4
- 238000000926 separation method Methods 0.000 description 4
- 239000000126 substance Substances 0.000 description 4
- TXEYQDLBPFQVAA-UHFFFAOYSA-N tetrafluoromethane Chemical compound FC(F)(F)F TXEYQDLBPFQVAA-UHFFFAOYSA-N 0.000 description 4
- MYMOFIZGZYHOMD-UHFFFAOYSA-N Dioxygen Chemical compound O=O MYMOFIZGZYHOMD-UHFFFAOYSA-N 0.000 description 3
- 238000005033 Fourier transform infrared spectroscopy Methods 0.000 description 3
- 230000015556 catabolic process Effects 0.000 description 3
- 150000001875 compounds Chemical class 0.000 description 3
- 238000002425 crystallisation Methods 0.000 description 3
- 230000008025 crystallization Effects 0.000 description 3
- 238000000354 decomposition reaction Methods 0.000 description 3
- 229910001882 dioxygen Inorganic materials 0.000 description 3
- 229910000040 hydrogen fluoride Inorganic materials 0.000 description 3
- 239000011261 inert gas Substances 0.000 description 3
- 238000009413 insulation Methods 0.000 description 3
- 230000004048 modification Effects 0.000 description 3
- 238000012986 modification Methods 0.000 description 3
- 230000036961 partial effect Effects 0.000 description 3
- 238000002161 passivation Methods 0.000 description 3
- 238000005268 plasma chemical vapour deposition Methods 0.000 description 3
- 238000001020 plasma etching Methods 0.000 description 3
- 239000010909 process residue Substances 0.000 description 3
- 239000010409 thin film Substances 0.000 description 3
- 238000011144 upstream manufacturing Methods 0.000 description 3
- 229910018404 Al2 O3 Inorganic materials 0.000 description 2
- KLZUFWVZNOTSEM-UHFFFAOYSA-K Aluminium flouride Chemical class F[Al](F)F KLZUFWVZNOTSEM-UHFFFAOYSA-K 0.000 description 2
- KZBUYRJDOAKODT-UHFFFAOYSA-N Chlorine Chemical compound ClCl KZBUYRJDOAKODT-UHFFFAOYSA-N 0.000 description 2
- LYCAIKOWRPUZTN-UHFFFAOYSA-N Ethylene glycol Chemical compound OCCO LYCAIKOWRPUZTN-UHFFFAOYSA-N 0.000 description 2
- 238000009825 accumulation Methods 0.000 description 2
- 230000002411 adverse Effects 0.000 description 2
- 238000013459 approach Methods 0.000 description 2
- 150000001495 arsenic compounds Chemical class 0.000 description 2
- 229910052799 carbon Inorganic materials 0.000 description 2
- 239000002131 composite material Substances 0.000 description 2
- 238000005336 cracking Methods 0.000 description 2
- 230000007547 defect Effects 0.000 description 2
- 238000006731 degradation reaction Methods 0.000 description 2
- 230000004069 differentiation Effects 0.000 description 2
- 238000005516 engineering process Methods 0.000 description 2
- 238000010348 incorporation Methods 0.000 description 2
- 230000010354 integration Effects 0.000 description 2
- 239000012705 liquid precursor Substances 0.000 description 2
- 230000007935 neutral effect Effects 0.000 description 2
- 229910052759 nickel Inorganic materials 0.000 description 2
- 150000004767 nitrides Chemical class 0.000 description 2
- 238000010943 off-gassing Methods 0.000 description 2
- 230000003287 optical effect Effects 0.000 description 2
- 238000013021 overheating Methods 0.000 description 2
- 230000000737 periodic effect Effects 0.000 description 2
- 238000000197 pyrolysis Methods 0.000 description 2
- 238000010992 reflux Methods 0.000 description 2
- 230000008439 repair process Effects 0.000 description 2
- 238000001004 secondary ion mass spectrometry Methods 0.000 description 2
- 230000035945 sensitivity Effects 0.000 description 2
- 239000011734 sodium Substances 0.000 description 2
- 239000007787 solid Substances 0.000 description 2
- 230000007480 spreading Effects 0.000 description 2
- 238000003892 spreading Methods 0.000 description 2
- 238000012360 testing method Methods 0.000 description 2
- 230000032258 transport Effects 0.000 description 2
- BDZBKCUKTQZUTL-UHFFFAOYSA-N triethyl phosphite Chemical compound CCOP(OCC)OCC BDZBKCUKTQZUTL-UHFFFAOYSA-N 0.000 description 2
- WRECIMRULFAWHA-UHFFFAOYSA-N trimethyl borate Chemical compound COB(OC)OC WRECIMRULFAWHA-UHFFFAOYSA-N 0.000 description 2
- WVLBCYQITXONBZ-UHFFFAOYSA-N trimethyl phosphate Chemical compound COP(=O)(OC)OC WVLBCYQITXONBZ-UHFFFAOYSA-N 0.000 description 2
- CYTQBVOFDCPGCX-UHFFFAOYSA-N trimethyl phosphite Chemical compound COP(OC)OC CYTQBVOFDCPGCX-UHFFFAOYSA-N 0.000 description 2
- 238000005406 washing Methods 0.000 description 2
- DDFHBQSCUXNBSA-UHFFFAOYSA-N 5-(5-carboxythiophen-2-yl)thiophene-2-carboxylic acid Chemical compound S1C(C(=O)O)=CC=C1C1=CC=C(C(O)=O)S1 DDFHBQSCUXNBSA-UHFFFAOYSA-N 0.000 description 1
- SDTHIDMOBRXVOQ-UHFFFAOYSA-N 5-[bis(2-chloroethyl)amino]-6-methyl-1h-pyrimidine-2,4-dione Chemical compound CC=1NC(=O)NC(=O)C=1N(CCCl)CCCl SDTHIDMOBRXVOQ-UHFFFAOYSA-N 0.000 description 1
- 229910000838 Al alloy Inorganic materials 0.000 description 1
- PIGFYZPCRLYGLF-UHFFFAOYSA-N Aluminum nitride Chemical compound [Al]#N PIGFYZPCRLYGLF-UHFFFAOYSA-N 0.000 description 1
- RYGMFSIKBFXOCR-UHFFFAOYSA-N Copper Chemical compound [Cu] RYGMFSIKBFXOCR-UHFFFAOYSA-N 0.000 description 1
- 208000033999 Device damage Diseases 0.000 description 1
- 239000004812 Fluorinated ethylene propylene Substances 0.000 description 1
- DGAQECJNVWCQMB-PUAWFVPOSA-M Ilexoside XXIX Chemical compound C[C@@H]1CC[C@@]2(CC[C@@]3(C(=CC[C@H]4[C@]3(CC[C@@H]5[C@@]4(CC[C@@H](C5(C)C)OS(=O)(=O)[O-])C)C)[C@@H]2[C@]1(C)O)C)C(=O)O[C@H]6[C@@H]([C@H]([C@@H]([C@H](O6)CO)O)O)O.[Na+] DGAQECJNVWCQMB-PUAWFVPOSA-M 0.000 description 1
- 241000764773 Inna Species 0.000 description 1
- 229920001774 Perfluoroether Chemical group 0.000 description 1
- 229910052581 Si3N4 Inorganic materials 0.000 description 1
- 229920006362 Teflon® Polymers 0.000 description 1
- PRPAGESBURMWTI-UHFFFAOYSA-N [C].[F] Chemical group [C].[F] PRPAGESBURMWTI-UHFFFAOYSA-N 0.000 description 1
- VDRSDNINOSAWIV-UHFFFAOYSA-N [F].[Si] Chemical compound [F].[Si] VDRSDNINOSAWIV-UHFFFAOYSA-N 0.000 description 1
- 230000003213 activating effect Effects 0.000 description 1
- 230000004913 activation Effects 0.000 description 1
- 239000012080 ambient air Substances 0.000 description 1
- LDDQLRUQCUTJBB-UHFFFAOYSA-N ammonium fluoride Chemical class [NH4+].[F-] LDDQLRUQCUTJBB-UHFFFAOYSA-N 0.000 description 1
- 238000000429 assembly Methods 0.000 description 1
- 230000000712 assembly Effects 0.000 description 1
- 238000001505 atmospheric-pressure chemical vapour deposition Methods 0.000 description 1
- 230000006399 behavior Effects 0.000 description 1
- 230000008033 biological extinction Effects 0.000 description 1
- 238000009529 body temperature measurement Methods 0.000 description 1
- 238000005219 brazing Methods 0.000 description 1
- WUKWITHWXAAZEY-UHFFFAOYSA-L calcium difluoride Chemical compound [F-].[F-].[Ca+2] WUKWITHWXAAZEY-UHFFFAOYSA-L 0.000 description 1
- 229910001634 calcium fluoride Inorganic materials 0.000 description 1
- 239000000969 carrier Substances 0.000 description 1
- 238000005266 casting Methods 0.000 description 1
- 239000013626 chemical specie Substances 0.000 description 1
- 230000006835 compression Effects 0.000 description 1
- 238000007906 compression Methods 0.000 description 1
- 239000000112 cooling gas Substances 0.000 description 1
- 239000000498 cooling water Substances 0.000 description 1
- 229910052802 copper Inorganic materials 0.000 description 1
- 239000010949 copper Substances 0.000 description 1
- 239000013078 crystal Substances 0.000 description 1
- 230000003247 decreasing effect Effects 0.000 description 1
- 230000002950 deficient Effects 0.000 description 1
- ZOCHARZZJNPSEU-UHFFFAOYSA-N diboron Chemical compound B#B ZOCHARZZJNPSEU-UHFFFAOYSA-N 0.000 description 1
- 230000009977 dual effect Effects 0.000 description 1
- 230000007613 environmental effect Effects 0.000 description 1
- 230000005284 excitation Effects 0.000 description 1
- 229920002313 fluoropolymer Polymers 0.000 description 1
- 230000004907 flux Effects 0.000 description 1
- 230000004927 fusion Effects 0.000 description 1
- 229910052732 germanium Inorganic materials 0.000 description 1
- GNPVGFCGXDBREM-UHFFFAOYSA-N germanium atom Chemical compound [Ge] GNPVGFCGXDBREM-UHFFFAOYSA-N 0.000 description 1
- 150000002431 hydrogen Chemical class 0.000 description 1
- 230000005661 hydrophobic surface Effects 0.000 description 1
- WGCNASOHLSPBMP-UHFFFAOYSA-N hydroxyacetaldehyde Natural products OCC=O WGCNASOHLSPBMP-UHFFFAOYSA-N 0.000 description 1
- 230000006872 improvement Effects 0.000 description 1
- 230000000977 initiatory effect Effects 0.000 description 1
- 238000003780 insertion Methods 0.000 description 1
- 230000037431 insertion Effects 0.000 description 1
- 238000007689 inspection Methods 0.000 description 1
- 230000001788 irregular Effects 0.000 description 1
- WABPQHHGFIMREM-UHFFFAOYSA-N lead(0) Chemical compound [Pb] WABPQHHGFIMREM-UHFFFAOYSA-N 0.000 description 1
- 238000004518 low pressure chemical vapour deposition Methods 0.000 description 1
- 230000014759 maintenance of location Effects 0.000 description 1
- 238000001000 micrograph Methods 0.000 description 1
- 239000011259 mixed solution Substances 0.000 description 1
- 230000035515 penetration Effects 0.000 description 1
- 229920009441 perflouroethylene propylene Polymers 0.000 description 1
- 230000002093 peripheral effect Effects 0.000 description 1
- 230000002085 persistent effect Effects 0.000 description 1
- 238000005289 physical deposition Methods 0.000 description 1
- 238000005498 polishing Methods 0.000 description 1
- 229920000642 polymer Polymers 0.000 description 1
- 239000000843 powder Substances 0.000 description 1
- 238000011112 process operation Methods 0.000 description 1
- 239000000376 reactant Substances 0.000 description 1
- 230000009257 reactivity Effects 0.000 description 1
- 239000011347 resin Substances 0.000 description 1
- 229920005989 resin Polymers 0.000 description 1
- 230000000284 resting effect Effects 0.000 description 1
- 229910052594 sapphire Inorganic materials 0.000 description 1
- 239000010980 sapphire Substances 0.000 description 1
- HQVNEWCFYHHQES-UHFFFAOYSA-N silicon nitride Chemical compound N12[Si]34N5[Si]62N3[Si]51N64 HQVNEWCFYHHQES-UHFFFAOYSA-N 0.000 description 1
- WNUPENMBHHEARK-UHFFFAOYSA-N silicon tungsten Chemical compound [Si].[W] WNUPENMBHHEARK-UHFFFAOYSA-N 0.000 description 1
- 229910052708 sodium Inorganic materials 0.000 description 1
- 238000001179 sorption measurement Methods 0.000 description 1
- 239000010935 stainless steel Substances 0.000 description 1
- 229910001220 stainless steel Inorganic materials 0.000 description 1
- 238000003860 storage Methods 0.000 description 1
- 238000002230 thermal chemical vapour deposition Methods 0.000 description 1
- 230000003685 thermal hair damage Effects 0.000 description 1
- 238000007669 thermal treatment Methods 0.000 description 1
- 239000002341 toxic gas Substances 0.000 description 1
- 230000001052 transient effect Effects 0.000 description 1
- WFKWXMTUELFFGS-UHFFFAOYSA-N tungsten Chemical compound [W] WFKWXMTUELFFGS-UHFFFAOYSA-N 0.000 description 1
- 229910052721 tungsten Inorganic materials 0.000 description 1
- 239000010937 tungsten Substances 0.000 description 1
- 239000011800 void material Substances 0.000 description 1
- 238000010792 warming Methods 0.000 description 1
- 230000037303 wrinkles Effects 0.000 description 1
Images
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/20—Deposition of semiconductor materials on a substrate, e.g. epitaxial growth solid phase epitaxy
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/455—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
- C23C16/45563—Gas nozzles
- C23C16/45565—Shower nozzles
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/4401—Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
- C23C16/4405—Cleaning of reactor or parts inside the reactor by using reactive gases
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/455—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/67005—Apparatus not specifically provided for elsewhere
- H01L21/67011—Apparatus for manufacture or treatment
Landscapes
- Chemical & Material Sciences (AREA)
- Engineering & Computer Science (AREA)
- Mechanical Engineering (AREA)
- General Chemical & Material Sciences (AREA)
- Organic Chemistry (AREA)
- Metallurgy (AREA)
- Materials Engineering (AREA)
- Chemical Kinetics & Catalysis (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- Power Engineering (AREA)
- General Physics & Mathematics (AREA)
- Physics & Mathematics (AREA)
- Computer Hardware Design (AREA)
- Manufacturing & Machinery (AREA)
- Chemical Vapour Deposition (AREA)
- Physical Deposition Of Substances That Are Components Of Semiconductor Devices (AREA)
Description
関連出願のクロス・リファレンス
本出願は、共同発明者としてJonathan Frankel, Hari Ponnekanti, Inna Shmurun & Visweswaren Sivaramakrishnanが挙げられた『高温処理チャンバ用ヒータ/リフトアセンブリ』と称する同時出願及び共同譲渡された特許出願;共同発明者として Jonathan Frankel & Visweswaren Sivaramakrishnanが挙げられた『高温処理チャンバ用チャンバライナー』と称する同時出願及び共同譲渡された特許出願;共同発明者として Gay Fong & Irwin Silvestre が挙げられた『リモートプラズマ系が底に取り付けられた基板処理装置』と称する同時出願及び共同譲渡された特許出願;発明者としてJonathan Frankelが挙げられた『高温処理チャンバ用リフトアセンブリ』と称する同時出願及び共同譲渡された特許出願;共同発明者としてVisweswaren Sivaramakrishnan & Gary Fongが挙げられた『熱(非プラズマ)処理においてチャンバ清浄の終わりを検出するシステム及び方法』と称する同時出願及び共同譲渡された特許出願;共同発明者としてVisweswaren Sivaramakrishnan, Ellie Yieh, Jonathan Frankel, Li-Qun Xia, Gary Fong, Srinivas Nemani Irwin Silvestre, Inna Shmurun & Tim Levine が挙げられた『半導体ウェハの高温処理システム及び方法』と称する同時出願及び共同譲渡された特許出願;共同発明者として Gary Fong, Li-Qun Xia, Srinivas Nemani & EllieYiehが挙げられた『基板処理システムにおいて表面を洗浄する方法及び装置』と称する同時出願及び共同譲渡された特許出願;共同発明者として Li-Qun Xia, Visweswaren Sivaramakrishnan, Srinivas Nemani, Ellie Yieh & Gary Fongが挙げられた『チャンバ材料表面からフッ素をゲッタリングする方法及び装置』と称する同時出願及び共同譲渡された特許出願;共同発明者としてLi-Qun Xia, Ellie Yieh & Srinivas Nemaniが挙げられた『大気圧未満及び高温条件で含金属誘電層を堆積する方法及び装置』と称する同時出願及び共同譲渡された特許出願;共同発明者としてEllie Yieh, Li-Qun Xia & Srinivas Nemaniが挙げられた『浅いトレンチ分離のための方法及び装置』と称する同時出願及び共同譲渡された特許出願;発明者としてJonathan Frankelが挙げられた『気相成長装置の温度を制御するシステム及び方法』と称する同時出願及び共同譲渡された特許出願;共同発明者として Gary Fong, Fong Chang & Long Nguyenが挙げられた『マイクロ波清浄用前安定化プラズマ生成のための方法及び装置』と称する同時出願及び共同譲渡された特許出願;共同発明者としてEllie Yieh, Li-Qun Xia, Paul Gee & Bang Nguyenが挙げられた『ドープ酸化シリコン膜を用いて超薄ドープ領域を形成する方法及び装置』と称する同時出願及び共同譲渡された特許出願に関する。上記の参考出願の各々は本発明の譲受人の Applied Materials社に譲渡され、それらの明細書の記載は本願明細書に含まれるものとする。
【0002】
発明の背景
本発明は、半導体処理に関する。更に詳細には、本発明は、約500℃より高い温度で高アスペクト比の特徴にわたって含水量が少なくかつ縮みの小さい誘電膜を形成する方法及び装置に関する。本発明の実施例は、ホウリンケイ酸塩ガラス(BPSG)膜、ホウケイ酸塩(BSG)膜又はリンケイ酸塩ガラス(PSG)膜のようなドープ誘電膜を堆積しかつ例えば、ソース/ドレーン接合部分又は浅いトレンチ分離のチャネルストップ拡散部分として用いられる超薄ドープ領域を形成するのに特に有用である。更に、本発明の実施例は、含金属誘電(PMD)層、金属間誘電(IMD)層又は他の誘電層として用いられるドープ誘電膜を堆積させるために用いられる。本発明の他の実施例は、浅いトレンチ分離充填酸化物として用いられる非ドープケイ酸塩ガラス(USG)膜、絶縁層、キャッピング層又は他の層の非ドープ誘電膜を堆積するために用いられる。
【0003】
最新の半導体デバイスを製造するのに主要なステップの1つは、半導体基板上に誘電層を形成するステップである。周知のように、かかる誘電層は化学気相成長(CVD)によって堆積される。従来のCVD熱処理においては、反応性ガスが基板表面に供給され、熱誘導化学反応(均一又は不均一)が起こって所望の膜を生成する。従来のプラズマ処理においては、所望の膜を生成する反応性物質を分解及び/又はエネルギーを与えるために制御されたプラズマが生成される。通常、熱及びプラズマ処理における反応速度は次の温度、圧力及び反応ガスの流速の1種以上を制御することにより制御される。
【0004】
半導体デバイスの形は、かかるデバイスが数十年前に始めて導入されて以来サイズが劇的に小さくなった。それ以来、集積回路は、一般的には、チップに取り付けるデバイスの数が2年毎に2倍になることを意味する2年/半サイズ方式(たいていムーアの法則と呼ばれる)に従ってきた。今日のウェハ製造プラントは、通常、0.5μm 及び0.35μm さえの特徴サイズデバイスを製造しており、明日のプラントは、まもなく、更に小さな特徴サイズをもつデバイスを製造するであろう。デバイスの特徴サイズが小さくなり集積密度が高くなるにつれて、以前には製造業で重要と見なされなかった問題点が関係するようになっている。特に、集積密度が著しく高いデバイスは高(例えば、約3:1又は4:1より大きい)アスペクト比をもつ特徴がある。(アスペクト比は2つの段差部の間隔に対する高さの比として定義される。)
質の高いデバイスを製造するためにそれらの高集積デバイスを製造するのにますます厳重な処理が要求され、従来の基板処理システムはそれらの要求を満たすのに不十分になっている。1つは、かかるデバイスの製造方法において形成された誘電膜が実質的にギャップ又はボイドを残さずにそれらの高アスペクト比の特徴にわたって均一に堆積されなければならないことが要求される。もう1つは、続いての加熱及び/又はウェットエッチングステップの堆積膜にボイドが見えてこないようにそれらの膜の縮みを小さくしなければならないことが要求される。しかしながら、典型的には約450℃未満の温度で誘電膜を堆積する従来の基板処理システムは、後続の加熱及び/又はウェットエッチングステップにおいて実質的にボイドを開けずにギャップ充填能力の良好な低水分膜を製造することが不可能である。周知のように、それらのギャップ又はボイドはデバイス性能の信頼性がないこと及び他の問題の原因になるものである。かかるデバイスにおいて、例えば、PMD又はIMD層として用いられる誘電膜は、それらのギャップ又はボイドによる問題を避けるために良好な高アスペクトギャップ充填能力を必要とする。更に、デバイスにおける短絡及び他の問題を避けるために処理ステップでのウェハへの金属混入を最少にすることが要求される。周知のように、処理中にその場プラズマを用いる従来の基板処理システムは、アルミニウム壁のようなチャンバ表面を攻撃するイオンの物理的スパッタリングを経験し、基板の金属混入を生じる。従って、その場プラズマの使用は望ましくない。含水量が少ない、密度が高い、収縮が小さい、高アスペクト比ギャップ充填能力が良好な望ましい特性をもつ誘電膜を得るためにその場プラズマを使用しない改良された基板処理システムが求められている。
【0005】
それらの厳重な要求を満たすほかに、基板処理システムは、デバイスの形を縮めつつ高集積デバイスに必要である超薄ドープ領域を形成する高い要求を満たすことができなければならない。小さな形のデバイスの出現で、半導体の超薄ドープ領域は、ソース/ドレーン接合部分、浅いトレンチ分離のチャネルストップ拡散部分等を含む種々の用途に求められている。例えば、長さが0.8μm 未満のチャネルを含むMOSデバイスは、たいてい、十分なデバイス性能に対して深さが約250ナノメートル(nm)のソース/ドレーン接合部分が必要である。深さ約0.35μm のトレンチ分離構造によって分けられるトランジスタについては、深さが数百nm程度の超薄チャネルストップ領域が常に必要とされる。超薄ドープ領域が必要である用途については、ドープ領域のドーパント分布が均一であり接合部分の深さの制御が良好であることが重要である。
【0006】
イオン注入及びガス拡散のような超薄ドープ領域を形成する現在の方法は、ある用途には不十分である。それらの現在の方法において、ドーパント分布及び接合の深さを制御する能力は、特にドープ領域が薄くなるにつれて制限される。イオン注入のような方法においては、ドーパン分布を制御することは半導体材料の表面の密集濃度のイオンのために困難である。また、イオン注入は半導体表面に損傷を引き起し、その基板損傷を修復する方法はたいていドーパント分布及び超薄ドープ領域の接合の深さを制御することを困難にする。例えば、相対的に高エネルギーレベルで衝撃したイオンは半導体材料にトンネル又はチャネルを掘る傾向があり、点欠陥のような損傷を引き起こす。不規則な及び不均一な接合の深さをまねくそれらの点欠陥は、注入した半導体材料を高温(約900℃より高い温度)でアニールを行うことにより固定される。しかしながら、注入した半導体材料のアニールを行うと接合の深さが所望のものを超えることがある。ガス拡散のような方法においては、ドーパント分布及び接合の深さを制御すると超薄ドープ領域を形成する点での制御が困難になる。技術がより小さな形のデバイスに進行するにつれて、ドーパントの均一性及び超薄ドープ領域の接合の深さを制御することができる代替的方法が求められている。
【0007】
超薄ドープ領域を形成するにあたり、イオン注入及びガス拡散の現在の方法の代替的方法はドーパント拡散源としてドープ誘電膜の使用がある。その代替的方法では、ドープ誘電膜は基板上に堆積し、超薄ドープ領域を形成するために基板に拡散されるドーパント源として用いられる。例えば、ドープ誘電膜は成長チャンバ内で500℃未満の温度で堆積し、引き続き、ドーパント拡散を行うアニール電気炉のような別のチャンバ内で500℃を超える温度で加熱されてドープ領域を形成する。ドープ誘電膜の厚さ、均一性及び含水量の制御は、半導体材料で超薄ドープ接合部分を効率よく形成するのに重要である。特に、堆積したドープ誘電膜の厚さ及び均一性を制御すると拡散に利用できるドーパントの量について制御される。拡散源として用いられるドープ誘電膜の厚さを制限すると堆積(及び後続のエッチング)時間を節約することによりウェハのスループットを高めるのに役立つ。更に、ドーパントの均一性さえある均一に堆積した膜は膜から基板へのドーパントの制御された拡散を与えることができる。周知のように、ドープ誘電膜中の含水量は結晶構造で結合するドーパントと反応し、ドープ領域を形成する基板への拡散に利用できるドーパントがわずかになる。それらの膜は拡散での使用に利用できるドーパントが多いので含水量の少ないドープ誘電膜を用いることが望ましい。
【0008】
ドーパント拡散源としてドープ誘電膜を用いる場合、従来の基板処理システムはいくつかの問題に直面する。1つの問題は、従来のシステムを用いてドープ誘電膜を堆積させる場合に膜の厚さ及び均一性について高程度の制御を得ることが困難であることである。他の問題は、ドープ誘電膜中のドーパントの十分な量が超薄ドープ領域を形成する基板への拡散に利用できることを行わせることがたいてい困難であることである。更に、問題は未変性酸化物の存在であり、超薄ドープ領域が形成される基板表面上でドーパントがドープ誘電膜から基板へ拡散することを防止するバリヤ層として作用する。それらの問題は、下記に詳細に述べられる。
【0009】
超薄ドープ領域を形成するドーパント拡散源としてドープ誘電膜を使用するという利点にもかかわらず、従来の堆積システムを用いる場合に堆積ドープ誘電膜の厚さ及び均一性を制御することが不可能であるという問題は、特に2つの主要な理由にかかわるものである。第1に、従来の方法及び装置を用いて堆積ドープ誘電膜の厚さ及び均一性を十分に制御できないと形成される超薄ドープ領域のドーパントの均一性及び接合の深さの制御能力が減少することになる。例えば、従来の連続CVDチャンバでは、基板がベルト上に残り、チャンバの各部分を通って進む。チャンバの各部分ではある種の厚さを有する層が堆積される。堆積膜の厚さはベルト速度を変えることにより制御され、制御が限定される。更に、異なるウェハ上に堆積した膜の厚さ及びドーパント均一性についての制御は、ベルト速度を用いて膜の厚さ及びドーパント濃度を制御することを試みる場合に困難である。即ち、異なるウェハ上に堆積した膜の厚さが異なりかつ予想できず、ウェハ間の信頼性がなくなる。第2に、非常に薄い膜に対してさえ堆積ドーパント誘電膜の厚さを制御することは全体の効率及び高ウェハスループットに望ましいことである。しかしながら、従来の方法は、数千オングストロームの程度の厚さでドープ誘電膜を形成できるにすぎなかった。また、堆積膜の厚さを制御するベルト速度による系を用いてできるだけ薄い堆積膜の厚さを維持することは困難である。慣用的に堆積した厚い膜においては、半導体材料に達する前に移る距離が大きいのでいくらかのドーパントはもはや基板に拡散するのに用いられない。また、エッチング又は他の手法でドーパント拡散源として用いられたそのような厚い膜を除去するとウェハを処理する合計時間がたいてい増加する。効率を改善する製造業者の圧力を成長させつつ、堆積して除去するのに要する時間を短縮するためにできる限り薄いドープ誘電膜を形成することが望ましい。ドーパント拡散源として用いられるドープ誘電膜の厚さ及びドーパント均一性(ウェハ前後のドーパント変化±0.2重量%で厚さ約500オングストローム未満)を容易に制御できる方法及び装置があることが望ましい。
【0010】
超薄ドープ領域のドーパント拡散源としてドープ誘電膜を用いることに伴う他の問題は、十分な量のドーパントが基板への拡散に利用できなければならないことである。膜及び高濃度ドーパントは、たいてい、超薄接合部分を形成する基板への均一な拡散に十分な量のドーパントを与えることが求められる。しかしながら、水分吸収及びガス抜きが十分なドーパント利用可能性に関して2つの問題がある。ドープ誘電膜、特にドーパント濃度の高いものは、ウェハがクリーンルームで周囲水分に曝露されたすぐ後に水分を吸収する傾向がある(例えば、多段プロセスにおいてドープ誘電膜の堆積後の成長チャンバから次の処理ステップの異なる処理チャンバへウェハが移される場合)。次に、吸収した水分は誘電膜中のドーパントと反応し、膜を結晶化させる。膜内にドーパントを結合する結晶構造のために、それらのドーパントは他のチャンバ内で急速熱処理又はアニールを行うことによるウェハを続いて加熱した後でさえ基板への拡散に利用できなくなる。従って、水分吸収は基板への拡散に対するドーパントの量を減少する。水分吸収の問題のほかに、ドープ誘電膜からのドーパントのガス抜きも後続の加熱ステップで起こることがある。それらのドーパントは、基板から離れて膜の外へ拡散し、超薄ドープ領域を形成する基板へ拡散されるのに利用できるドーパントがわずかになる。
【0011】
十分なドーパントが拡散に利用できる場合でさえ、拡散源としてドープ誘電膜を用いる場合に未変性酸化物が依然として重要な問題である。超薄ドープ領域が形成される基板表面上に存在する未変性酸化物は、シリコンへの有効な及び均一なドーパント拡散を妨げる。従って、ドーパントに対する拡散バリヤ層として作用する未変性酸化物は除去されなければならない。未変性酸化物の除去は、液体エッチング剤を用いるウェットエッチング及びその場プラズマを用いるドライエッチングのような従来の手法を用いて行われてきた。しかしながら、液体エッチング剤を用いると、たいてい、制御が困難でありかつ基板をオーバーエッチングすることがある。ウェットエッチングのような従来の方法で未変性酸化物が洗浄された基板は未変性酸化物が再び形成し始める約約1週間前未満の自己寿命があり、未変性酸化物が除去されたすぐ後にウェハを処理することが望ましい。ドライエッチングを用いて未変性酸化物をその場プラズマで除去すると基板の表面にプラズマ損傷が生じる。表面プラズマ損傷を引き起こすほかに、その場プラズマドライエッチングは前に述べたように望ましくないことに金属混入を生じることがある。従って、ドーパントが超薄ドープ領域に対して均一に基板へ拡散することができるように基板表面を損傷せずに未変性酸化物を効率よく除去することが重要である。
【0012】
均一な厚さ及び金属混入の少ない高アスペクト比ギャップ充填能力をもつ密度の高い低水分誘電膜のほかに、集積回路デバイスを製造するのに品質及び総合効率の改善が重要である。デバイスを製造するのに品質及び総合効率を改善する重要な方法は、効果的に及び経済的にチャンバを洗浄することである。処理品質及び総合効率を改善する製造業者の圧力を成長させつつ、ウェハの品質を妥協することなく多段プロセスにおける全ダウン時間を削除することは時間及び記憶双方を節約するのにますます重要になってきた。CVD処理中、処理チャンバ内部に遊離した反応性ガスは、処理される基板の表面上に酸化シリコン又は窒化シリコンのような層を形成する。望ましくない酸化物の堆積は、ガス混合ボックスとガス分配マニホールド間の領域のようなCVD装置にも生じる。望まれていない酸化物残留物もかかるCVDプロセス中に排気チャネル及び処理チャンバの壁の中又は周りに堆積される。時間がたつにつれて、CVD装置から残留物を洗浄することができなくなると、たいてい、分解した信頼性のないプロセス及び欠陥基板が得られる。CVD装置に蓄積した残留物からの不純物は、基板上を移動することができる。基板上のデバイスに対して損傷を引き起こす不純物の問題は、今日のますます小さくなるデバイス寸法については特にかかわりがある。従って、CVDシステムの保守は、処理する基板の滑らかな操作に重要であり、デバイス歩留りの改良及び良好な製品の性能を生じる。
【0013】
高品質デバイスを生産するのにCVD系の性能を改善するためにあらゆるNウェハの処理の間に定期的なチャンバ洗浄がしばしば求められている。チャンバ及び/又は基板を効率よく損傷させずに洗浄すると、たいてい、生産されたデバイスの性能及び品質を高めることができる。上記のチャンバ洗浄の品質を改善するほかに(真空密閉を破壊することなく行われる)、予防保守チャンバ洗浄(真空密閉はチャンバリッドを開けてチャンバを物理的に拭き取ることにより破壊される)は多数の定期的チャンバ洗浄の間に行われる。たいてい、必要な予防保守チャンバ洗浄を行うには、チャンバリッド及びリッドを塞ぐことができる他のチャンバ部分を開放することが必要であり、これは通常の生産処理を妨害する時間を要する手順である。
【0014】
上記の観点から、改良された方法、システム及び装置は、均一な厚さ及び高アスペクト比ギャップ充填能力を有する密度の高い低水分誘電膜を堆積することが求められている。最適には、それらの改良された方法及び装置は金属混入の少ないチャンバ清浄を備えている。改良された方法及び装置は、また、超薄接合部分のドーパント拡散源としてドープ誘電膜を形成することが求められている。それらの方法及び装置は、シリコンウェハに有意な表面損傷を引き起こすことなくドープ誘電層から有効な及び均一なドーパント拡散を行わせるために未変性酸化物を効率よく除去することができなければならない。更に、ある用途については、異なる種類の洗浄に要する時間を最少にするために簡便化した設計による単一チャンバにおいて多段の堆積及び洗浄能力を備えることが望ましい。従って、求められることは、質の高い効率のよい高温堆積及び効率のよい穏やかな洗浄が可能なシステム及び方法である。特に、それらのシステム及び方法は、高アスペクト比の特徴をもつデバイスを形成するために要求される処理及び超薄ドープ領域を形成するために要求される処理と適合するように設計されなければならない。
【0015】
発明の要約
本発明は、半導体ウェハの高温(少なくとも約500〜800℃)処理用システム、方法及び装置を提供する。本発明の実施例としては、総合処理時間を短縮しかつ高アスペクト比の特徴をもつ高集積デバイスを生産する高品質処理を行わせるために多段処理ステップを同一チャンバ内でその場で行うことを可能にするシステム、方法及び装置が含まれる。多段処理ステップを同一チャンバ内で行うと、処理パラメーターについての制御が高められ、堆積膜中の含水量がかなり減少し、金属混入又は処理残留物混入のためにデバイス損傷が最小になる。
【0016】
特に、本発明は相対的に薄い膜厚を有する誘電膜を形成する高温堆積、加熱及び効率のよい洗浄を提供する。本発明の実施例は、ホウリンケイ酸塩ガラス(BPSG)膜、ホウケイ酸塩ガラス(BSG)膜又はリンケイ酸塩ガラス(PSG)膜のようなドープ誘電膜を堆積しかつ、例えば、ソース/ドレーン接合部分又は浅いトレンチ分離のチャネルストップ拡散部分として用いられる超薄ドープ領域を形成するのに特に有効である。更に、本発明の実施例は、含金属誘電(PMD)層、金属間誘電(IMD)層又は他の誘電層として用いられるドープ誘電膜を堆積するために用いられる。本発明の他の実施例は、更に、浅いトレンチ分離充填酸化物、絶縁層、キャッピング層又は他の層として用いられる非ドープ誘電膜を堆積するために用いられる。
【0017】
本発明の方法は、圧力が約10torr〜760torrの真空チャンバ内で基板上にCVDによる誘電膜を堆積させる工程、及び該基板を約500℃より高い温度まで加熱する工程が含まれる。基板は、平坦化のために堆積誘電層のリフローを行うか又は堆積ドープ誘電層からドーパントを入れるような種々の目的のために加熱される。プロセスは、一段ステップ(例えば、500℃より高い温度でウェハ上に膜を堆積及びリフローする)又は多段ステップ(500℃未満の温度でウェハ上に膜を堆積し、膜が堆積した後にウェハ上の膜を加熱する)で行われる。いずれの場合も、高温処理が真空チャンバからウェハを除去することなく行われ、誘電膜中の水分吸収を減少させることが有利である。高温処理は、また、キャッピング層を有するドープ誘電膜のその場堆積を可能にしてドープ膜からドーパントのガス抜きを減少しかつ含水量を低下させることが有利である。個々の実施例においては、反応性ガスが基板表面に送られ、熱誘導化学反応が起こり誘電膜を生成する。更に又はもしくは、反応性ガスの分解を促進するために制御されたプラズマが生成される。
【0018】
具体的な実施例においては、誘電膜は超薄接合部分のドーパント拡散源として用いられるドープ薄膜である。該膜は、基板上に約500℃より高い温度で堆積し、更に高温、通常600℃より高い温度、好ましくは約700℃より高い温度まで加熱されて誘電層から下にある基板へ拡散する。同一チャンバ内で堆積及び加熱ステップを行うとドープ誘電膜の厚さ、均一性及び含水量の良好な制御が得られる。膜の含水量を改善すると膜中の有効ドーパントの量が増加し、高集積デバイスの超薄接合部分を形成するのに特に有利である。
【0019】
本発明の他の態様においては、リモートプラズマ系は真空チャンバ及び装置の構成成分の内壁の望まれていない堆積物をエッチングし、処理前の半導体ウェハから未変性酸化物及び他の残留物を洗浄する。リモートエネルギー源を用いる穏やかな洗浄法は、金属混入を低下させるために従来のその場プラズマ工程の代わりに用いられることが好ましい。例えば、リモートプラズマ系はリモートプラズマを供給し、好ましくはプラズマからのフッ素基が高温のチャンバに入ることができ、チャンバを穏やかに熱洗浄する。リモートプラズマ系においては、化学反応のみ用いられ、物理的スパッタリング作用の問題は排除される。対照的に、その場プラズマ系の使用においては、スパッタリング作用はアルミニウムチャンバ壁を攻撃し、処理したウェハ中の金属混入をまねく。リモートプラズマ系を用いる熱洗浄工程においては、チャンバ内に送られる遊離基はプラズマがチャンバと離れたままチャンバ内の表面からの望ましくない堆積物及び残留物を効果的に清浄することができる。リモートプラズマ系の他の利点は、シリコンウェハに有意な表面損傷を起こさずにドープ誘電層から有効かつ均一なドーパント拡散を効果的に行わせるために未変性酸化物がウェハから効率よく除去されることである。リモートプラズマ系の利点は、更に、必要とされる異なる導入ガスを用いることにより膜を堆積させるための使用に系が配置されることである。
【0020】
好適実施例においては、リモートプラズマ洗浄系は選定化学種(例えば、フッ素、塩素又は他の基)を生成し処理チャンバに送るために配置されたマイクロ波プラズマ系である。リモートプラズマ系は、マイクロ波放射によってガスにエネルギーを与えてエッチング基を有するプラズマを発生させる。詳しくは、マイクロ波はマグネトロン又は他の適切なエネルギー源によって生じ、プラズマが生成されるアプリケータ管へ導波管を介して送られる。次に、反応性ガスがアプリケータ管に送り込まれ、マイクロ波エネルギーによってエネルギーを与え、処理チャンバへの遊離基の流れをつくるために発火プラズマのイオン化を維持する。例えば、遊離基はチャンバ壁上につくられた残留物と相互作用して排気系によってチャンバから適切に排出される反応ガスを形成する。マイクロ波プラズマ系は、また、堆積反応性ガスを処理チャンバへ送ることによりプラズマ強化CVD膜を堆積させるために用いられる。
【0021】
本発明の他の態様においては、リモートプラズマ系は、チャンバ洗浄が終了したときを示す終点検出系が含まれる。チャンバ内にプラズマがないと従来の終点検出系を用いて洗浄が完了したとき(即ち、チャンバ内の最後のプロセスガスが洗浄エッチング剤と反応してチャンバから排出されるとき)を正確に示すことは困難である。これは、従来の終点検出系が典型的にはその場プラズマからの放射をチェックして洗浄工程の終わりを求めるためにチャンバ内のプラズマの使用によるためである。本発明では、終点検出アセンブリは、SiF4 のような排気清浄ガス反応成分による光の吸光度のために生じる光の強さの変化を検出することにより洗浄工程の終点を求めるために処理チャンバのガス排出口に連結される。
【0022】
本発明の他の態様においては、チャンバ壁の表面からフッ素のような吸着した清浄ガスをゲッタリングする方法が示される。本発明によれば、フッ素を含む第1洗浄ガスは堆積残留物の処理チャンバを清浄するために処理チャンバに導入される。次に、残留物が第1洗浄ガスで除去された後に第2洗浄ガスが処理チャンバに導入される。第2洗浄ガスは、第1洗浄ガスと処理チャンバの内部表面間の反応により生成された洗浄残留物を除去する。洗浄残留物をチャンバから除去又はゲッタリングすると多数の利点が生じる。例えば、本発明の好適実施例においては、フッ素基が処理チャンバに送られ、チャンバから排気されるシリコン−フッ素ガス生成物を形成することにより酸化シリコンのような残留物を除去する。フッ素によるチャンバ洗浄手順であるチャンバ壁の表面上の吸着フッ素が相互作用されるか又は取込まれた後、処理されるべき次のウェハ上の堆積膜がゲッタリングされる。別の実施例においては、ゲッタリングはマイクロ波生成原子酸素とシリコン源を用いてチャンバをシーズニングすることにより行われ、チャンバに酸化物の薄膜を堆積して吸着フッ素を捕捉しかつ続いて堆積した膜の汚染を防止する。
【0023】
本発明は、また、高温処理用の種々の耐熱性及びプロセス適合性成分を提供する。本発明の系は処理チャンバを収容する封入アセンブリを有する蒸着装置が含まれる。装置は、ウェハを約500℃〜800℃までの温度に加熱するペデスタル/ヒータを有する加熱アセンブリが含まれる。ペデスタルは、プロセスガスとの反応及び少なくとも約400℃、好ましくは約500℃〜800℃までの温度のプロセスガスによる堆積にかなり耐性のある材料を含んでいることが好ましい。更に、ペデスタルは、洗浄中にチャンバに導入されたフッ素基による高温(即ち、500℃〜800℃)でのエッチングにかなり耐性のある材料を含むことが好ましい。具体的な実施例においては、ペデスタル/ヒータは、酸化アルミニウム又は好ましくは窒化アルミニウムのようなセラミック材料に埋込まれた抵抗加熱要素を含む。
【0024】
本発明の加熱アセンブリは、更に、チャンバ内のペデスタル/ヒータを支持しかつ必要な電気接続部分を収容する支持シャフトが含まれる。支持シャフトは、ペデスタル/ヒータに拡散結合されるセラミック材料を含みシャフト内を真空密閉することが好ましい。その真空密閉は高温処理中に周囲温度及び周囲圧力でシャフトの中空内部を維持することを可能にし、チャンバ内のプロセスガス及びクリーンガスからの腐食から電極及び他の電気接続部分を保護する。更に、シャフト内の周囲圧力は、電源からシャフトの中空コアを介して電力リード又はシャフトの外壁へのアークを最少にする。
【0025】
本発明の他の態様においては、チャンバ壁をヒータから絶縁するためにペデスタル/ヒータの周りにチャンバライナーが設けられる。好ましくは、チャンバライナーは、高温及び堆積/清浄反応に耐性のあるセラミックのような材料を含む内部及びクラッキングに耐性のある材料を含む外部が含まれる。ライナーの内部は、チャンバ壁を絶縁して堆積膜の均一性に悪影響を及ぼすウェハ端部冷却作用を減じる。チャンバライナーの外部は、内部よりかなり厚くてウェハと壁間のギャップを塞ぎ、単一の相対的に厚いセラミックライナーで生じるクラッキングを最少にする。具体的な実施例においては、ライナーの外部はライナーによって設けられた絶縁を高めるエアギャップが含まれる。
【0026】
本発明の更なる態様においては、封入アセンブリとしてリッドアセンブリが設けられる。リッドアセンブリは、プロセスガス及びクリーンガスを入れかつそれらのガスをチャンバへ送る1以上のクリーンガス通路及び1以上のプロセスガス通路に連結されたガス混合ブロック(又はボックス)が含まれる。ガスをガス混合ブロックまで選択的に流動させるためにクリーンガス通路にもプロセスガス通路にも1以上のバルブが設けられる。その実施例は、装置の処理と洗浄間を速やかに及び効率よくスイッチすることができることにより本発明のその場洗浄法を促進し、系のスループットが高められる。
【0027】
具体的な実施例においては、リッドアセンブリは、1種以上のガスを入れるガス導入口を有するベースプレート及びガスを処理チャンバへ分散させる複数の孔を含むガス分配プレートが含まれる。リッドアセンブリは、ガス分配孔より流体フローに抵抗の少ないベースプレートに1以上のバイパス通路が含まれる。洗浄中、例えば、洗浄ガスの少なくとも一部はバイパス通路を介して直接チャンバへ通過して洗浄プロセスの速度を上げ、チャンバのダウン時間を短縮する。装置は、ガス分配孔を介してガスフローを制御するためにバイパス通路を部分的に又は完全に密閉するバルブ及びコントローラのような制御系が含まれる。
【0028】
本発明のこれらの及び他の実施例及びその利点及び特徴は、下記の本文及び添付の図面と共に詳細に述べられる。
【0029】
個々の実施例の詳細な説明
I.CVDリアクタ系
A.CVDリアクタの概要
本発明の実施例は、約500℃より高い温度で誘電膜を堆積するために用いられるシステム、方法及び装置である。特に、かかるシステム、方法及び装置は、非ドープ誘電膜及びドープ誘電膜を堆積するために用いられる。かかる膜は、超薄ドープ領域、含金属誘電層、金属間誘電層、キャッピング層、酸化物充填層又は他の層を形成するために用いられる。図1は、個々の実施例のCVD装置10の1実施例の縦断面図である。誘電層を堆積することができるほかに、本発明の装置は、平坦化のために堆積ドープ誘電層のリフローを行うか又は超薄ドープ領域を形成する場合に堆積ドープ誘電層からのドーパントを入れるのに有用な高温加熱能力を有する。更に、該装置は種々のCVDチャンバ成分を効率のよく洗浄しかつウェハ表面を洗浄することができる。CVD装置10は、単一真空チャンバ15において多数の能力をその場で備えている。従って、多段工程は、そのチャンバから他の外部のチャンバへ移すことなく単一チャンバで行われる。これにより、周囲空気から水分を吸収する機会を排除してウェハ上の低含水量が得られ、有利には堆積誘電層でのドーパント保持が高められる。更に、単一チャンバ内で多段工程を行うと時間が節約され、工程のスループット全体が高められる。
【0030】
図1に関して、CVD装置10は、ガス反応領域16を有する真空チャンバ15を収容する封入アセンブリ(封入部分)200が含まれる。ガス分配プレート20は、縦可動ヒータ25(ウェハ支持ペデスタル又はサスセプタとも呼ばれる)に載っているプレート20を貫通した孔を通ってウェハ(図示されていない)に反応性ガスを分散するガス反応領域16の上に設けられる。CVD装置10は、ヒータ25に支持されたウェハを加熱するヒータ/リフトアセンブリ30が含まれる。ヒータ/リフトアセンブリ30は、図1に示されるように下のローディング/オフローディング位置とプレート20に密接に隣接する点線13によって示された上の処理位置間で制御可能に移動させることができる。センタボード(図示されていない)は、ウェハの位置の情報を与えるセンサが含まれる。下で詳細に述べられるヒータ25は、セラミック、好ましくは窒化アルミニウムに封入された抵抗加熱成分が含まれる。具体的な実施例においては、真空チャンバに曝露されるヒータ25の全表面が酸化アルミニウム(Al2 O3 又はアルミナ) 又は窒化アルミニウムのようなセラミック材料でできている。ヒータ25とウェハが処理位置13にある場合には、装置10の内壁17に沿ったチャンバライナー35、及びチャンバライナー35とチャンバ15の上部によって形成される環状ポンプチャネル40によって囲まれている。下で詳細に述べられるチャンバライナー35の表面は、アルミナ又は窒化アルミニウムのようなセラミック材料を含み、抵抗加熱ヒータ25(高温)と、ヒータ25に対して非常に低い温度であるチャンバ壁17間の温度勾配を低くするために働く。
【0031】
反応性及びキャリヤガスは、供給ライン43を介してガス混合ボックス(又はガス混合ブロック)273(図9)に供給され、好ましくは一緒に混合されてプレート20に送られる。ガス混合ボックス273は、プロセスガス供給ライン43及び洗浄ガス導管47に連結されたデュアルインプット混合ブロックであることが好ましい。下で詳細に述べられるように、プロセッサ50は、ゲートバルブ280(図9)を制御可能に操作して2つの交互ガス源のどちらをチャンバ15へ分散するプレート20に送るかを選ぶことが好ましい。導管47は、入力ガスが入る入口57を有する積分リモートマイクロ波プラズマ系55からのガスが入る。堆積処理中、プレート20に供給されたガスはウェハ表面(矢印21で示されている)に送られ、ウェハ表面に放射状に、典型的には層流で均一に分配される。パージガスは、封入アセンブリ200の下壁を介して入口又は管(図示されていない)からチャンバ15へ送られる。パージガスは、ヒータ25を通って上方に及び環状ポンプチャネル40へ流れる。次に、排気系は、ガス(矢印22で示されている)を環状ポンプチャネル40へ真空ポンプ系(図示されていない)により排気ライン60まで排気する。排気ガス及び残留物は、環状ポンプチャネル40から排気ライン60までスロットルバルブ系63により制御された速度で放出されることが好ましい。
【0032】
代表的な実施例においては、CVD装置10で行われる化学気相成長プロセスは、たいてい大気圧未満CVD(SACVD)とも言われる大気圧未満の熱プロセスである。前に述べたように、熱CVDプロセスは基板表面に反応性ガスを供給し、そこで熱誘導化学反応(均一又は不均一)が起こって所望の膜を製造する。CVD装置10では、熱は下で詳細に述べられる抵抗加熱ヒータ25によって分配され、約400〜800℃程度の温度に達することができる。かかる熱分配は、チャンバ15内でのその場多段工程の堆積、リフロー及び又はドライブイン、洗浄、及び/又はシーズニング/ゲッタリングステップを行うウェハの均一な急速熱加熱を与える。また、制御プラズマは、RF電源(図示されていない)からガス分配プレート20に印加されたRFエネルギーによってウェハに隣接して生成される。低RF電極の実施例においては、RF電源は、プロセスチャンバ15に導入された反応性化学種の分解を高めるために単一周波数のRF電力をプレート20に供給するか或いは混合周波数のRF電力をプレート20と低RF電極に供給することができる。プラズマ処理では、蒸着装置10の成分は後述されるようにRFエネルギーを収容するために修正されなければならないものがある。
【0033】
CVD装置10に積分して供給されるリモートマイクロ波プラズマ系55は、壁17及び他の成分を含むチャンバ15の種々の成分から望まれていない堆積残留物の定期的洗浄を行うために用いられることが好ましい。更に、リモートマイクロ波プラズマ系は、所望の用途に基づいてウェハの表面から未変性酸化物又は残留物を洗浄又はエッチングすることができる。ライン57を介してプラズマ系55へ導入するガスはフッ素、塩素又は他の基を供給するためにプラズマを生成する洗浄反応性ガスであるが、リモートマイクロ波プラズマ系55は堆積反応性ガスを入力ライン57を介して系55に導入することによりプラズマ強化CVD膜を堆積するために用いられる。通常、リモートマイクロ波プラズマ系55は入力ライン57を介してガスを入れ、マイクロ波放射によりエネルギーを与えてエッチング基を含むプラズマを生成し、プレート20を通って分散する導管47を介してチャンバ15に送られる。プラズマ系55の個々の詳細は後述される。装置10のある実施例は、その場プラズマ能力を与える無線周波数(RF)プラズマ系が含まれる。
【0034】
スロットルバルブ系63及びヒータ25のような機械的可動アセンブリの位置を移動及び決定するためにモータ及び光学センサ(図示されていない)が用いられる。ヒータ/リフトアセンブリ30、モータ、ゲートバルブ280、スロットルバルブ系63、リモートマイクロ波プラズマ系55及び他のシステム成分は、制御ライン65の上のプロセッサ50により制御され、少しだけ図示されている。
【0035】
プロセッサ50は、CVDマシンのアクティビティの全てを制御する。システムコントローラとして作用するとプロセッサ50が、プロセッサ50に連結されたメモリ70に記憶されたコンピュータプログラムであるシステムコントローラソフトウェアを実行する。好ましくは、メモリ70はハードディスクドライブであるが他の種類のメモリであってもよいことは当然のことである。ハードディスクドライブ(例えば、メモリ70)のほかに、好適実施例におけるCVD装置はフロッピーディスクドライブ及びカードラックが含まれる。プロセッサ50は、システム制御ソフトウェアの制御下で作動させ、時間、ガスの混合、チャンバ圧、チャンバ温度、マイクロ波電力レベル、サスセプタ配置及び個々のプロセスの他のパラメーターを指示する命令セットが含まれる。フロッピーディスク又はディスクドライブ又は他の適切なドライブに挿入された他のコンピュータプログラム製品等を含む他のメモリに記憶されたもののような他のコンピュータプログラムもプロセッサ50を作動させるために用いられる。システム制御ソフトウェアは、下で詳細に述べられる。カードラックは、シングルボードコンピュータ、アナログ及びデジタル入力/出力ボード、インターフェースボード及びステッパモータコントローラボードを含む。CVD装置10の種々の部分は、 Versa Modular European(VME)標準に適合し、ボード、カードケージ、及びコネクタディメンション及びタイプが規格されている。VME標準は、また、16ビットデータバス及び24ビットアドレスバスを有するバス構造を規格している。
【0036】
ユーザとプロセッサ50間のインターフェースは、マルチチャンバ系のチャンバの1つとして示されるシステムモニタ及びCVD装置10の簡易線図である図2に示されるCRTモニタ73a及びライトペン73bを経由する。CVD装置10は、装置10の電気的配管及び他の支持機能を含み備えるメーンフレームユニット75に取り付けられることが好ましい。CVD装置10の例示実施例と適合する具体的なメーンフレームユニットは、カリフォルニア州サンタクララの Applied Materials社から Precision 5000(登録商標) 及び Centura 5200(登録商標) 系として現在市販されている。マルチチャンバ系は、真空を破壊することなく及びウェハをマルチチャンバ系の外部で水分又は他の不純物に曝露しなければならないことなくチャンバ間のウェハを移す能力がある。マルチチャンバ系の利点は、マルチチャンバ系の異なるチャンバが全プロセスにおいて異なる目的に用いられることである。例えば、1つのチャンバは酸化物の堆積に用いられ、他のものは急速熱処理に用いられ、別のものは酸化物洗浄に用いられる。プロセスは、マルチチャンバ系内を中断せずに進行することができ、プロセスの異なる部分について種々の別個のチャンバ(マルチチャンバ系でない)間でウェハを移す場合にたいてい生じるウェハの汚染を防止する。
【0037】
好適実施例においては、2つのモニタ73aが用いられ、1つはオペレータのクリーンルーム壁に取り付けられ、もう1つは修理技術者の壁の後ろに取り付けられる。両モニタ73aは、同時に同じ情報を示すが、ライトペンは1本のみ可能である。ライトペン73aは、ペンの先端の光センサでCRTディスプレイによって放出された光を検出する。個々のスクリーン又は機能を選定するために、オペレータはディスプレイスクリーンの指定区域に触れ、ペン73bでボタンを押す。触れた区域は、強調した色を変えるか又は新しいメニュー又はスクリーンが表示され、ライトペンとディスプレイスクリーン間の通信を確認する。ユーザがプロセッサ50と通信することを可能にするためにライトペン73bの代わりに又は加えてキーボード、マウス又は他の指定又は通信デバイスのような他のデバイスがを用いられることは当然のことである。
【0038】
図3は、クリーンルームに位置するガス供給パネル80に関するCVD装置10の概略図である。上述したCVD装置10は、ヒータ25を有するチャンバ15、供給ライン43からの入力及び導管47を有するガス混合ボックス273、及び入力ライン57を有するリモートマイクロ波プラズマ系55が含まれる。上述したガス混合ボックス273は、堆積ガスとクリーンガス又は他のガスを導入管43を介して処理チャンバ15に混合及び注入するためである。図3に見られるように、リモートマイクロ波プラズマ系55はチャンバ15の下に積分して位置し、導管47がチャンバ15の上に位置するゲートバルブ280とガス混合ボックス273までチャンバ15の横側を上がる。同様に、チャンバ15の横側をガス混合ボックス273まで上がるガス供給ライン43は、反応性ガスをガス供給パネル80からライン83及び85を介して供給する。ガス供給パネル80は、個々の用途に用いられる所望プロセスによって異なってもよいガス又は液体を含むガス又は液体供給源90へのラインが含まれる。ガス供給パネル80は混合系93を有し、供給ライン43へのライン85を介してガス混合ボックス273へ混合及び輸送するための供給源90からの堆積プロセスガス及びキャリヤガス(又は気化液体)を入れる。通常、プロセスガスの各々の供給ラインは、(i)ライン85又はライン57へのプロセスガス流を自動又は手動で止めるために用いられるシャットオフバルブ95、及び(ii)供給ラインを介してガス又は液体流を測定するマスフローコントローラ100が含まれる。毒性ガス(例えば、オゾン及びクリーンガス)がプロセスに用いられる場合、数個のシャットアウトバルブ95が従来の配置で各ガス供給ラインに配置される。テトラエチルオルトシラン(TEOS)、ヘリウム(He)及び窒素(N2)及び場合によってはリン酸トリエチル(TEPO)、ホウ酸トリエチル(TEB)及び/又は他のドーパント源を含む堆積ガス及びキャリヤガスがガス混合系93に供給される速度は、液体又はガスマスフローコントローラ(MFC)(図示されていない)及び/又はバルブ(図示されていない)によって制御される。ある実施例においては、ガス混合系93はTEOS及びTEPOのような反応成分液を気化させる液体注入系が含まれる。それらの実施例によれば、リン源としてTEPO、シリコン源としてTEOS及び酸素(O2)又はオゾン(O3)のような1種以上のガス酸素源を含む混合物がガス混合系93で生成される。TEPO及びTEOSは全て液体源であり、他の実施例においては従来のボイラ型又はバブラ型ホットボックスにより気化される。液体注入系は、ガス混合系に導入される反応成分液の容量をかなり制御するので好ましい。次に、気化させたガスをヘリウムのようなキャリヤガスとガス混合系で混合した後、加熱ライン85に供給する。ドーパント、シリコン及び酸素の他の供給源も用いられることが認識されることは当然のことである。
【0039】
更に、ガス供給パネル80は、スイッチングバルブ95が含まれ、プロセッサ50の制御下でクリーンガスをN2 と共にプロセスガスライン83に沿ってガス供給ライン43へ又はクリーンガスライン57に沿ってリモートマイクロ波プラズマ系55に選択的に輸送することができる。プロセッサ50がスイッチングバルブ95にクリーンガスをN2 と共に入力ライン57を介してプラズマ系55に輸送させる場合、マイクロ波エネルギーを加えるためにチャンバ15からのプラズマリモートが生じ、ガス導管47に移すために洗浄遊離基が生じる。プロセッサ50は、また、他のバルブ96にライン83を介してガス供給ライン43に輸送させかつ堆積ガス及びキャリヤガスをガス混合系93から加熱ライン85を介してガス供給ライン43へ輸送させることができる。他の実施例においては、バルブ95はライン97へのみの出力で接続され、クリーンガス及びN2 をライン97を通ってスイッチングバルブ105(図示されていない)へ選択的に通過させる。入口57とリモート系55に近い点に位置するので、それらの実施例におけるスイッチングバルブ105はリモートマイクロ波系55への入口57及び入口43に至るライン83に接続される。個々の実施例においては、ゲートバルブ280はシステムソフトウェアコンピュータプログラムからの命令でプロセッサ50により制御されてクリーンガスか或いはチャンバ15へ流れる堆積ガスか選定する。
【0040】
マイクロ波電源110とオゾン発生器115は、装置10のチャンバ15が位置するクリーンルームから離れて位置する。電源110は、リモートプラズマ系55のマグネトロンに電力を与える。オゾン発生器115は入力として用いられる酸素(O2)に電力を加えて供給源の少なくとも1つとして有用な出力としてオゾン(O3)を生じる。他の実施例においては、電源110及びオゾン発生器115は遠くに位置するよりクリーンルームに位置することができる。更に、複数のオゾン源及び/又は複数のリモートマイクロ波プラズマ系55を必要とするマルチチャンバ系では、複数のオゾン発生器115及び複数の電源110が設けられる。
【0041】
膜を堆積し、清浄を行いかつリフロー又はドライブインステップを行うプロセスは、プロセッサ50によって実行されるコンピュータプログラム製品を用いて実施される。コンピュータプログラムコードは、68000アセンブリ言語、C、C++、パスカル、フォートラン又は他の言語のような従来のコンピュータ判読プログラミング言語に書かれている。適切なプログラムコードは、従来のテキスト編集プログラムを用いてシングルファイル又はマルチファイルに記入され、コンピュータのメモリシステムのようなコンピュータ用の媒体に記憶又は収録される。記入コードテキストが高水準言語である場合には、コードはコンパイルされ、得られたコンパイラーコードは、次に、予めコンパイルされたウィンドーズライブラリールーチンのオブジェクトコードとリンクされる。リンクコンパイルブジェクトコードを実行するために、システムユーザはオブジェクトコードを呼び出し、コンピュータシステムにメモリ内のコードをロードさせ、CUPがコードを読み取り実行してプログラムに一致したタスクが行われる。
【0042】
図4は、個々の実施例によるシステム制御ソフトウェア、コンピュータプログラム150の階層制御構造の説明的ブロック図である。ライトペンインターフェースを用いて、ユーザはプロセスセットナンバとプロセスチャンバナンバをプロセスセレクタサブルーチン153にCRTモニタに表示されるメニュー又はスクリーンに応答して記入する。指定されたプロセスを行うのに必要な所定のプロセスパタラメーターセットであるプロセスセットは、定義済みセットナンバによって確認される。プロセスセレクタサブルーチン153は、(i)所望のプロセスチャンバ及び(ii)所望のプロセスを行うプロセスチャンバを作動させるのに必要とされる所望のプロセスパラメーターセットを識別する。個々のプロセスを行うプロセスパラメーターは、プロセスガス組成及び流速のようなプロセス条件、温度、圧力、マグネトロン電力レベル(及びRFプラズ系を備えた実施例については高周波数及び低周波数RF電力レベルに代わるか又は加える)のようなプラズマ条件、冷却ガス圧、及びチャンバ壁温度に関する。プロセスセレクタサブルーチン153は、チャンバ15内で特定の時間で行われるプロセスの種類(堆積、ウェハ洗浄、チャンバ洗浄、チャンバゲッタリング、リフローイング)を制御する。ある実施例においては、1以上のプロセスセレクタサブルーチンとすることができる。プロセスパラメーターは、レシピの形でユーザに示され、ライトペン/CRTモニタインターフェースを用いて記入される。
【0043】
プロセスをモニタする信号は、システムコントローラのアナログ入力ボード及びデジタル入力ボードによって与えられ、プロセスを制御する信号は、CVD系10のアナログ出力ボード及びデジタル出力ボードで出力される。
【0044】
プロセスシーケンササブルーチン155は、同定したプロセスチャンバ及びプロセスセレクタサブルーチン153からのプロセスパラメーターセットを受け入れかつ種々のプロセスチャンバの作動を制御するプログラムコードを含んでいる。複数のユーザがプロセスセットナンバ及びプロセスチャンバナンバを記入することができ或いは一人のユーザが複数のプロセスセットナンバ及びプロセスチャンバナンバを記入することができるので、シーケンササブルーチン155は所望の配列で選定されたプロセスをスケジュールするように作動させる。好ましくは、シーケンササブルーチン155は、(i)チャンバが用いられる場合には決定するためにプロセスチャンバの操作をモニタするステップ、(ii)用いられるチャンバ内で行われるプロセスを決定するステップ、及び(iii)プロセスチャンバの利用可能性及び行われるプロセスの種類に基づいて所望プロセスを実行するステップを行うプログラムコードが含まれる。ポーリングのようなプロセスチャンバをモニタする従来の方法が用いられる。実行されるべきプロセスをスケジュールする場合、シーケンササブルーチン155は選定プロセスの所望のプロセス条件と比べて用いられるプロセスチャンバの現在の条件、又は各々のユーザが記入したリクエストの『年齢』、又はシステムプログラマーがスケジュールプライオリティを決定することを含むことを所望する他の適切な要因を考慮するように設計される。
【0045】
シーケンササブルーチン155が、プロセスチャンバとプロセスセットの組合わせが次に実行しようとすることを一旦決定すると、シーケンササブルーチン155は、個々のプロセスセットパラメーターをシーケンササブルーチン155によって決定されたプロセスセットに従ってプロセスチャンバ15での複数の処理タスクを制御するチャンバマネージャーサブルーチン157a〜cに移ることによりプロセスセットの実行を開始する。例えば、チャンバマネージャー157aはプロセスチャンバ15内でのCVD及び洗浄プロセス操作を制御するプログラムコードを含んでいる。チャンバマネージャーサブルーチン157は、また、選定プロセスセットを実施するのに必要なチャンバ成分の操作を制御する種々のチャンバ成分サブルーチンの実行を制御する。チャンバ成分サブルーチンの例は、基板配置サブルーチン160、プロセスガス制御サブルーチン163、圧力制御サブルーチン165、ヒータ制御サブルーチン167、プラズマ制御サブルーチン170、終点検出制御サブルーチン159及びゲッタリング制御サブルーチン169である。CVDチャンバの個々の配置に基づいて、ある実施例は上記サブルーチンを全部含み、他の実施例はいくつかのサブルーチンのみ含まれる。当業者は、プロセスチャンバ15内で行われるプロセスに基づいて他のチャンバ制御サブルーチンが含まれることを容易に認識する。操作上、チャンバマネージャーサブルーチン157aは実行される個々のプロセスに従ってプロセス成分サブルーチンを選択的にスケジュールするか又は呼び出す。チャンバマネージャーサブルーチン157aは、シーケンササブルーチン155がプロセスチャンバ15及びプロセスセットが次に実行することをスケジュールするようにプロセス成分サブルーチンをスケジュールする。典型的には、チャンバマネージャーサブルーチン157aは、種々のチャンバ成分をモニタするステップ、実行されるプロセスセットのプロセスパラメーターに基づいて成分が作動するのに必要とすることを決定するステップ、及びモニタステップ及び決定ステップに応答するチャンバ成分サブルーチンの実行を開始するステップが含まれる。
【0046】
ここで、個々のチャンバ成分サブルーチンの操作を図4について記載する。基板配置サブルーチン160は、基板をヒータ25に装填するために及び場合によっては基板をチャンバ15内で所望の高さに上げて基板とガス分配マニホールド20間の間隔を制御するために用いられるチャンバ成分を制御するプログラムコードを含む。基板がプロセスチャンバ15に装填される場合、ヒータ25は基板を入れるために低下し、次に、所望の高さに上昇する。操作上、基板配置サブルーチン160は、チャンバマネージャーサブルーチン157aから移される支持体の高さに関係したプロセスセットパラメーターに応答してヒータ25の運動を制御する。
【0047】
プロセスガス制御サブルーチン163は、プロセスガス組成及び流速を制御するプログラムコードを有する。プロセスガス制御サブルーチン163は、シャットオフ安全バルブの開/閉位置を制御し、マスフローコントローラを上/下に傾斜して所望のガスフロー速度を得る。プロセスガス制御サブルーチン163は、全てのチャンバ成分サブルーチンであるチャンバマネージャーサブルーチン157aによって呼びかけられ、チャンバマネージャーからの所望のガスフロー速度に関係したサブルーチンプロセスパラメーターを取り返す。典型的には、プロセスガス制御サブルーチン163は、ガス供給ラインを開放し、(i)必要なマスフローコントローラを読み取る、(ii)読み取りをチャンバマネージャーサブルーチン157aから受信した所望の流速と比較する、及び(iii)必要なガス供給ラインの流速を調整することを繰り返すことにより作動させる。更に、プロセスガス制御サブルーチン163は、安全でない速度のガスフロー速度をモニタするステップ、及び安全でない条件が検出される場合にシャットアウト安全バルブを活性化するステップが含まれる。プロセスガス制御サブルーチン163は、また、選定される所望プロセス(清浄又は堆積等)に基づいてクリーンガス及び堆積ガスのガス組成及び流速を制御する。代替的実施例は、1を超えるプロセスガス制御サブルーチン613を有し、各サブルーチン613は個々のプロセスタイプ又は個々のガスラインセットを制御する。
【0048】
あるプロセスでは、反応性プロセスガスが導入される前にチャンバ内の圧力を安定化するために窒素又はアルゴンのような不活性ガスをチャンバ15に流し込む。そのプロセスの場合、プロセスガス制御サブルーチン163は、チャンバ内の圧力を安定化するのに必要な時間不活性ガスをチャンバ15へ流し込むステップが含まれ、次に、上記ステップが行われる。更に、プロセスガスが液体前駆物質、TEOSから気化される場合、プロセスガス制御サブルーチン163はバブラアセンブリ内で液体前駆物質にヘリウムのような供給ガスを泡立てるステップ、又は液体注入系にヘリウムのようなキャリヤガスを導入するステップを含むように書き込まれる。この種のプロセスにバブラが用いられる場合、所望のプロセスガスフロー速度を得るためにプロセスガス制御サブルーチン163は供給ガス流、バブラ内の圧力及びバブラ温度を調整する。上述したように、所望のプロセスガスフロー速度はプロセスパラメーターとしてプロセスガス制御サブルーチン163に移される。更に、プロセスガス制御サブルーチン163は、一定のプロセスガスフロー速度の必要値を含む蓄積表をアクセスすることにより所望のプロセスガスフロー速度に必要な供給ガス流速、バブラ圧力及びバブラ温度が含まれる。必要値が一旦得られると、供給ガスフロー速度、バブラ圧力及びバブラ温度が必要値と比べてモニタされ、それに応じて調整される。
【0049】
圧力制御サブルーチン165は、チャンバの排気系のスロットルバルブのアパーチャサイズを調整することによりチャンバ15内の圧力を制御するプログラムコードを含む。スロットルバルブのアパーチャサイズは、全プロセスガスフロー、プロセスチャンバのサイズ及び排気系のポンプの設定値圧力に関して所望レベルでチャンバ圧力を制御するように設定される。圧力制御サブルーチン165が呼びかけられる場合、所望の又は目標圧力レベルがチャンバマネージャーサブルーチン157aからパラメーターとして取り返される。圧力制御サブルーチン165は、チャンバに接続された1以上の慣用の圧力ナノメータを読み取ることによりチャンバ15内の圧力を測定し、測定圧力を目標圧力と比較し、蓄積圧力表から目標圧力に対応するPID(比例、積分及び微分)値を得、圧力表から得られたPID値に従ってスロットルバルブを調整する。また、圧力制御サブルーチン165は、チャンバ15内の圧力を所望レベルに調整するためにスロットルバルブを個々のアパーチャサイズに開放又は閉鎖するように書き込まれる。
【0050】
ヒータ制御サブルーチン167は、ヒータ25(及び基板)を耐熱するために用いられるヒータ要素473の温度を制御するプログラムコードを含む。図5に関して、チャンバマネージャーサブルーチン157aによって呼びかけられるヒータ制御サブルーチン167は、入力として所望の目標/設定点温度パタメーター、Tdes を取り返す(ステップ580)。ステップ582では、ヒータ制御サブルーチン167は、ヒータ25に位置する熱電対の電圧出力を測定することによりヒータ25の現在の温度を測定する。現在の温度はT(k)を示し、kはヒータ制御サブルーチン167の現在の時間ステップである。コントローラは、蓄積変換表の対応温度を捜すか又は4次多項式を用いて温度を算出することにより熱電対電圧から温度T(k)を得る。具体的な実施例においては、ステップ584のヒータ制御サブルーチン167は温度誤差を算出する。Errtempと示される温度誤差は、式Errtemp(k)=Tdes −T(k)で求められる。
【0051】
ステップ584では、ヒータ制御サブルーチン167はErrtemp(k)の絶対値に基づいて2つの制御アルゴリズムの1つを選定する。温度誤差の絶対値が所定のバウンダリ誤差より小さい場合には、ヒータ制御サブルーチンは、温度調整アルゴリズムを選定する(ステップ586及び588)。そのアルゴリズムは、温度を所望温度、Tdes に正確に制御する。温度誤差の絶対値がバウンダリ誤差より大きい場合には、ヒータ制御サブルーチン167は温度ランプ制御アルゴリズムを選定する(ステップ590)。そのアルゴリズムは、ヒータ温度が所望温度、Tdes に近づく速度を制御する。即ち、温度が変化する速度を制御する。
【0052】
温度調整アルゴリズム(ステップ586及び588)は、できるだけTdes に近い現在の温度、T(k)を維持するようにヒータ25に埋め込まれた加熱要素に供給される電力を新しくするためにフィードバック及びフィードフォワード制御を用いる。そのアルゴリズムでのフィードフォワード制御は、チャンバに供給されたガスフロー及びRF電力の量と種類を与える所望温度を維持するのに必要な電力量を算定する。フィードバック制御は、温度誤差Errtemp(k)の動的挙動に基づいて算出したフィードフォワードを調整するために標準比例−積分−微分(PID)対照項を用いる。PID対照は、温度誤差の値に関係なく従来のヒータ制御系で用いられる種類のアルゴリズムである。それらのルーチンが温度ランプレートを制御することを求める場合には、時間の異なるTdes ( k)を定義し、上記PIDコントローラを用いてその所望の温度飛翔経路を追跡する。
【0053】
本発明では、温度誤差の絶対値がバウンダリ誤差より大きい場合にヒータ25への電力を制御するために温度ランプ制御アルゴリズム(ステップ590)を用いることが好ましい。そのアルゴリズムは、時間ステップkにおける温度の変化速度であるT’(k)を制御する。ヒータ25がセラミック材料でできていることから温度変化速度は制御されなければならず、温度が急速に変化しすぎる場合には熱ショックから破壊することがある。ランプ制御アルゴリズムは、T’(k)を所定の所望ランプレート関数、T’des ( T)に制御するためにフィードフォワード及び比例フィードバック対照を用いる。所望のランプレートは、主にヒータの温度関数であり、さまざまな温度におけるヒータ25の熱ショック耐性に基づく。従って、所望のランプレートはヒータの現在の測定温度に基づいて連続して変化することができ、個々のプロセスの温度の範囲内で熱ショックを避けるのに十分に低い最低速度に基づいて一定に設定されることもある。対照アルゴリズムは、系応答を弱めるためにヒータに供給される電力変化速度に関する飽和関数を用いる。
【0054】
時限温度飛しょうを最もよい状態で追跡することによりランプレートを調節することを試みるコントローラは、所望温度、T’des (K)が将来のある時間Kで達成されることを保証するだけである。長さKの時間にわたる平均ランプレートはT’des である。しかしながら、温度T’(k)の瞬間変化速度はその間隔では広く異なる。K未満のある間隔で温度を安定なままにする妨害を考慮されたい。次に、コントローラは所望の飛しょうT’des (k)にできる限り『追いつく』ことを試みる。コントローラが追いつく時間のランプレートは、T’des より大きくなる。そのシナリオにより熱ショック破壊が生じる。直接ランプレートを制御することにより、本発明はその潜在的シナリオを避けるものである。
【0055】
図5に関して、具体的なランプ制御アルゴリズムをここに述べる。所望温度、Tdes を入力した後(ステップ580)、現在温度T(k)を測定し(ステップ582)、温度誤差を求め(ステップ584)、数値微分法を用いてランプ制御アルゴリズムが実際のランプレートT’(k)を算出する。また、T(k)の現在値に基づいて所望ランプレートT’des (T(k))及びランプレートの誤差Errrrate =T’des (T(k))−T’(k)を求める(ステップ592及び594)。実際のランプレートT’(k)は温度測定試料の範囲にわたる測定温度T(k)から算出される。通常、T’des (T(k))は種々の実施例において温度の連続関数であることができる。個々の実施例においては、T’des (T(k))は所定の一定値であるように設定される。算出ランプレートT’(k)は、所定の試料速度(例えば、個々の実施例においては新しい電力時間の10倍、1秒)で温度を取る(即ち、測定する)ことにより求められる。次に、10試料の平均を算出し、前の10試料の平均と比較する。次に、最初の10測定温度と前の10測定温度間の差を新しい電力時間で割り平均測定温度を得る。次に、平均測定温度の微分を算出して算出ランプレートT’(k)に達する。次に、ランプレート誤差ErrRRate を、個々の実施例における一定値T’des (T(k))と算出ランプレートT’(k)間の差を取ることにより求められる。上記実施例は、用いられる数値微分法の例であるが、より複雑にする他の手法も他の実施例において用いられる。他の実施例においては、他の試料速度が用いられる。
【0056】
ステップ596を詳しく述べるために、個々の実施例に用いられる具体的な制御関数は次式で示される。
【0057】
P(k+1) = Pmodel [T(k),T'des (T(k))] + K p * [T' des (T(k))-T'(k)]
式中、kは現在の時間ステップでありk+1は次の時間ステップである。P(k+1)は次の時間ステップでヒータに供給される電力である。所望ランプレートと測定温度の関数であるPmodel [ T(k),T’des (T(k))] はT(k)においてT’des (T(k)のランプレートを与えるのに必要なモデル近似値である。Kp は、ユーザが定義しランプレート誤差ErrRRate で乗じる制御ゲイン定数(ワット/(℃/秒))である。個々の実施例においては、Pmodel [ T(k),T’des (T(k))] はP(k)に近似することができる。その近似は、本発明の熱量の大きい抵抗ヒータのようなスロー系について特に言えることである。次に、制御関数は次式で近似する。
【0058】
P(k+1) = P(k) + Kp * [T' des (T(k))-T'(k)]
P(k+1) - P(k) = Kp * [T' des (T(k))-T'(k)]
ヒータの応答が緩慢であるために、電力が調整される時間と調整が所望の結果を生じる時間の間にずれがある。例えば、ヒータの温度が安定でありかつ所望のランプレートが正である場合には制御はヒータへの電力を増加させる。しかしながら、温度は所望ランプレートに従ってすぐに上がらない。制御は、次に時間ステップで電力を増加させる。所望ランプレートが満たされるまで電力を増加させ続ける。しかしながら、その時間によって、供給電力は所望ランプレートを維持するのに必要とされる電力より非常に大きくなる。ランプレートは所望値を超えて増加し続ける。それがオーバーシュートを呼ぶ。コントローラは電力を低下させることにより反応し、徐々にランプレートが低下する。コントローラは、また、ヒータより速く作用するので、電力を低下させすぎ、ランプレートは所望値を超えて低下する。それは振幅である。時間がたつにつれて振幅の程度が減少し、ランプレートは一定の定常値に達する。実在の系は全て小さな妨害を受けるために小さな定常誤差がある。
【0059】
Kp 値は、オーバーシュート及び定常誤差の大きさを決定する。Kp が大きい場合には、系は振幅するが定常誤差は小さい。Kp が小さい場合には、反対が言える。典型的には、Kp は微分制御が系の応答を弱めるために、即ち、オーバーシュート及び振幅を減少させるために用いられるために大きくすることができる。定常誤差は積分制御を用いることにより減少するが、これによりオーバーシュートと振幅を高める傾向にし、好ましくはその制御アルゴリズムで避けられる。その場合、微分制御は利用できない。温度の第2微分を数字で計算することが必要である。熱電対信号のSN比が小さいために、第2微分は信頼して算出されない。従って、本発明は、系の応答を弱める微分制御の代わりに定常誤差及び飽和関数を減少させるために大きなKp を用いる。飽和関数は、Kp がランプレート誤差、ErrRRate に逆比例するようにゲインKp を効果的にスケジュールする。系応答の過渡部分で誤差が大きくかつオーバーシュートが生じる場合、有効ゲインは小さい。定常状態では、誤差は小さいので有効Kp は大きい。
【0060】
系応答を弱めるために用いられる具体的な飽和関数は、次の等式で示される(ステップ598)。それらの等式についてP(k+1)は上で示した制御式によって定義された電力を意味する。P1(k+1)はヒータに供給された実際の電力である。P’max は、1つの時間ステップから次への供給電力の所定の最大許容変化である。具体的な飽和関数は次の通りである。
【0061】
| P(k+1)-P(k)| > P'max ならば、
P(k+1) > P(k)の場合 P1(k+1) = P(k)- P'max
及び P(k+1) < P(k)の場合 P1(k+1) = P(k)- P' max
または P1(k+1) = P(k+1)
新しい用語Kpeff(k)はここでは時間ステップkにおけるコントローラの有効ゲインと定義される。P1(k+1)=P (k+1)ならば時間kの有効ゲインはゲインKp に等しい。しかし、飽和関数が適用される場合には上記式のP1(k+1)をP (k+1)に置き換えかつ次式と組合わせることにより定義される。
P(k+1) = Pmodel [T(k),T'des (T(k))] + K p * [T' des (T(k))-T'(k)]
用語Kpeff(k)は次の通りである。
【0062】
P'max = Kpeff(k) * Err RRate (K);
K peff(k) = P'max /ErrRRate (K)
ランプレート誤差が大きい場合に有効ゲインを小さくすることにより、その飽和関数によりオーバーシュート及び応答の振幅を最小にする。これにより、悪いランプレート制御からヒータに対する損傷確度が減少する。従って、ヒータ制御サブルーチン167のランプ制御アルゴリズムは、大きなランプレート誤差が生じる場合の系の応答を弱め、効率のよい温度制御を生じる。
【0063】
プラズマ制御サブルーチン170は、マグネトロン電力レベル及びモード(CW又はパルス)を設定するプログラムコードを含む。RFプラズマ系を有する代替的実施例においては、プラズマ制御サブルーチン170は、チャンバ15内のプロセス電極に印加された低及び高周波数RF電力レベルを設定しかつ使用低周波数RF周波数を設定するプログラムコードが含まれる。ある実施例がマイクロ波電力レベルに用いられる1つのプラズマ制御サブルーチン170及びRF電力レベルに用いられる他のプラズマ制御サブルーチン170を有することは当然のことである。前述のようにチャンバ成分サブルーチン、プラズマ制御サブルーチン170は、チャンバマネージャーサブルーチン157によって呼びかけられる。
【0064】
プラズマ制御サブルーチン170は、マグネトロン電力レベル及びモード(CW又はパルス)を設定及び調整するプログラムコードを含む。RFプラズマ系を有する代替的実施例においては、プラズマ制御サブルーチン170はチャンバ15内のプロセス電極に印加した低及び高周波数RF電力レベルを設定しかつ使用低周波数RF周波数を設定するプログラムコードが含まれる。ある実施例がマイクロ波電力レベルに用いられる1つのプラズマ制御サブルーチン170及びRF電力レベルに用いられる他のプラズマ制御サブルーチン170を有することは当然のことである。前述のチャンバ成分サブルーチンのように、プラズマ制御サブルーチン170はチャンバマネージャーサブルーチン157aによって呼びかけられる。ゲートバルブ280を有する実施例においては、プラズマ制御サブルーチン170はマイクロ波電力レベルの設定/調整を調整スルゲートバルブ280の開閉のプログラムコードが含まれる。また、システムソフトウェアはゲートバルブ280を有する実施例において別個のゲートバルブ制御サブルーチンを有することができる。
【0065】
終点検出サブルーチン159は、光源及び光検出器を制御し、吸光度からの光の強さの変化を比較するのに有用な光検出器からのデータを取り返し、場合によっては所定の光の強さレベルを検出するか又は洗浄プロセスの終点を示すフラグを上げる際に洗浄プロセスを停止することによる終点検出を扱うプログラムコードが含まれる。終点検出制御サブルーチン159は、また、チャンバマネージャーサブルーチン157aによって呼びかけられる。終点検出制御サブルーチン159は、下記の終点検出系を用いる実施例に含まれる。終点検出系のない実施例は終点検出制御サブルーチン159を使用又は設置する必要がないことは認識される。
【0066】
場合によっては、チャンバマネージャーサブルーチン157aによって呼びかけられるゲッタリング制御サブルーチン169が含まれる。ゲッタリング制御サブルーチン169は、チャンバシーズニング、後清浄フッ素ゲッタリング等に用いられるゲッタリングプロセスを制御するプログラムコードが含まれる。ある実施例においては、ゲッタリング制御サブルーチン169は、使用クリーンレシピと組合わせてゲッタリング制御を促進するためにクリーンレシピに蓄積された指定ソフトウェアを呼びかけることができる。
【0067】
上記に示されたCVD系の説明は、主に一般的説明のためのものであり、本発明の範囲を限定するものとしてみなされるべきではない。具体的なCVD系10は枚葉式真空チャンバ系である、しかしながら、マルチウェハチャンバ系である他のCVD系も本発明の他の実施態様で用いられる。しかしながら、本発明のある種の特徴はマルチチャンバ処理系におけるCVDチャンバの一部として図示及び記載されているが、本発明が必ずしもその方法に限定されるものでないことは理解されるべきである。即ち、本発明は、エッチングチャンバ、拡散チャンバ等の種々の処理チャンバい用いられる。設計の変化、ヒータ設計、RF電力接続部分の位置、ソフトウェア操作及び構造、ソフトウェアサブルーチンに用いられる個々のアルゴリズム、ガス導入ライン及びバルブの配置、及び他の修正のような上記系の変更も可能である。更に、電子サイクロトロン共鳴(ECR)プラズマCVDデバイス、誘導結合型高密度プラズマCVDデバイス等の他のプラズマCVD装置も用いられる。本発明に有用な誘電層及びその層を形成する方法は、必ずしも特定の装置又は特定のプラズマ励起法に限定されるべきではない。
【0068】
図6及び図7に示されるように、CVD装置10は、通常、真空チャンバ15内で半導体ウェハを支持する縦に可動するヒータ(ウェハ支持ペデスタル又はサスセプタ)25を有する封入アセンブリ200が含まれる。プロセスガスは、ウェハ上でさまざまな堆積及びエッチングステップを行うためにチャンバ15に送られる。ガス分配系205(図6〜図12)はガス供給源90(図3)からウェハ上にプロセスガスを分配し、排気系210(図6〜図8)はプロセスガス及び他の残留物をチャンバ15から排出する。CVD装置は、更に、ウェハを加熱しかつウェハをチャンバ15内の処理位置へ上向きに上げるヒータ25を含むヒータ/リフトアセンブリ30(図1、図16ー23)が含まれる。完全なリモートマイクロ波プロセス系55(図1及び図24)は定期的チャンバ洗浄、ウェハ洗浄又は堆積ステップ用CVD装置10内に設置される。
【0069】
図6に示されるように、CVD装置10は高温処理中にそれらの成分を冷却するために冷却剤をチャンバ15の種々の成分に送る液体冷却系215が含まれる。液体冷却系215は、高温プロセスのためにそれらの成分上に望まていない堆積を最小にするためにそれらのチャンバ成分の温度を下げるように作用する。液体冷却系215は、ヒータ/リフトアセンブリ30を介して冷却水を供給する1対の水接続部分217、219及び冷却剤をガス分配系205(後述)に送る冷却剤マニホールド(図示せず)が含まれる。水流検出器220は、熱交換体(図示せず)から封入アセンブリ200への水流を検出する。装置10の個々の系の好適実施態様を下記に詳述する。
【0070】
A.封入アセンブリ
図6及び図10ー12に関して、封入アセンブリ200は、アルミニウム又は陽極酸化アルミニウムのようなプロセス適合性材料からつくられた完全なハウジングであることが好ましい。封入アセンブリ200は、プロセスガス及びクリーンガスを導入管43を介してアセンブリ200内の内部リッドアセンブリ230へ送る外部リッドアセンブリ225が含まれる。内部リッドアセンブリ230は、ヒータ25に支持されたウェハ(図示せず)上のチャンバ15全体にガスを分散させるように機能する。図6に示されるように、リッドカバー233は、封入アセンブリ200の上部の成分に接近しており(即ち、外部リッドアセンブリ32)、システム操作中高温の曝露からオペレータを保護する。SACVDプロセスについては、カバー233はリッドクランプ237のすきまがチャンバ16のガスを完全な状態に行わせる切り抜き235が含まれる。リッドカバー233は、通常、チャンバが、例えば、予防保守チャンバ洗浄を行うために開放されて真空を破壊しかつチャンバを大気圧に上げなければほとんどのプロセスステップで閉じられたままである。リッドヒンジ239は、リッドカバーを閉じて落ちることを防止するようにロッキングラチェット機構241が含まれる。
【0071】
図6に示されるように、封入アセンブリ200は、真空ロックドア(図示せず)及びスリットバルブ開口243が含まれ、ウェハ装填アセンブリ(図示せず)がウェハWをプロセスチャンバ16に輸送し、ウェハWをヒータ25に載せる。ウェハ装填アセンブリは、マルチチャンバ処理系の移動チャンバ(図示せず)内に配置された従来のロボット機構であることが好ましい。適切なロボット移動アセンブリは、Maydanの共同譲渡された米国特許第 4,951,601号に記載されており、その明細書の記載は本願明細書に含まれるものとする。
【0072】
図7、図8、図13及び図14に関して、チャンバ15の周囲の封入アセンブリ200の内壁245は、封入アセンブリ200のシェルフ252上に載置されているチャンバライナー250で覆われている。チャンバライナー250はプロセスガスをウェハの裏面に流れることから阻止するように働く。更に、ヒータ25は封入アセンブリ200より直径が小さいので、ライナー250はヒータ25の下にチャンバ15の下の部分へのプロセスガス流を阻止する。従って、ヒータ25の底及びチャンバ15の下の部分での望まれていない堆積が最少になる。更に、高温処理でウェハ縁の冷却を防止するために封入アセンブリ200のアルミニウム壁とヒータ25上のウェハの縁間を熱絶縁する。高温処理中、ライナー250はヒータ25上のウェハの熱い縁(例えば、550℃〜600℃)から冷たい周囲のチャンバ壁(例えば、約60℃)への過度の熱損失を防止する。ライナー250がないと、ウェハの縁の加熱作用がウェハ中の温度の均一性に悪影響し、均一でない堆積をまねく。ライナー250は、高温プロセス(例えば、約500℃より高い)に十分適するプロセス適合性材料でつくられた内部253を含むことが好ましい。好ましくは、ライナー250の内部253は窒化アルミニウム、アルミナ等のセラミック材料を含み、アルミナが好ましい材料である。内部253の厚さは、通常、約3mm〜25mm(約0.1インチ〜1インチ)、好ましくは約5mm〜8mm(約0.2インチ〜0.3インチ)である。
【0073】
ライナー250は、アルミニウムのようなセラミックより分解に感受性の低い材料を含む外部255を含むことが好ましい。外部255は、封入アセンブリのシェルフ252上に載置されており、ライナー250の内部253を支持する環状リッド254を含む。特に好適実施例においては、外部255は、図13及び図14に示される内部エアギャップ259を画成する複数の周辺に隔置された垂直支柱が含まれる。エアギャップ259は、外部チャンバ壁からライナー250の内部253の絶縁を容易にしてウェハ温度の均一性を高める(ウェハの外縁は周囲のチャンバ壁温度のために冷やされ、ヒータ及びウェハ温度に相対して低い)。更に、エアギャップ259は、ライナー250に厚みを与えるのでチャンバ外壁とヒータ25間のギャップを塞ぐことができ、厚いライナー250で生じる分解又は他の熱損傷を最少にする。ライナー250の外部255の厚さは、通常約13mm〜51mm(約0.5インチ〜2インチ)、好ましくは約23mm〜28mm(約0.9インチ〜1.1インチ)であり、エアギャップの厚さは、通常約5mm〜38mm(約0.2インチ〜1.5インチ)、好ましくは約15mm〜23mm(約0.6インチ〜0.9インチ)である。環状カバー261は、外部ライナー255の上面に配置されてポンプチャネル40の下壁を形成する(後述)。環状カバー262は、酸化アルミニウム又は窒化アルミニウムのようなセラミック材料を含むことが好ましく、ライナー250のアルミニウム外部255をプロセスガス及びポンプチャネル40内の熱から保護する。
【0074】
代替的実施例においては(図示せず)、ライナー250は封入アセンブリのシェルフ252に載置されている内部セラミック部分255及びポンプチャネル40の底を形成する環状カバー261を含むのみである。その実施例においては、外部255はセラミック部分255と封入アセンブリの内壁間のエアギャップ(図示せず)で置き換えられる。エアギャップは高温ウェハを封入アセンブリの冷却壁から絶縁し、チャンバ壁とヒータ25間のギャップを塞ぐためにライナー250に厚さを与える。
【0075】
B.ガス分配系
図6及び図10ー12に関して、外部リッドアセンブリ225は、通常、リッド又はベースプレート265、冷却剤マニホールド(図示せず)、導管47を含むクリーンガスマニホールド270、プロセスガス及び洗浄ガスを導入管43を介してプロセスチャンバ15へ混合及び注入するガス混合ボックス273、及び洗浄及び/又はプロセスガスをガス混合ボックス273へ選択的に分配するゲートバルブ280が含まれる。ゲートバルブ280が任意でありかつ外部リッドアセンブリ225が洗浄及び/又はプロセスガスをボックス273へゲートバルブをもたずに選択的に分配されるように変更されることが明らかに理解されなければならないことは当然のことである。図8に示されるように、ガス混合ボックス273、クリーンガスマニホールド277及びゲートバルブ280は、ベースプレート265の上面に留められる、例えば、ボルトで閉められることが好ましい。第1及び第2ガス通路83、85はプレート265の外部に取り付けられ、ガス混合ボックス273へ伸びる。ガス通路83、85は各々、オゾン、TEOS、TEPO、ヘリウム、窒素、クリーンガス等のガス供給源(図3参照)に適切に連結された入口、及び導入管43を介して内部リッドアセンブリ230へ送る前にガスを混合するボックス273内の混合領域93と通じている出口(図示せず)がある。
【0076】
プラズマ処理について、CVD装置10がガス分解せず及びガス分配系でガス堆積せずに高電圧RF電力をガスボックスへ印加することを可能にするガス通路83、85を収容するガスフィードスルーボックス(図示せず)を含むことは留意すべきである。具体的なガスフィードボックスの記載は、Wangの米国特許第 4,872,947号に見られ、その明細書の記載は本願明細書に含まれるものとする。
【0077】
図10に示されるように、クリーンガスマニホールド70は、ガスを入口290から入れかつそのガスを流体通路293を介してガス混合ボックス273へ送る導管47が含まれる。ゲートバルブ280は、ガス混合ボックス273へ導管を通過させることを選択的に可能にするか又は防止する通路293内に固定されたバルブプラグ(図示せず)が含まれる。ゲートバルブ280は、作動ハンドル281によって手動されるか又はゲートバルブ280がプロセッサ50で制御される。洗浄(後述)中、ゲートバルブ280はプラズマ系55からのクリーンガスをボックス273へ通過させるように配置され、導入管43を介してチャンバ15へ送られ装置10のウェハ又はチャンバ壁及び他の成分をエッチングする。
【0078】
図7に示されるように、クリーンガスマニホールド270は、装置10の封入アセンブリ200の上の部分に積分してつくられ、導管47はチャンバ15の上部から側面に向かって適当な曲がり又はカーブがある。マニホールド270の導管47は装置10の封入アセンブリ200の側壁内に積分して形成された通路への開口を有し、ヒータ25が作動させる高温のために加熱される。その通路は、内部ライナー291を備え、封入アセンブリ200内の通路の内面をアプリケータ管292から入るクリーンガス遊離基からの腐食及びエッチングから保護するように働く。ライナー291は、クリーンガス中の遊離基の結合を防止する。クリーンガスは、入口57からアプリケータ管292へ導入される。遊離基は、封入アセンブリ200内のチャンバ15の下の方にあることが有利であるプラズマ系55のマグネトロンから放射されたマイクロ波エネルギーによってアプリケータ管292中のクリーンガスから生成される。装置10の下の系55の位置は、予防保守洗浄、修理等のチャンバ15の点検を容易にする。特に、底に取り付けられたリモートマイクロ波プラズマ系55が装置10のリッドの上に位置しないので、予防保守洗浄を行うために装置10のリッドの開放は容易に行われる。マイクロ波プラズマ系55は下で詳細に述べられる。図7及び図8に示されるように、導入管43はプロセスガスをチャンバ15に送る内部通路(ガス導入口)295及び洗浄ガスをチャンバへ送る通路293と通じている環状外部通路297が含まれることが好ましい。
【0079】
ベースプレート265の上面又はに留められる冷却剤マニホールドは、熱交換器からの水又はグリコール/水混合液のような冷却剤液を入れる。冷却剤は、冷却剤マニホールドからベースプレート265内の環状冷却剤チャネル93(図8及び図9)を介して分配されて処理中のプレート265及び内部リッドアセンブリ230の成分からの熱を還流的及び導電的にリモートする(下で詳細に述べられる)。
【0080】
図6及び図9に示されるように、内部リッドアセンブリ230は、通常、ベースプレート265、プロセスガス及びクリーンガスをチャンバ15へ分散するブロッカー又はガス分散プレート301及びシャワヘッド又はガス分散プレート20が含まれる。プレート301、20は、高温プロセスに耐えることができるプロセス適合性材料から形成されることが好ましい。例えば、プレート301、20は、酸化アルミニウム又は窒化アルミニウム(AlN)のようなセラミック材料又はアルミニウム又は陽極酸化アルミニウムのような金属を含むことができる。好ましくは、プレート301、20は、プレート301、20の表面上のガス堆積を最少にするためにアルミニウム又は陽極酸化アルミニウムのような金属を含む。特に好適実施例においては、ガス分散プレート301は陽極酸化アルミニウムを含み、ガス分散プレート20はアルミニウムを含む。ガス分散及びガス分散プレート301、20は、各々ベースプレート265の下の面に直接留められる。好ましくは、ガス分配及び分散プレート20、301は、各々ベースプレート265の下の面に複数のねじ山のある取り付けねじ303、305で付けられる。取り付けねじ303、305は、ガス分配及び分散プレート20、301の各々の接触面とベースプレート265間の比較的しっかりした表面対表面の接触を与えてその間の導電性熱交換を容易にする(下で詳細に述べられる)。取り付けねじ303、305は、ニッケル、 Hasteloy(登録商標) 、 Haynes(登録商標) 等を含む。
【0081】
図8及び図9に関して、ガス分配プレート20は、プレート20の接触面とベースプレート265の下の面とをかみ合わせるために取り付けねじ305を入れる複数の孔315を有する外部フランジ313がある実質的に平らなプレート311である。ベースプレート265は、ガス分配プレート20を265の下面から隔置しかつプロセスガスを複数のガス分配孔315を介して半導体ウェハへ均一に分散する2つのプレート間にチャンバ317(図8参照)を形成する環状外部スタンドオフ316が含まれる。また、ガス分配プレート20は、側壁及び底壁で画成された中央に配置されたキャビティを有する皿形デバイス(図示せず)を含むことができる。
【0082】
ガス分配孔315のサイズ及び配置は、プロセスの特徴によって異なる。例えば、ガスをウェハに均一に分配するように孔315が均一に隔置される。一方、場合によっては孔315は不均一に隔置及び配置される。孔315の直径は、0.1mm〜2.5mm(約5 mil〜100mil)、好ましくは0.2mm〜1.3mm(約10 mil〜50mil)の範囲である。好ましくは、ガス分配孔315は、半導体ウェハ上の堆積の均一性を促進するように設計される。孔(及び上記のマニホールド温度)は、マニホールド外(下)面に堆積物の形成を避けるために、特に、処理中及び処理後にウェハ上にはげ落ちることがある表面上の柔らかい堆積物の堆積を防止するように設計される。具体的な実施例においては、孔の配列はほぼ同心円の環の孔315の1つである。隣接環間の距離(環対環の間隔)は、ほぼ等しく、各環内の孔対孔の間隔はほぼ等しい。ガス分配孔に適した配置の完全な説明は 共同譲渡されたWangの米国特許第 4,872,947号に記載されており、その特許の明細書の記載は本願明細書に含まれるものとする。
【0083】
ガス分散プレート301は、外部スタンドオフ316とガス分配プレート20間に形成されたチャンバ317へガスを分散する複数のガス分散孔325を含むほぼ円形の円板321である。ベースプレート265は、分散プレート301をベースプレート265から隔置しかつスタンドオフ318とプレート301間に形成されたチャンバ320(図8参照)へベースプレート265を通過するガスを分散させる第2内部ストンドオフ318を含むことが好ましい。また、ガス分散プレート301は、スタンドオフ318よりむしろチャンバ320を形成するみぞ(図示せず)を画成することができる。分散孔325の直径は、通常約0.02mm〜0.04mmである。分散プレート301が本発明の好適実施例に含まれることは当業者に認識されることは当然のことである。しかしながら、他の実施例においては、プロセスガスがベースプレート265からガス分配プレート20のチャンバ317へ直接通過される。
【0084】
図8及び図9に示されるように、ベースプレート265は、プロセスガスをガス分散プレート301に送りかつ全内部リッドアセンブリ230を処理チャンバの本体ユニットに取り付けるように機能する一体成形積分要素である。RFプラズマプロセスにおいて、内部リッドアセンブリ230は、チャンバリッドを接地から電気絶縁しかつRFガスボックス(図示せず)からチャンバを分離するアイソレータ(図示せず)が含まれる。RFプラズマプロセスで有用な具体的なリッドアセンブリは、Wangの米国特許第 4,872,947号に記載されており、その明細書の記載は本願明細書に含まれるものとする。
【0085】
図15に示されるように、ベースプレート265は、堆積ガスを排気する環状ポンプチャネル40を画成する下の表面321を有する(下で詳細に述べられる)。図8及び図9に示されるように、ベースプレート265は、混合ガスボックス273からの混合プロセスガスを入れる導入管43と通じている中央孔327を画成する。孔327は、また、孔315までプレート301両端にガスを分散させるガス分散プレート301のみぞ311と通じている。ベースプレート265は、更に、冷却剤液をプレート215の部分を通ってプレート265のその部分を還流で冷却する冷却系215に連結された入口331と出口333を有する冷却剤通路93を画成する。好ましくは、冷却剤通路は、取り付けねじ303、305に比較的近いベースプレート265の一部に形成される。これにより、分散及び分配プレート301、20の接触面及びベースプレート265の下の表面326を介して導電性冷却が容易になる。冷却剤通路93についての具体的な設計の詳細な説明は、1996年4月16日に出願された共同譲渡された同時係属中の出願番号第08/631,902号 (代理人整理番号第1034号) 及びWangの共同譲渡された米国特許第 4,872,947号に見られ、これらの明細書の記載は本願明細書に含まれるものとする。
【0086】
図9に関して、ベースプレート265は、冷却剤通路93の上にベースプレート265に留められたみぞ343に配置された中央孔327と環状キャップ345を周設する環状のみぞ343を画成する。好ましくは、環状キャップ345は、通路93の上にしっかりと密閉するようにベースプレート265の上面に溶接され、通路93からの冷却剤の漏出を効果的に防止する。この配置で、通路93はガス分配及び分散プレート20、301に相対的に近くに形成される。更に、ベースプレート265の上面にグルーブを形成することにより通路93がつくられ、製造プレートのコスト及び複雑さが減少する。
【0087】
ここに図11及び図12に関して、内部リッドアセンブリ230’の代替的実施例を述べる。前の実施例と同様に、リッドアセンブリ230’はベースプレート265、プロセスガス及びクリーンガスをチャンバ15に分散するガス分散プレート301及びガス分散プレート20が含まれる。更に、ベースプレート265は、ベースプレート265及びリッドアセンブリ230’の他の成分を冷却するために水のような冷却剤液を入れる環状冷却剤チャネル500が含まれる。この実施例においては、ベースプレート265は、ガス分散及びガス分配孔325、351のすぐ上にベースプレート265の一部と熱を交換するガス分散プレート301の上の中央孔295の周りに伸びている環状流体チャネル502が更に含まれる。
【0088】
内部リッドアセンブリ230’は、ベースプレート265とガス分散プレート301間のチャンバから真空15まで伸びている複数のバイパス通路510が含まれる。バイパス通路510は、ガス分散及びガス分配孔325、315より流体フローに対する抵抗が小さい。従って、チャンバ320へ流れる大部分のガスは直接真空チャンバ15へバイパス通路520を通過する。具体的な実施例においては、バイパス通路510は、チャンバ15へガスを均一に送るためにベースプレート265の周囲に隔置されることが好ましい(図11)。この実施例の好適使用においては、NF3 のような洗浄ガスがチャンバ320及びガス分散及びガス分配孔325、315を各々通過する。更に、洗浄ガスの一部が直接チャンバ15へバイパス通路510を通過して洗浄ガスのチャンバ15への供給を容易にする。この実施例においては、蒸着装置10はガスがバイパス通路510を通過することを防止(又は少なくとも阻止)するコントローラ(図示せず)に連結されたバルブのような制御系を含むことが好ましい。例えば、処理中、典型的には、プロセスガスがガス分散及びガス分配孔325、315を通過してウェハ上に均一に分散することが所望される。従って、プロセスガスがバイパス通路510を通過することから防止するためにバルブが閉められる。チャンバが洗浄される場合、バルブが開いて洗浄ガスの少なくとも一部をチャンバへ素早く送る。これにより、洗浄プロセスの速度及び効率が高まり、装置10のダウン時間を短縮する。場合によってはプロセスガスがバイパス通路510を介して送られることも認識されることは当然のことである。
【0089】
C.排気系
図6〜図8に関して、CVD装置10の外部に配置されたポンプ(図示せず)は、チャンバ15から環状ポンプチャネル40を通ってプロセスガス及びパージガス並びに残留物の双方を流すために減圧を与え、放出導管60に沿って装置10から放出する。図15に示されるように、堆積ガス及びクリーンガスは、チャンバ15の周囲の環状スロット形オリフィス355を介してポンプチャネル40へウェハW(矢印351で示される)の上へ放射状に排気される。環状スロット形オリフィス355とチャネル40は、チャンバの円筒状側壁17の上部(チャンバライナー250の内部253を含む、図7及び図8参照)とベースプレート265の底部間のギャップによって画成されることが好ましい。ポンプチャネル40から、ガスはチャネル40の周囲に及び下に向かって伸びているガス通路361を介して真空シャットオフバルブ363(その本体は下のチャンバ本体と集積されることが好ましい)を過ぎて外部真空ポンプ(図示せず)に接続する放出導管60へ流れる。
【0090】
また、CVD装置10は、プロセスチャンバ15とポンプチャンネル40と直接通じている複数のガス孔を有する別個のポンププレート(図示せず)が含まれる。この実施例においては、ガス孔は、孔を介してプロセスガスの均一な放出を容易にするためにチャンバの中央の開口の周辺に隔置される。入口及び出口の相対位置を収容するために、ガス孔は中央の開口に相対する入口から出口へ放射状に外に向かって伸びることができる。この孔の放射状の向きは、プロセスガス及びパージガスのかなり均一な放出に寄与し、処理チャンバ15から残留物を排気する。このタイプのポンププレートの詳細な説明は、1996年2月26日に出願された共同譲渡された同時係属中の出願番号第08/606,880号 (代理人整理番号第 978号) に見られ、この明細書の記載は本願明細書に含まれるものとする。
【0091】
図6及び図7に関して、バルブアセンブリ(スロットルバルブ系)369は、ポンプチャネル40を介してガスの流速を制御する放出ライン60に沿って配置された分離バルブ371とスロットルバルブ373が含まれる。処理チャンバ15内の圧力は、キャパシタンス381、383(図6参照)でモニタされ、スロットルバルブ373で導管60のフロー断面積を変えることにより制御される。好ましくは、プロセッサ50は、チャンバ圧力を示す信号をマノメータ381、383から取り返す。プロセッサ50は、測定圧力値をオペレータ(図示せず)によって入れた設定圧力値と比べ、チャンバ15内の所望圧力を維持するのに要するスロットルバルブ333の必要な調整を求める。プロセッサ50は、調整信号をコントローラ385を介して駆動モータ(図示せず)へリレーし、設定圧力値に対応する正しい設定にスロットルバルブを調整する。本発明での使用に適切なスロットルバルブは、『プロセスチャンバ圧力を制御する改良装置及び方法』と称する1996年6月28日に出願された共同譲渡された同時係属中の出願番号第08/606,880号 (代理人整理番号第8918/DCVD-II/MBE号) に見られ、この明細書の記載は本願明細書に含まれるものとする。
【0092】
分離バルブ371は、プロセスチャンバ15を真空ポンプから分離してポンプのポンプ作用によるチャンバ圧力の低下を最少にするために用いられる。スロットルバルブ373と共に分離バルブ371はCVD装置10のマスフローコントローラ(図示せず)を検定するために用いられる。あるプロセスでは、液体ドーパントが気化され、キャリヤガスと一緒にプロセスチャンバ15へ送られる。マスフローコントローラは、チャンバ15へのガス又は液体ドーパントの流速をモニタするために用いられる。MFCの検定中、分離バルブ371はスロットルバルブへ373へのガス流量を制限又は限定してチャンバ15内の圧力増加を最大にし、MFC検定を容易にする。
【0093】
D.ヒータ/リフトアセンブリ
図16〜図23に関して、ヒータ/リフトアセンブリ30をここで詳細に述べる。ヒータ/リフトアセンブリ30は、ウェハを真空チャンバ15内の処理位置へ上げかつ処理中にウェハを加熱するために機能する。最初に、ヒータ/リフトアセンブリ30が本明細書に記載及び図示された具体的なSACVDチャンバ以外の種々の処理チャンバを使用するために又はその中へ直接入れるために変更されることは留意されるべきである。例えば、ヒータ/リフトアセンブリ40は、RF又はマイクロ波電力でプラズマを生成する類似のCVDチャンバ、金属CVD(MCVD)チャンバ、又は他の慣用の又は慣用でない半導体処理チャンバで用いられる。
【0094】
図16及び図20に関して、ヒータ/リフトアセンブリ30は、通常、上下支持シャフト391、393に連結した耐熱性ウェハ支持ペデスタル又はヒータ25、ヒータ25及びヒータ25を垂直に移動する駆動アセンブリ400の下に支持シャフト391、393を囲むリフト管395、シャフト201及びチャンバ15内のリフト管202が含まれる。下で詳細に述べられるように、ヒータ25(及びその上に支持されたウェハ)は下の装填/非装填位置の間を制御可能に移動することができ、封入アセンブリ200内のスロット243及びガス分配プレート20の下の上の処理位置と実質的に並ぶ(図7及び図8)。図7に示されるように、ヒータ25は、処理中にウェハが正確に位置するように周囲のフランジ405を上げた環によって囲まれたウェハ支持上面403が含まれる。ウェハ支持表面403の直径は、堆積温度、例えば、約200℃〜800℃の範囲の温度においてウェハWの直径にほぼ等しい。この直径は、典型的には、大サイズのウェハの場合約150mm〜200mm(約6インチ〜8インチ)であり小サイズのウェハの場合約約75mm〜130mm(約3インチ〜5インチ)である。約300mm(約12インチ)径のような他のウェハサイズがチャンバ、チャンバライニング250及び支持ヒータ25の適切な変更と共に本発明の範囲内であることは当然のことである。
【0095】
支持ヒータ25は、比較的高い処理温度、即ち、600℃〜800℃まで又はそれ以上に耐えることができる処理適合性材料からつくられた円板を含むことが好ましい。該材料は、高温堆積と関連がある反応性化学からの堆積に耐性がありかつクリーンガス中の遊離基によるエッチングに耐性がある。ヒータ25に適切な材料は、窒化アルミニウム、酸化アルミニウム等のセラミックである。熱導電性が高く、耐腐食性が優れかつ熱衝撃の許容限度が優れることからヒータ25に好適な材料は窒化アルミニウムである。従って、特に好ましい実施例においては、ヒータ25の全体の外面は窒化アルミニウムを含んでいる。窒化アルミニウムは高温性能が高く、チャンバ15で用いられるフッ素及びオゾン化学に対する耐性が高い。ヒータ25のための窒化アルミニウムの使用はステンレス鋼又はアルミニウム材料い比べて処理ウェハの背面の金属混入が少なく、信頼性の高いデバイスが得られる。更に、アルミニウムは、チャンバ又はウェハについには蓄積及びはげ落ちるフッ化アルミニウム化合物の層を形成する洗浄ガスに典型的に用いられるフッ素含有化合物と反応する傾向があり、混入を生じる(下で詳細に述べる)。窒化アルミニウムのヒータ25をつくると洗浄中のその問題となる反応が効果的に排除される。
【0096】
図13ー14に関して、駆動アセンブリ400は、空気シリンダ、制御可能モータ等を含む種々の駆動機構が含まれる。好ましくは、適切なギア駆動409を介してヒータに連結されたステッパモータ407は、ヒータ25、シャフト391、393及びリフト管395を装填/非装填位置と処理位置管の制御された増加分で垂直に駆動するように作動させる。駆動アセンブリ400は、ヒータ25の実質的に自由な垂直移動を可能にするためにシャフト391の端と処理チャンバの底部間に取り付けられた上下のベローズ411、413が含まれる。更に、ベローズ411、413は、ガス分配面板20とヒータ25が処理中に実質的に平行であることを行わせるために角移動を可能にする。
【0097】
図8、図16及び図20に関して、リフト管395は、上シャフト391の下の部分を囲み、上ベローズ411をシャフト391、ヒータ25及び処理チャンバ15の内部から放射する熱エネルギーから絶縁するのを援助する。リフト管395は、通常、アルミニウムシャフト418、シャフト418の上面に載っている環状ストライクプレート420及びプレート420をシャフト418に取り付ける環状フランジ422を含む。フランジ422及びストライクプレート420は、窒化アルミニウム又は酸化アルミニウム(セラミック又はアルミナの形のAl2 O3)のような高温に耐えることができる材料からつくられることが好ましい。フランジ422及びストライクプレート420は、シャフト418のヒータシャフト391へのそり又は融合を最少にするためにアルミニウムシャフト418をヒータから絶縁する。具体的な実施例においては、リフト管は、ストライクプレート420がガタガタ鳴るのを防止又は少なくとも阻止するためにフランジ422とストライクプレート420間に装填された波スプリングのようなスプリング(図示せず)が含まれる。
【0098】
図8及び図20に示されるように、通常2個、好ましくは4個の複数のウェハ支持/リフトフィンガ430は、ヒータ25の周囲に隔置されたガイドスタッド432内に滑らせて取り付けられる。フィンガ430は、ヒータ25の下に下向きに伸びるのでストライクプレート420はフィンガ430をかみ合わせかつ装填及び非装填ウェハのヒータ25の上面の上に持ち上げることができる。リフトフィンガ430は、酸化アルミニウムのようなセラミック材料でつくられることが好ましく、通常、切り取られたダブルコーン形ヘッド(図示せず)を有する。4個のリフトフィンガガイドスタッド432は、ヒータ25の周りに均一に分配されないことが好ましいが、代わりにロボットブレードの幅より広い少なくとも1つの側面を有する矩形を形成し、典型的には薄い平らなバー(図示せず)であり、その周りでリフトフィンガ430がウェハを持ち上げなけれならない。リフトフィンガ430の底端は丸くなっている。処理中にヒータに結合するフィンガを最少にするために、フィンガ430の直径は相対的に厚い約3mm〜5mm (約100 mil〜200mil)、好ましくは約4mm(約150mil)であり、長さは相対的に短い約25mm〜76mm(約1〜3インチ)、好ましくは51mm(2インチ)である。
【0099】
使用中、ヒータ25がスリット243と反対の位置(又は実際にスリット243のすぐ下)にある場合、ロボットブレード(図示せず)がウェハをチャンバ15に運ぶ。ウェハははじめはリフトフィンガ430で支持され、ストライクプレート420でヒータ25の上に持ち上げられる。リフトフィンガ430はヒータ26と共に上がるので、ストップ(図示せず)とぶつかる。ヒータ25はガス分配フェースプレート20と反対の処理位置まで上がり続けるので、リフトフィンガ430はヒータ25内のガイドスタッド432に沈み、ウェハは環状フランジ405内のウェハ支持表面403上で堆積される。ウェハをチャンバ15から取り出すために、上記ステップが逆に行われる。
【0100】
図16に関して、抵抗ヒータコイルアセンブリ440は処理中に熱をウェハに移すヒータ25内に収容される。上下の支持シャフト391、393は、ヒータ25を支持し、中空コア445内のヒータコイルアセンブリ440へ必要な電気接続部分を収容する(後述)。上の支持シャフト391は、比較的高い処理温度に耐えることができるセラミック材料でできている。好ましくは、シャフト391は拡散結合窒化アルミニウムからつくられ、窒化アルミニウムが存在しない場合には生じる電極及び電気接続部分への堆積及びそのチャンバ15に用いられる化学による攻撃を防止する。シャフト391は、シャフト391の中空コア445が周囲温度及び圧力(好ましくは大気圧、即ち、760torr又は1気圧)であるようにヒータ25とシャフト391間を気密にするためにヒータ25に拡散結合されることが好ましい。他の実施例においては、中空コア445は約0.8気圧〜1.2気圧の圧力及び約10℃〜200℃の温度であるが、チャンバ15は少なくとも約400℃の温度及び約20 mtorr〜約600torrの圧力である。この配置は、電極及び他の電気接続部分をチャンバ15内のプロセスガス及びクリーンガスからの腐食から保護するのに役立つ。更に、シャフト391の中空コア445を周囲温度で維持すると、RF電源から中空コア445を介して電力リード又はルミニウムシャフトへのアークを最少にする。従って、真空で生じるアークが避けられる。
【0101】
図16及び図17に関して、上の支持シャフト391は、封入アセンブリ200の下の面に開口453を通って伸び、シャフト391とチャンバ15間のガスシールを与えるベース455に連結する。上の支持シャフトは下の支持シャフト393に留められ、例えば、ボルトで締められ、アルミニウム又はアルミニウム合金のような適切なプロセス適合性材料を含む。下の支持シャフト393は、水冷却アルミニウムシャフトであることが好ましい。しかしながら、下の支持シャフト393は酸化アルミニウム又は窒化アルミニウムのようなセラミック材料を含むことができる。1以上のシーリング部材457、例えば、Oリングはシャフト391、393間に配置されてコア445とチャンバ15間のガスシールを維持する。図16に示されるように、下の支持シャフト393は、装填位置と処理位置間のシャフト391、393とヒータ25を移動する駆動アセンブリ400上の垂直可動支持体461に取り付けられる。シャフト393は、電気接続部分の周りを通過する内部冷却剤チャネル463を画成してその接続部分をシャフトの高温から絶縁する。冷却剤チャネル463は、液体冷却系215の水接続部分217、219に連結した入口と出口466がある。冷却剤チャネル463は、下のチャンバ領域の相対的に低温を維持してシーリング部材457を保護する。代替的実施例においては、ヒータアセンブリ30はヒータ25を支持する単シャフト(図示せず)を含み、封入アセンブリ200の下の開口453を通って伸びる。この代替的実施例においては、シーリング部材457は用いられない。
【0102】
ヒータコイルアセンブリ440は、約20℃/分の速度でチャンバ内での温度少なくとも約200〜800℃となるように配置される。図18及び図19に関して、ヒータコイルアセンブリ440はセラミックヒータ25に埋め込まれたヒータコイル471が含まれる。ヒータベース25に埋め込まれたヒータコイル471の経路指示は、ヒータ25の中央付近の電気的接触部分で開始し、ヒータ25の片面に沿ってその周囲に向かって後ろと前に動き、ヒータ25のもう一方の面に伸び、第2電気的接触部分474へヒータ25の中央へ向かって後ろに及び前に動く単コイル471を与えることが好ましい。このループパターンは、プレートの幅両端でほぼ均一な温度を維持するために加熱するが熱損失を可能にする。好ましくは、ヒータコイル471は、ヒータ25のウェハ指示表面両端に少なくとも約400℃+/−2℃及び少なくとも600℃+/−8℃の均一な温度分配を与える。具体的な実施例においては、ヒータコイル471は、ヒータシャフト391から熱勾配を低下させるためにヒータ25の中央付近で電力密度が大きい。
【0103】
図21に示されるように、ヒータコイルアセンブリ440は、シャフト341を介して適切な電気的エネルギー源に伸びる複数のコンダクタリード線475に接続された埋込RF網状接地平面電極473を含むことが好ましい。網状接地平面要素473は、プラズマプロセスが用いられる実施例においては接地路及びプロセス抵抗を与えるモリブデン網状電極である。リード線475は、ニッケル、銅等の比較的高いプロセス温度に耐えることができる導電性材料を含むことが好ましい。具体的な実施例においては、リード線475は、セラミックと金属間のろう付けを避けるために電極473に共焼結される金属挿入部分477で電極473に連結された各ニッケル線である。挿入部分477は、モリブデンのような窒化アルミニウムに対する相対的に密接した熱膨張整合を有する材料を含むことが好ましい。図示されるように、モリブデン挿入部分477はモリブデンプラグ481に各々留められ、例えば、ろう付けされ、リード線475にろう付けされる。主に抵抗線か主に導電線かのヒータコイルのワイヤは全て、窒化アルミニウムヒータ本体の注型成形に耐えるように高温を許容する連続絶縁コーティング(上述したようなもの)でシースされる。
【0104】
図16、図22及び図23に関して、ヒータ/リフトアセンブリ30は、加熱コイル471の温度を決定する少なくとも1個の熱電対491が含まれる。熱電対491は、ウェハの底から6mm(約0.25インチ)離れたヒータ25の下面と接触させて挿入及び保持されたセンサ495(図16)を有するエロンゲート管493が含まれる。それを目的として、ヒータ25は、熱電対491のセンサ495を要素473に接続するヒータ要素473にろう付けした熱電対ガイド(図22)が含まれる。熱電対491は圧縮ばね503(図23)からわずかなばね力で適切に保持され、温度コントローラ(図示せず)に制御信号を与える。センサ495は、大気圧にあるウェル505に配置されることが好ましく、加熱要素473と熱電対491間の熱移動を高めて正確に読み取る。温度コントローラは、均一な温度プロファイルを維持するためにヒータの応答特性を起こそうとしかつそれを変えるレシピステップを予想するレシピ式比例積分微分(PID)コントローラである。下の支持シャフト393の真空シール及び接地接続部分は、シャフト393(図示せず)の側面に沿ってつくられ、ヒータワイヤ端511及び熱電対管端513への接続は大気圧条件でつくられる。
【0105】
本発明が使用中である場合、ヒータ25がスリット243と反対の位置(又は実際にはスリット243のすぐ下)にある場合にはロボットブレード(図示せず)がウェハをチャンバ15に移動する。ヒータ25とウェハは駆動アセンブリ400で処理位置に持ち上げられ、リフトフィンガ430はヒータ25内のガイドスタッド432に沈むのでウェハはヒータ25の環状フランジ405内のウェハ支持表面430上で堆積される(図8、図16及び図17)。TEOS及びO3 のようなプロセスガスは、ガス通路83、85を通り、ガス混合ボックス273の混合領域93で一緒に混合される(図7及び図6参照)。次に、混合ガスは導入管43の内部通路295及びベースプレート265の中央孔327を介してガス分散プレート301の上のチャンバ320へ送られ、外側に分散し、孔325を介してガス分散プレートの上のチャンバ317へ流れる(図8及び図9参照)。好ましくは、ガスはガス分散孔315を介して半導体ウェハ(図示せず)上に均一に分配される。
【0106】
ヒータ25上のウェハの温度は、典型的には、ヒータコイルアセンブリ440によって最低堆積温度より高く保持されるのでプロセスガスはウェハ表面で一緒に反応しかつ層を堆積する。詳しくは、電流はコンダクタワイヤ457を介して抵抗コイル473へ進み、個々の実施例に従って約200℃〜800℃の温度にウェハを加熱する。好適実施例においては、温度は、チャンバ内の現在温度に基づいてランプレートを維持するフィードバック制御系(上記ヒータ制御サブルーチン167に記載)で制御される。その処理中、内部リッドアセンブリ230は、通過するガス、加熱した半導体ウェハ及びウェハ加熱供給源を含む種々の供給源から熱を取り返す。リッドアセンブリ230の成分を最低堆積温度より低く維持するために及びその成分上のガス反応及び堆積を避けるために、冷却剤液体が冷却剤チャネル93に導入されてベースプレート265並びにガス分配及び分散プレート20、301から熱を除去する。
【0107】
堆積処理中、ポンプチャネル40内を減圧にするために真空ポンプを活性化し、プロセスガス及びプラズマ残留物を処理チャンバ15からチャネル40及び排気口361を介して引き抜く(図8及び図15)。更に、パージガスは、サスセプタ25とライナー250の内部253間のギャップを介して処理チャンバ15へ通常上向きに進むことができる。パージガスは、プロセスガスを装置10の下の部分への漏出を最少にし、排気口361を介してプロセスガスの除去を容易にする。
【0108】
E.積分リモートマイクロ波プラズマ系
図24は、本発明の実施例によるウェハ及び/又はプロセスチャンバを洗浄するリモートマイクロ波プラズマ系55の簡易線図である。マイクロ波プラズマ系55は、チャンバ15内のウェハ及び/又はチャンバ15の成分を効率よくエッチング又は洗浄するのに有用な及びおそらくは堆積するのに有用な処理チャンバ15から離れてプラズマを生成する。マイクロ波プラズマ系55は、アプリケータ管292;プラズマ点火系(後述);マイクロ波導波管系(後述);自動インピーダンス整合のフィードバックを必要とする実施例のための選択位相検波器、及び負荷707を有するサーキュレータ705を含むことができるインピーダンス整合系701を含む最適化要素;及びマグネトロン711が含まれる。
【0109】
マグネトロン711は、連続波(CW)又は約2.45ギガヘルツ (GHz)周波数のマイクロ波のパルス出力について約500ワット〜2500ワットで操作することができる典型的なマグネトロン源である。マグネトロン711は、マグネトロン711からリモートで位置が示される電源110(図3に図示)で供給される。他のマグネトロンも同様に用いられることは当然のことである。マグネトロン711からのマイクロ波は、ジョイント719で一緒に結合される直線及び曲線導波管区分715、717の種々の長さを含むマイクロ波導波管系に伝搬される。反射損を最少化した損失の少ない最大マイクロ波伝搬を与えかつマグネトロンを反射電力による損傷から保護するために働く最適化要素が導波管系内に点在する。次の説明は、マグネトロン711からアプリケータ管292に対する所望の方向である。
【0110】
個々の実施例においては、マイクロ波プラズマ系は、図24に示されるように負荷707を有するサーキュレータ705に接続されたマグネトロン711を有する。サーキュレータ705は、マグネトロン711からアプリケータ管292に向かって前方へのマイクロ波伝搬のみ可能である。負荷707は、導波管系からマグネトロン711に向かって逆に反射される電力を吸収する。もって、サーキュレータ705と負荷707は、マイクロ波を前方の向きに進め、マグネトロン711を反射電力からの損傷から保護する。サーキュレータ705は、別の導波管区分715に接続された位相検波器703に接続される導波管715に接続する。使用する場合、位相検波器は同調又は整合系701を取り付けた別の導波管区分715に曲線導波管区分717を介して連結される。スタブチューナ又は他の同調要素を用いることができる同調系701は、プラズママイクロ波系55に導波管区分721の負荷を50Ωまで整合する能力、導波管の特性インピーダンスを備える。同調系701は、個々の実施態様に従って固定同調、手動同調又は自動同調を与えることができる。自動同調を用いる実施態様については、位相検波器は、同調系701へフィードバックするために伝搬されたマイクロ波の位相を検出する3ダイオードアレーであり、適切な負荷を知的に及び動的に同調させる。個々の実施態様においては、導波管区分は矩形断面を有するが、他の種類の導波管も用いられる。
【0111】
図24に見られるように、最適化導波管系を進むマイクロ波は出力導波管区分721からアプリケータ管292へ伝搬され、プラズマが生成される。アプリケータ管292はマグネトロン711から導波管系及び他の最適化要素を介してマイクロ波によってエネルギーが与えられる反応性ガスが入る入力供給ライン57を有する。アプリケータ管292は、個々の実施態様に従って複合又はセラミック材料、好ましくはアルミナ又はプラズマの遊離基によるエッチングに耐性のある他の材料でつくられた円形(又は他の断面)管である。個々の実施態様においては、アプリケータ管292は長さが約90cm〜61cm(18インチ〜24インチ)及び断面の直径が約8cm〜10cm(約3インチ〜4インチ)である。アプリケータ管292は導波管区分721によって配置され、マイクロ波を伝搬するために一端が開放しており、もう一端が金属壁で終わっている。次に、マイクロ波は、マイクロ波に対して透過的であるアプリケータ管292の内部の反応性ガスに導波管区分721の開放端を介して伝搬されることができる。サファイアのような他の材料もアプリケータ管292の内部に用いられることは当然のことである。他の実施態様においては、アプリケータ管292は複合又はセラミック材料でできた金属外部及び内部を有し、導波管区分721のマイクロ波がアプリケータ管292の外部を介して管292の曝露内部に入り反応性ガスにエネルギーを与える。
【0112】
個々の実施態様においては、場合によっては導波管区分721の金属壁に取り付けられるプラズマは紫外線(UV)ランプ731及びUV電源721を含むプラズマ点火系によって点火される。UV電源733が金属壁の種々のほかの位置に取り付けられることは当然のことである。UV電源733によって供給されたUVランプ731はアプリケータ管292内のプラズマをはじめにイオン化する。次に、マイクロ波エネルギーが点火プラズマのイオン化を維持してゲートバルブ280を介してチャンバ15に至る入口290に入る遊離基の流れを生じる。管292内の反応性ガスの導入及びイオン化からのアプリケータ管292内の負荷の変化のために、整合系701の使用が効率に結びつくマイクロ波エネルギーを最適化する。好適実施態様においては、整合系701は、プロセッサ50の又は自動同調用コントローラユニットの制御下に少なくとも1個のスタブチューナが含まれる。上記のように、他の慣用の同調要素も整合系701に用いられる。
【0113】
上述のように、アプリケータ管292は、図7に示されるようにアプリケータ管292が封入アセンブリ200の入口290にプラズマ遊離基を出力するようにチャンバ15の本体の底に取り付けられ接続される。遊離基は、入口290を介して、好ましくはポリテトラフルオロエチレン(PTFE)でできたライナー291を備える封入アセンブリ200内の通路へ入力される。 Teflon(登録商標) PTFEのような市販のPTFEは、入口290における反応性化学入力からのエッチング又は堆積に耐性がある。ライナー291は、清浄プロセス中通路でのフッ素基再結合を防止する。PTFEのほかに、ライナー291は、PFA(ポリテトラフルオロエチレン樹脂の炭素−フッ素骨格とペルフルオロアルコキシ側鎖とを組み合わせるポリマーである)のようなフッ素化ポリマー、フッ素化エチレン−プロピレン(TFE)等を含むフッ素化材料で製造される。通路は、断面が好ましくは円形又は入口290とアプリケータ管292の断面が合う他のタイプの断面である。封入アプリケータ管292のこの裏打ちした通路から、プラズマ遊離基がゲートバルブ280へのクリーンガスマニホールド270内の導管47へ流し込まれる。クリーンガスマニホールド270もPTFEでつくられる。PTFEがフッ素基によるエッチングに耐性があるので、PTFEはフッ素基がプラズマ中に生成される洗浄用に好ましい。クリーンガスマニホールド270とライナー291の双方が、用いられる反応性ガスに基づく個々の化学に耐性のある他の材料(上記ライナー291について述べたもの)で製造されることは当然のことである。
【0114】
ある実施態様においては、上述したようにゲートバルブ280は堆積プロセスからクリーンプロセスを分離する。ゲートバルブ280は、チャンバ15が堆積、リフロー又はドライブインステップに用いられる間、通常閉じられたままである。閉じた配置では、ゲートバルブ280は清浄プロセスに用いられる導管47内の粒子が堆積処理中にウェハを汚染することから防止し、堆積中に導管47及び通路の『あき』容積を減少させる。約200torr〜760torrの圧力における堆積が開放したゲートバルブ280で起こる場合には、堆積はアプリケータ管292内で引き起こされ、洗浄プロセスの汚染をまねく。ゲートバルブ280は、導管47からの反応性化学による損傷又はそれによる閉鎖バルブ280上への堆積を最少にするためにPTFE(又は上記ライナー及びマニホールド270について述べたものと同様の材料)で製造されることが好ましい。好適実施態様においては、ゲートバルブ280は粒子グレードゲートバルブである。ゲートバルブ280を用いる実施態様においては、チャンバ15がウェハ洗浄ステップに用いられる場合又はチャンバ洗浄が行われる場合のみゲートバルブ280が開放され、図7に見られるようにプラズマ遊離基がガス混合ボックス273の流体通路293へ流し込まれる。上述したように、ある実施態様においてはゲートバルブ280は全く用いられない。そのときにはプラズマ遊離基が環状通路297を通ってチャンバ15にガス分配プレート20を介して流し込まれる。そのようにして分配プレート20及びチャンバ15の各部分が洗浄される。次に、残留物及び使用洗浄ガスが上記排気系でチャンバ15から排気される。チャンバ15の洗浄プロセス及びウェハ表面の洗浄は下で詳細に述べられる。
【0115】
F.終点検出系
図25〜図28は、本発明の他の態様によるマイクロ波プラズマ系55の洗浄終点検出系800である。上述したように、装置10は、金属混入を減少させるために従来のその場プラズマプロセスの代わりにリモートマイクロ波技術を用いる熱洗浄法を用いることが好ましい。本発明では、物理的スパッタリング作用がチャンバ壁内のアルミニウムと反応すると共に処理ウェハ中にアルミニウム金属の混入をまねくことがあるその場プラズマプロセスを用いるのと対照的に、リモートマイクロ波プラズマ系55を用いる穏やかな洗浄法は化学反応のみ用いる。
【0116】
リモートプラズマ系55を用いる洗浄プロセスでは、エッチングガス、好ましくはたいていフッ素基がチャンバ内に進められ穏やかな熱清浄が起こるがプラズマはチャンバと離れたままである(即ち、アプリケータ管292内、図24参照)ようにチャンバ15から離れて生成される。このプロセスはチャンバ15及び/又はチャンバ15の成分内のウェハを洗浄するために多くの利点があるが、チャンバ内にプラズマがないことは従来の終点検出系を用いて洗浄が完了した時間、即ち、チャンバ内の最後のプロセスガス残留物が洗浄エッチング剤と反応したのでチャンバから放出される場合を正確に示すことを困難にする。従来の終点検出系は、典型的には、チャンバ内のプラズマの使用をあてにし、その場プラズマからの放出をチェックして清浄プロセスの終わりを求めるものである。
【0117】
しかしながら、本発明の終点検出系は、マイクロ波プラズマ系55によって示されるようにその場プラズマ或いはリモートプラズマで用いられる。例えば、具体的なプロセスにおいて、チャンバ内のSiO2 粉末残留物と反応させるためにフッ素系ガスが用いられてSiF4 ガスを生成し、これが真空ポンプでチャンバから引き抜かれる。チャンバ内のSiO2 の実質的に全てが消費された場合、フッ素系ガスはSiF4 を生成するためにSiO2 と反応することができない。代わりに、フッ素系ガスはチャンバ15を汚染し始めるか又は、例えば、チャンバのアルミニウム壁と反応してフッ化アルミニウム化合物を生成する。結果として、近似の終点又は最後のSiO2 残留物がフッ素ガスと反応した点を求めることが重要であるのでフッ素基がチャンバ15に入ることを防止するためにゲートバルブ280が閉じられる。後述されるように、本発明の終点検出系800は、SiF4 のような排気されたクリーンガス反応成分による光の吸光度に基づいて生じる光の強さの変化を検出することにより洗浄プロセスの終点を求める。
【0118】
図25に示されるように、洗浄終点検出系800は、分離バルブ371とスロットルバルブ373間の放出導管60に沿って配置されたガス検出器802が含まれる。ガス検出器802が装置10の排気系内の他の位置に配置されてよいことは当然のことである。例えば、検出器802は、図26に示されるようにスロットルバルブ373の下流に配置される。他の実施例では、検出器802は、図27に示されるように導管60からの試料ガス流を入れるバイパスライン804に沿って配置される。この実施例においては、バイパスライン804はライン804を通過する流量を変えるか又は、例えば、チャンバ内のウェハのガス処理中のバイパスライン804に沿ったガスフローを完全に止める制御バルブ806が含まれる。
【0119】
図28に関して、ガス検出器802の好適実施例をここに述べる。図示されるように、検出器802は、チャンバからのガス及び他の残留物を通過させる導管60と通じている通し穴806を画成するハウジング804が含まれる。1対のフランジ808、810は、ハウジング804を導管60に取り付けることが好ましい。ハウジング804の側壁は、遠赤外線を通過させるように配置される1対の赤外線(IR)の窓812、813が含まれる。遠赤外線は、約10μmで始まる波長を有する。IRの窓812、813は、長さLで隔置され、ゼロ又は実質的にほとんどない光線が窓812、813によって吸収されるように遠赤外線に実質的に透過的な材料を含むことが好ましい。更に、IR窓812、813材料は、プロセス適合性でプロセスガス及びクリーンガス化学に対して不活性でなければならず、膜を汚染してはならない。フッ素基が洗浄プロセスに用いられる実施例では、窓812及び813は、フッ素に耐性がある。IR窓812、813に好適な材料は、ゲルマニウム、フッ化カルシウム等が含まれる。
【0120】
図28に概略図で示されるように、検出器802は、遠赤外線を生成しかつその光線を窓812、813を通って透過するので光線が通し穴806を通過するハウジング804に適切に連結された遠赤外線ランプ814が含まれる。IR検出器816は、窓813を通過する遠赤外線を受け取り検出する位置でハウジング804に連結される。好ましくは、遠赤外線ランプ814は、光ノッチフィルタを有するタングステンランプである。
【0121】
本発明が使用中である場合、クリーンガス反応成分(例えば、SiF4 )は導管60及び検出器802の通し穴806に沿って進められる。遠赤外線ランプ814は、窓812、通し穴806及び窓813を通って遠赤外線を透過し、検出器816で受け取る。光線がクリーンガスSiF4 を通過するので、これらの反応成分(即ち、シリコン)は遠赤外線の一部を吸収し、検出器816によって受け取られる光の強さを低下させる。フッ素は遠赤外線を吸収しない。従って、検出された遠赤外線の強さが標準値まで増加する場合、検出器816はコントローラ(図示せず)に信号を送り、導管60を通過するSiF4 の濃度がかなり低下したか又は完全に停止したことが示され、洗浄終点が到達したことが示される。この点で、コントローラは適切な信号をプロセッサ50に送り、ゲートバルブ280を閉鎖しかつエッチングガスがチャンバい入らないように防止する。上記の具体的な洗浄プロセスにおいて、終点検出系880は、約10μm の波長で光線を吸収するクリーンガス反応成分SiF4 及び約5μm 〜6μm の波長で光線を吸収するフッ素によって吸収される遠赤外線を供給する供給源814及び検出する検出器816を使用する。他の実施例においては、供給源814及び検出器816は異なる波長を示すことができ、クリーンガスプロセスで用いられる個々のクリーンガス反応成分の吸光度特性に左右される。
【0122】
一例として、I0 はSiF4 が導管60を流れてなく検出器816がランプ814から全部の強さを受け取る場合の遠赤外線の強さである。SiF4 が洗浄中通し穴806を流れるにつれて、遠赤外線が吸収されかつ検出器816で受け取られる強さ(I)が減少する。下記式で示される。
【0123】
I/I0 =exp(−X* L* C)
式中、XはIR窓812、813又はフィルタ(図示せず)の吸光係数であり、Lは窓812、813(図28参照)の長さであり、Cは検出器802を通過するSiF4 の濃度である。I/I0 が1の値に達するとSiF4 の濃度は低下し、洗浄終点が近いことを意味する。洗浄終点が到達したことを意味するこの値が1に近づくまでコントローラはI/I0 を絶えずてモニタする。
【0124】
上記の説明はマルチチャンバ処理系のCVDチャンバに関するものであるが、他のプラズマエッチングチャンバ、物理的堆積チャンバ等について本発明のある種の特徴を実施することは可能である。従って、上記の説明及び例示は前述の特許請求の範囲によって定義される本発明の範囲を限定するものとして用いられるべきではない。本発明は上に記載された及び同封図面に示された枚葉式ウェハチャンバに限定されないことは留意されるべきである。例えば、本発明のスロットルバルブは、複数のウェハを同時に処理するバッチチャンバへ取り付けられる。更に、本発明は、各々のウェハについて個々の処理ステップを連続して行うマルチウェハチャンバで使用するのに適している。
【0125】
II.CVDリアクタ系を用いる高温多段プロセス
A.具体的な構造と応用
図29は、本発明の集積回路900の簡易断面図である。図示されるように、集積回路900はNMOS及びPMOSトランジスタ903及び906が含まれ、シリコン(LOCOS)の局部酸化又は他の手法によって形成された酸化物電界領域920によって相互に分けられ及び電気的に分けられる。また、トランジスタ903及び906は、トランジスタ903及び906が両NMOS又は両PMOSである場合に浅いトレンチ分離(図示せず)によって相互に分けられ及び電気的に分けられる。各トランジスタ903及び906は、供給源領域912、ドレーン領域915及びゲート領域918を含む。
【0126】
含金属誘電(PMD)層は、金属層940と接触部分924でつくられたトランジスタ間の接続部分でトランジスタ903と906を金属層940から分けられる。金属層940は、集積回路900に含まれた4つの金属層940、942、944及び946の1つである。各金属層940、942、944及び946は各金属間誘電層927、928及び929で隣接の金属層から分けられる。隣接の金属層は、ビア926による選定開口で接続される。金属層946上に堆積されると不活性化層が平坦化される。CVD装置10は、例えば、PMD層921、IMD層927、928及び929又は不活性化層として用いられる膜を堆積させるために用いられる。CVD装置10は、また、LOCOS酸化物電界領域920の代わりに用いられる浅いトレンチ分離構造の酸化物充填層を堆積させるために用いられる。
【0127】
上記CVD装置の使用の他の例は、図29の具体的な集積回路900に示された超薄供給源及びドレーン領域912及び915を形成するものである。MOSトランジスタのソース/ドレーン領域を形成するのに超薄ドープ接合部分を形成する本方法の適用を一例として図30〜図34で述べる。
【0128】
図30は、部分的に完全なMOSトランジスタの簡易断面図である。単に一例として、MOSトランジスタ1000はPMOSトランジスタである。NMOSトランジスタも形成されることは当然のことである。PMOSトランジスタ1000については、用いられるドープ誘電層1008はP形ドーパント源としてのBSG膜とすることができる。図30に見られるように、ゲート酸化物1003の上にあるゲート電極1002は材料1004上にすでに形成されている。本例では、材料1004はN形基板又は基板内に形成されるNウェルとすることができる。酸化物電界領域1006は、シリコン局部酸化(LOCOS)のような方法で形成される。超薄ドープ接合部分が所望される領域は、マスクを用いて画成される。本例においては、該領域はソース/ドレーン領域1010及び1012であるが、軽ドープドレーン(LDD)領域を形成するように画成される。下で詳述されるプロセスレシピと共に上記CVDリアクタ系を用いると、ドープ誘電層1008は耐熱ヒータ25上に載っているウェハのソース/ドレーン領域1010及び1012上に形成される。
【0129】
ソース/ドレーン領域1010及び1012上にドープ誘電層1008を形成させる前に、ソース/ドレーン領域1010及び1012の表面について、上記リモートマイクロ波プラズマ系55からのNF3 のような反応性ガスで形成されたプラズマ或いは熱NF3 蒸気を用いることにより存在することができるゲート酸化物又は未変性酸化物が洗浄される。洗浄手順で、ソース/ドレーン領域1010及び1012の表面上に存在することができる酸化物を洗浄するためにNF3 からのフッ素基がチャンバ15に入ることができるようにゲートバルブ15が開放される。これらの酸化物を洗浄するとソース/ドレーン領域1010及び1012上に形成されるドープ誘電層1008からの一致したドライブインドーパントを可能にする。リモートプラズマからのフッ素基は、チャンバ15内のウェハから未変性酸化物を洗浄するために用いられる。洗浄手順では、ウェハ上のデバイスを損傷することなくフッ素基が酸化物を最適に洗浄することができる位置にヒータ25が調整される。好ましくは、その未変性酸化物除去/洗浄ステップ及びドープ誘電層1008の堆積がその場方法で同じチャンバ内で行われる。上記CVD装置10の使用は、チャンバ15の真空は破壊されずかつウェハが環境に曝露されないのでウェハによる水分吸収を回避する。また、望まれていない酸化物はその場でNF3 を熱分解することによりウェハから洗浄される。この代替方法においては、フッ素基は、約200〜1500標準立方センチメートル/分(sccm)、好ましくは約500sccmのNF3 及び場合によっては約0〜1000sccmのO2 をチャンバ15に導入することによりチャンバ15内でその場で生成される。同時に、チャンバ15は約500℃〜650℃、好ましくは600℃まで加熱され、約60torr〜760torr、好ましくは400torrの圧力で維持され、ヒータ25は約4mm〜22mm(約150〜900mil)、好ましくは約15mm(約600mil)でプレート20から隔置される。従って、ソース/ドレーン領域1010及び1012の表面の未変性酸化物バリヤが洗浄される。
【0130】
洗浄ステップ後、ある実施例のゲートバルブ280は、ドープ誘電層堆積プロセスに用いられる反応性ガスを導管47の表面に入りかつ堆積することから締め出すために閉鎖される。ヒータ25は、適切な処理位置に移動し、所望のプロセスレシピに従ってチャンバ15の真空中指定の温度まで加熱する。次に、ドープ誘電層1008は、下記のようにCVD装置10内で高温(約500℃〜600℃)において形成される。未変性酸化物バリヤをもたずに、ウェハ上に形成されたドープ誘電層1008からのドーパントは基板内に運ばれて超薄ソース/ドレーン領域1010及び1012を形成する。
【0131】
ドープ誘電層1008の堆積後、ウェハはチャンバ15内にある。次に、耐熱ヒータ25とその上のウェハは高温(約800℃)に指定の時間加熱する。加熱ステップは、ドープ誘電層をN形材料1004へドーパントを運ぶ。ドープ誘電層1008は、図31に示されるように得られた超薄接合部分1020のP形ドーパント拡散供給源として用いられる。ゲートバルブ280がそのドライブインステップ中閉じられたままであることは当然のことである。その場加熱される代わりとして、ウェハは、ドーパント拡散源として作用するドープ誘電層1008からドーパントをドライブインするためにアニール電気炉又は急速熱処理リアクタ(好ましくはマルチチャンバ系)へ移される。拡散は、アニール又は又は急速熱処理によって行われる。好ましくは、これらの他の実施例においては、拡散は急速熱処理を用いて(良好なスループットのために)約950℃〜1100℃で約1分〜3分間、好ましくは約100℃で約1分間行われる。
【0132】
拡散後、ドープ誘電層1008はN形材料1004からドライ又はウェットエッチング法又は他の除去法によって除去される。CVD装置10は、また、適切なエッチング化学と共にリモートマイクロ波プラズマ系55を用いることによりドープ誘電層1008を除去するために用いられる。その誘電層除去ステップについては、除去が完了するまで、そのときゲートバルブ280は閉じられているが、リモートプラズマが層1008をエッチングすることを可能にするためにゲートバルブ280は開放される。除去ステップはチャンバ15内のヒータ25からウェハを移すことなくその場で行われる。また、除去ステップは、CVD装置10と同じマルチチャンバ系内で誘電層除去のために離しておいた他のチャンバ内で行われ、マルチチャンバの真空外部の環境にウェハを曝露することを回避する。図32は、ドープ誘電層100の除去後の部分的に完全なPMOSトランジスタ1000である。PMOSトランジスタ1000は、ゲート電極1002及び超薄P形ドープ接合部分である隣接のソース/ドレーン領域1020が含まれる。その後、デバイスの完了のために残りのプロセスステップがウェハ上で行われる。
【0133】
全てのウェハ(又は数枚のウェハ)がCVD装置10のチャンバ15内で処理された後、チャンバ清浄が行われる。ウェハの処理がチャンバ15内で完了した後、ウェハはスロット243を通って移され、真空密閉される。次に、ゲートバルブ280が開けられ、チャンバが終点検出系によって示される仕様に洗浄されるまでリモートマイクロ波プラズマ系55を用いてチャンバ清浄プロセスを行うことを可能にする。
【0134】
他の実施例においては、図33〜図34に示されるステップが図30に記載されるステップ後に行われる。ドープ誘電層1008が図30に見られるようにソース/ドレーン領域1010及び1012及びゲート電極1002上に形成された後、ドープ誘電層1008の上にあるUSGのようなキャッピング層1030が、好ましくはチャンバ15内のその場プロセスで形成される。層1008の堆積中、ゲートバルブ280は閉じられたままである。次に、上記図31について述べられたようにドープ誘電層1008から半導体材料1004へ拡散させるために基板が加熱される。また、ウェハは、上記図31について述べられたようにドーパントをドープ誘電層1008から拡散させるアニール電気炉又は急速熱処理リアクタへ移すためにチャンバ15から取り出される。好ましくは、チャンバ15(ドープ誘電層1008及びキャッピング層1030が堆積された)が一部である同じマルチチャンバ系で急速熱処理を行う。図34に見られるように、キャップ層1030が上にあるドープ誘電層1008からのドーパントが半導体材料1004に拡散して超薄ソース/ドレーン接合部分1020を形成する。次に、キャップ層1030及びドープ誘電層1008が上記のように離れてエッチングされて残りの処理ステップのために図32に示されるように部分的に完全なPMOSトランジスタ1000を得る。約0.25μm デバイスの形については、ホウ素原子を拡散させると、約100オングストローム〜200オングストロームのUSG膜でキャップされた約100オングストローム〜200オングストロームのBSG膜厚が形成され、PMOSトランジスタの好適実施例の約0.05μm 〜約0.1μm の範囲の接合部分が得られる。
【0135】
NMOSトランジスタ1000がゲート電極1002及びN形超薄接合部分が本発明の他の実施例に従ってドーパント源としてPSG膜又はヒ素ドープケイ酸塩ガラス膜のようなドープ誘電膜1008を用いてP形半導体材料1004に形成されるソース/ドレーン領域1010及び1012が含まれる。P形材料1004はP形基板又は基板内に形成されたPウェルとすることができる。約0.25μm デバイス形については、約100オングストローム〜200オングストロームのPSG膜厚と約100オングストローム〜200オングストロームのUSG膜により、好適実施例の約0.05μm 〜約0.1μm の範囲の深さの半導体材料の中に運ばれたリンが得られる。用途によってN形又はP形超薄接合部分を得るために他のドープケイ酸塩ガラス膜が用いられることが認識されることは当然のことである。
【0136】
本発明の使用の他の例は、デバイス間の浅いトレンチ分離構造にチャネルストップとして超薄ドープ領域を形成するものである。超薄チャネルストップ領域を形成する本方法の適用を図35〜図41について述べる。
【0137】
図35は、半導体材料1100に形成された部分的に完全な浅いトレンチ分離構造の簡易断面図である。図35に見られるように、トレンチ1102は反応性イオンエッチング、プラズマエッチング又は他の手法を含む異方性エッチング法を用いて半導体材料1100に形成される。本例においては、半導体材料1100はP形基板又は基板内に形成されるPウェルとすることができる。マスク1104は、浅いトレンチ分離でチャネルストップ領域を画成するために用いられる。下で詳細に述べられるプロセスレシピを用いて、ドープ誘電層1106がマスク1104を用いてトレンチ1102上に形成される。ドープ誘電層1106は、導電路を半導体材料1100のデバイス間に形成することから防止するために用いられるチャネルストップドーピング領域を拡散及び形成するドーパント原子源を供給する。P形材料1100については、ドープ誘電層1106はP形ドーパント源としてBSG膜とすることができる。
【0138】
トレンチ1102上にドープ誘電層1106を形成する前に、トレンチ1102の表面について、後述されるNF3 のような反応性ガスを用いて形成されるリモートプラズマ中のフッ素基を供給するリモートマイクロ波プラズマ系55を用いることにより存在することができるゲート酸化物又は未変性酸化物が洗浄される。洗浄ステップ中、ゲートバルブ280は導管47から環状の外部通路297を介して及び分配プレート20を介してチャンバ15へフッ素基が流れるように開放する。その上にウェハを有するヒータ25は洗浄の位置に下げられるので、フッ素基が基板を損傷することなくトレンチ1102の表面に存在することができる望ましくない酸化物のウェハを洗浄することができる。その酸化物を洗浄すると、トレンチ1102上に形成されるドープ誘電層1106からの一致したドライブインのドーパントを可能にする。洗浄ステップ及びドープ誘電層1106の堆積は、その場プロセスでチャンバ15内で行われる。代替的実施例においては、洗浄ステップは上記のようにNF3 をその場熱分解することにより行われる。次に、NF3 プラズマ又は蒸気からのフッ素基が、トレンチ1102の表面に存在することができる酸化物を洗浄する。他の代替的実施例においては、上記のマルチチャンバ系の別個のチャンバがその洗浄ステップに用いられる。ウェハによる水分吸収はチャンバ15(或いはマルチチャンバ系)の真空を破壊しないことにより回避されるので、トレンチ1102の表面は未変性酸化物バリヤがない。洗浄ステップが行われた後、ゲートバルブ280は閉じられる。未変性酸化物バリヤをもたずに、ドープ誘電層1106からのドーパントは基板内に容易に及び均一に運ばれて浅いトレンチ分離を与えるチャネルストップ領域として用いられる超薄接合部分を形成する。その上にウェハを有するヒータ25は、処理位置に移動され、層1106を堆積する高温(約500℃〜700℃)に加熱される。
【0139】
ドープ誘電層1106の堆積後、ウェハはドライブインステップのチャンバ15内のままである。ゲートバルブ280は閉じられたままであり、ヒータ25は高温(約800℃)に加熱される。加熱は、拡散に必要とされる所望の接合深さに基づく指定の時間生じる。また、ウェハはドーパントをドープ誘電層からP形材料1100へ送るためにアニール電気炉又は急速熱処理リアクタ(好ましくはマルチチャンバ系内)に移される。ドープ誘電層1106は、図36に示されるように得られた超薄チャネルストップ領域1108のP形ドーパント拡散源として用いられる。超薄チャネルストップ領域1108はP形材料1100に形成されたP+形領域である。
【0140】
拡散後、ドープ誘電層1106はウェットエッチング法又は他の除去法によりP形材料1100から除去される。好ましくは、ウェハはチャンバ15内のままであるのでゲートバルブ280は開放されると共にリモートマイクロ波プラズマ系55からの遊離基は層1106からエッチングすることができる。プラズマ系55への反応性ガス入力は、ドープ誘電層1106の種類に左右されることは当然のことである。図37は、ドープ誘電層1106の除去後の部分的に完全な浅いトレンチ分離構造である。図38に見られるように、トレンチ1102は、次に、酸化物1110で充填されて浅いトレンチ分離構造を形成する。好適実施例においては、高温で堆積した高品質USG膜は高アスペクト比のトレンチを充填する酸化物1110として用いられる。酸化物1110は他の堆積法を用いても形成される。
【0141】
超薄チャネルストップ領域1108を有する浅いトレンチ分離構造を完了した後、浅いトレンチ分離構造で分けられたデバイス1112及び1114が図39に示されるように形成される。デバイス1112及び1114は各々、ゲート電極1116及び隣接のソース/ドレーン領域1118及び1120が含まれる。その後、デバイスを完成させる残りのプロセスステップが、ウェハを他のチャネルに移すことにより、好ましくはマルチチャンバ系で行われる。ウェハをチャンバ15から移した後、上記図30〜図34に関して述べられたように、リモートマイクロ波プラズマ系55を用いてチャンバ清浄が行われ、耐熱ヒータ25は洗浄位置に調整され、ゲートバルブは開放する。
【0142】
代替的実施例においては、図35に示されたステップ後に図40〜図41に示されるステップが行われる。ドープ誘電層1106が図35に見られるようにトレンチ1102及びマスク1104上に形成された後、ドープ誘電層1106の上にあるUSGのようなキャッピング層1110がその場プロセスでチャンバ15内で形成される。キャッピング層1110の堆積中、ゲートバルブ280は閉じられる。次に、上記図36について述べられたように、キャップしたドープ誘電層1106から半導体材料1100へドーパントを拡散させるドーパントドライブインのために基板がチャンバ15内でその場加熱される。また、上記図36について述べられたようにキャップされたドープ誘電層1106から半導体材料1100へドーパントを拡散させるアニール電気炉アルミニウム急速熱処理リアクタ(好ましくはマルチチャンバ系内)へ移すためにウェハがチャンバ15から取り出される。図41に見られるように、キャップ層1110が上にあるドープ誘電層からのドーパントが半導体材料1100内に拡散されて超薄チャネルストップ領域1108を形成する。キャップ層1110はドープ誘電層1106から上向きのドーパントのガス抜きを最少にし、基板材料1100へ拡散するドーパントが多くなる。拡散ステップが行われた後、ゲートバルブ280は開放されると共にキャップ層1100とドープ誘電層1106の双方が適切なエッチング化学によるリモートマイクロ波プラズマ系55を用いてエッチングされて図38〜図39の残りの処理ステップのための図37に示される部分的に完全な浅いトレンチ分離を得る。ウェハがチャンバ15から移される場合、ゲートバルブ280は閉鎖された位置にある。次に、ゲートバルブ280を開放したプラズマ系55を作動させることによりチャンバ15のチャンバ清浄が行われる。
【0143】
P形基板のNMOSトランジスタ間の浅いトレンチ分離については、ドープ誘電膜1106がBSG膜とすることができる。N形基板(又はCMOS回路のNウェル)のPMOSトランジスタ間の浅いトレンチ分離については、ドープ誘電膜1106はPSG膜又はヒ素ドープケイ酸塩ガラス膜とすることができる。深さが約0.5μm のトレンチ1102を有する0.35μm 未満のような小さいデバイス形については、約0.1μm 接合深さのチャネルストップ領域1108が、本発明の好適実施例の厚さ約200オングストロームのBSG膜1106及び厚さ約200オングストロームのUSGキャッピングを用いて形成される。
【0144】
簡易集積回路900が単に説明のためのものであることは理解されなければならない。当業者は、マイクロプロセッサ、応用特定集積回路(ASICS)、メモリデバイス等の他の集積回路の製造に本方法を実施することができる。更に、本発明はPMOS、MNOS、CMOS、バイポーラ又はBiCMOSデバイスに適用される。超薄ソース/ドレーン接合部分及び超薄トレンチ分離用途が上述されているが、本発明は超薄ドープ領域が所望される他の用途にも用いられる。本発明は、また、PMD、IMD、不活性化及びダマスカス層を含む集積回路デバイスの種々の層として有用な非ドープ酸化物及びドープ酸化物を形成するのに用いられる。
【0145】
本発明の種々の実施例に従ってチャンバ15内のその場又は個々に操作する具体的なウェハ洗浄、堆積及びチャンバ洗浄プロセスを下記に述べる。
【0146】
B.堆積前の未変性酸化物の洗浄
本発明の個々の実施例によれば、超薄ドープ接合部分が所望されるシリコン基板又は領域上に存在することができる未変性酸化物が例えば、ドーパント拡散源又はPMD層として用いられるドープ誘電層の堆積前に洗浄される。その実施例においては、リモートマイクロ波プラズマ系55によってNF3 のような反応性クリーンガスで生成されたプラズマからのフッ素基を用いることにより未変性酸化物が洗浄される。1チャンバ内或いは同じマルチチャンバ系のチャンバ内のその場プロセスを用いるとその個々の実施例に従って生成された超薄接合部分の高品質が可能であると共に含水量が少なくかつ縮みの小さい誘電層を与えることが可能である。
【0147】
個々の実施例においては、チャンバ15は全洗浄プロセスについては堆積温度、約300℃〜650℃の範囲の温度、好ましくは約550℃〜600℃で維持される。チャンバ15は、その温度を維持しつつ約1torr〜2torrの範囲の圧力、好ましくは約1.5torrで維持される。ヒータ25は、ガス分配プレート20から15mm(約600mil)の位置に移動され、ゲートバルブ280は開放され、クリーンガスNF3 は約600sccmの速度でアプリケータ管292へ導入される。クリーンガスはアプリケータ管292へ導入され、圧力が約3秒間安定化された後にマイクロ波エネルギーがアプリケータ管292内のNF3 に加えられる。次に、CWモードで作動させるマグネトロン711から約500〜2500ワット、好ましくは約1000〜1500ワットのマイクロ波電力が約5〜10秒間加えられる。マイクロ波は、上記のようにマグネトロン711から導波管及び最適化系を介して伝搬されて窓を通ってアプリケータ管292に入る。UVランプ731はアプリケータ管292内の反応性ガスを点火してプラズマを生成し、イオン化は窓でアプリケータ管292に入るマイクロ波エネルギーによって維持される。アプリケータ管292で生成された上流プラズマからの遊離基は入口290に流れ込むように出力される。遊離基は、封入アセンブリ200内の裏打ちされた通路をクリーンマニホールド270の導管47へ開放ゲートバルブ280を介して及び環状外部通路297へ流れてチャンバ15を入りかつウェハから未変性酸化物を洗浄する。次に、使用したクリーンガス反応成分及び酸化物残留物はチャンバ15から開放スロットルバルブを介して排気される。本説明は全容量が約6リットルのチャンバ15についてのものである。フロー値が他の実施例において用いられるチャンバのサイズ及び種類によって異なってよいことは認識される。
【0148】
個々の実施例においては、約1torr〜2torrより低い圧力で維持されたチャンバ15において、フッ素化学種の急速な除去が生じ、悪い洗浄結果となる。約1torr〜2torrより高い圧力では、衝突損失並びに過熱を引き起こすこと及びアプリケータ管292に対する損傷のために再結合を起こすことがある。チャンバ15は、フッ素化学種が急速に除去されず、再結合が起こらずかつアプリケータ管292が壊れない圧力レベルで維持されなければならない。ある実施例においては、マイクロ波電力が印加される場合、チャンバ圧力はアプリケータ管292の物理的大きさ及び材料によって制限される。個々の実施例においては、マイクロ波電力が印加される場合、アプリケータ管292の圧力は最適チャンバ圧力の約3倍となることができる。異なるアプリケータ管が異なる流速で用いられる場合、最適チャンバ圧力は変動する。マイクロ波が加えられることなくアプリケータ管292が用いられる場合、いかなる圧力も用いられることは当然のことである。
【0149】
プラズマがウェハの上流に生成されるので、プラズマ中の反応性フッ素基のみがウェハに達することができて未変性酸化物をウェハから洗浄する。上記のように、洗浄ステップは厚さ約90オングストロームの典型的な未変性酸化物については約5秒〜10秒間行われることが好ましい。上記洗浄ステップは約2μm /分の速度で未変性酸化物をエッチングする。洗浄ステップの全時間がウェハから洗浄される個々の酸化物の厚さに左右されることは当然のことである。本発明のリモートマイクロ波プラズマ系55において、未変性酸化物又は他の酸化物がエッチングされ、ウェハに対するプラズマ損傷が回避される。
【0150】
上記ウェハ洗浄プロセス条件は本実施例の具体例であるが、他の条件も用いられる。上記説明は、下記の種々の堆積の説明のように単に一例として200mmウェハに適合した Applied Materialsから市販されているGiga Fill(登録商標)CenturaシステムにおいてNF3 を述べている。しかしながら、NF3 とN2 、NF3 とアルゴン、NF3 とO2 、希釈F2 、CF4 、C2 F6 、C3 F8 、SF6又はCl2 等の他のフッ素含有又は塩素含有ガスも同様に用いられる。
【0151】
C.具体的なケイ酸塩ガラス堆積
本発明の方法によれば、ドーパント源として用いられる誘電層、PMD層、IMD層、酸化物充填層、キャッピング層又は他の層が数種の異なるプロセスのいずれかを用いて形成される。BSG膜、PSG膜、BPSG膜及びUSG膜のプロセスレシピが本発明に用いられるドープ及び非ドープ誘電層の例として示される。種々の誘電膜の下記の堆積で、ゲートバルブ280は閉じられたままである(他の実施例のリモートプラズマ系55が堆積に用いられないかぎり)。具体的なプロセスは、CVD装置10で行われ、好適実施例では密閉された枚葉式ウェハSACVD系である。
【0152】
CVD装置10は、また、BPSG、ヒ素ドープケイ酸塩ガラス(AsSG)又は他の誘電層も同様に堆積する異なる及び/又は追加の入力ガス供給源と共に用いられる。当業者はプラズマ増強CVD(PECVD)のような他のプロセスレシピ及び他の反応系が誘電膜を堆積するために用いられることを理解することは当然のことである。ホウ素源の例としては、TEB、ホウ酸トリメチル(TMB)、ジボラン(B2 H6)及び他の類似化合物が挙げられる。リン源の例としては、リン酸トリエチル(TEPO)、亜リン酸トリエチル(TEPi ) 、リン酸トリメチル(TMOP)、亜リン酸トリメチル(TMPi ) 及び他の類似化合物が挙げられる。BSG又はPSG膜のほかに、ヒ素ドープ酸化物又はケイ酸ヒ素ガラス(AsSG)も、例えば、ヒ素化合物との液体源又は、具体例としてアルゴンで希釈されたヒ素化合物を用いて堆積される。シリコン源の例としてはシラン(SiH4)、TEOS又は類似シリコン源が挙げられ、酸素としてはO2 、O3 、マイクロ波生成原子酸素(O)等が挙げられる。下記の説明では、液体源の流速はミリグラム/分 (mgm)で示され、ガス流速は標準立方センチメートル/分(sccm)で示される。その説明では、液体源は精密液体注入系を用いて気化され、液体流速を約1.923倍することにより液体流速 mgmをガス流速sccmに変換されるので流動率が算出される。好ましくは、安定なドープ誘電膜がSACVDプロセスでTEOS/O3 化学を用いて形成され、ある実施例においては損傷のない均一にドープされた超薄接合部分を形成する。他の実施例においては、低含水量のドープ誘電膜がSACVDプロセスによるTEOS/O3 化学を用いて形成されてアスペクト比ギャップフィルの高い、縮みの少ない、金属混入の低い及びフッ素取込みの小さい平坦化絶縁層を得る。
【0153】
1.具体的なBSG膜堆積
単に一例として、下記に述べられるBSG膜堆積はドーパント拡散源として用いられるドープ誘電層として有用であるBSG膜を形成することができる。レシピがBSG層の個々の使用及び所望の品質に基づいて異なってよいことは当然のことである。ドーパント拡散源として用いられるドープ誘電層として形成されるPSG層は、下記のレシピを用いかつドーパント源を用いられるガスに置き換えて同様に形成される。
【0154】
具体的なBSGバルク膜は、ウェハ及びヒータ25を約200℃〜650℃の温度、好ましくは約400℃〜650℃の温度、最も好ましくは約500℃に加熱し、この温度範囲を堆積の間中維持することにより堆積する。閉鎖したゲートバルブ280と共に、チャンバ15は約10torr〜760torrの範囲の圧力で維持される。好ましくは、圧力は約400torr〜600torrの範囲内で維持され、最も好ましくは約600torrで維持される。ヒータ25は、ガス分配プレート20から約3mm〜8mm(約150〜300mil)に配置され、プレート20から約6mm(約250mil)に配置されることが好ましい。
【0155】
ホウ素源としてTEB、シリコン源としてTEOS及び酸素のガス源としてO3 を含むプロセスガスが生成される。液体であるTEB及びTEOS源は気化された後に、ヘリウムのような不活性キャリヤガスと混合される。液体は、ガス混合系93内の液体注入系によっていずれも気化され、導入した反応成分液体の容量の制御が大きい。TEBの流速は所望のドーパント濃度に基づいて約50〜550 mgmであり、TEOS流速は約300 mgm〜1000 mgm、好ましくは約500 mgmである。次に、気化したTEOS及びTEBガスは、3000sccm〜6000sccmの速度、好ましくは約4000sccmの速度で流れているヘリウムガスキャリヤと混合される。O3 の形の酸素は、約3000sccm〜6000sccmの流速で導入され、好ましくは約5000sccmの流速で導入される。オゾン混合物は、約5重量パーセント(wt %)〜16wt%の酸素を含有する。ガス混合物は、分配プレート20からチャンバ15へ導入されて反応性ガスを基板表面へ供給し、熱誘導化学反応が起こって所望の膜を生成する。
【0156】
上記条件は、500オングストローム/分〜1000オングストローム/分の速度で堆積したBSG膜を得ることができる。堆積時間を制御することにより、厚さが約50オングストローム〜500オングストローム、好ましくは約100オングストローム〜300オングストロームのBSGが上記のプロセス条件で約10秒〜60秒で形成される。従って、堆積したBSG膜の厚さは容易に制御される。好ましくは、得られたBSG膜範囲のホウ素のwt%は約2wt%〜8wt%の範囲であり、好ましくは約6wt%である。
【0157】
2.具体的なPSG膜堆積
単に一例として、下記のPSG膜堆積レシピは、PMD層として有用であるPSG膜を形成することができる。レシピがPSG層の個々の使用及び所望の品質に基づいて異なってよいことは当然のことである。PSG膜ののほかに他のドープ誘電層は、下記のレシピと同様のレシピを用いかつ使用ドーパント源ガスに置き換えることによりPMD層として用いられる。
【0158】
具体的なPSGバルク膜は、ウェハ及びヒータ25を約200℃〜650℃の温度、好ましくは約400℃〜650℃の温度、最も好ましくは約500℃に加熱し、この温度範囲を堆積の間中維持することにより堆積する。閉鎖したゲートバルブ280と共に、チャンバ15は約10torr〜760torrの範囲の圧力で維持される。好ましくは、圧力は約400torr〜600torrの範囲内で維持され、最も好ましくは約450torrで維持される。ヒータ25は、ガス分配プレート20から約3mm〜8mm(約150〜300mil)に配置され、プレート20から約6mm(約250mil)に配置されることが好ましい。
【0159】
リン源としてTEPO、シリコン源としてTEOS及び酸素のガス源としてO3 を含むプロセスガスが生成される。液体であるTEB及びTEOS源は液体注入系で気化された後に、ヘリウムのような不活性キャリヤガスと混合される。TEPOの流速は所望のドーパント濃度に基づいて約10〜100 mgmであり、TEOS流速は約500 mgm〜1500 mgm、好ましくは約1000 mgmである。次に、気化したTEOS及びTEBガスは、2000sccm〜6000sccmの速度、好ましくは約4000sccmの速度で流れているヘリウムガスキャリヤと混合される。O3 の形の酸素(約5〜16wt%の酸素を有する)は、約2500sccm〜6000sccmの流速で導入され、好ましくは約4000sccmの流速で導入される。ガス混合物は、ガス分配プレート20からチャンバ15へ導入されて反応性ガスを基板表面へ供給し、熱誘導化学反応が起こって所望の膜を生成する。
【0160】
上記条件により約1780オングストローム/分の速度で堆積されたPSG膜が得られる。堆積時間を制御することにより、得られたPSG膜中のリンのwt%は約2wt%〜8wt%の範囲であり、好ましくは約4wt%である。
【0161】
3.具体的なBPSG膜堆積
単に一例として、下記に述べられるBPSG膜堆積はPMD層として有用であるBPSG膜を形成することができる。レシピがBPSG層の個々の使用及び所望の品質に基づいて異なってよいことは当然のことである。
【0162】
具体的なBPSGバルク膜は、ウェハ及びヒータ25を約100℃〜800℃の温度、好ましくは約400℃〜650℃の温度、最も好ましくは約480℃に加熱し、この温度範囲を堆積の間中維持することにより堆積する。閉鎖したゲートバルブ280と共に、チャンバ15は約10torr〜760torrの範囲の圧力で維持される。好ましくは、圧力は約150torr〜600torrの範囲内で維持され、最も好ましくは約200torrで維持される。ヒータ25は、ガス分配プレート20から約3mm〜10mm(約150〜400mil)に配置され、プレート20から約8mm(約300mil)に配置されることが好ましい。
【0163】
ホウ素源としてTEB、リン源としてTEPO、シリコン源としてTEOS及び酸素のガス源としてO3 を含むプロセスガスが生成される。液体であるTEB、TEPO及びTEOS源は液体注入系で気化された後に、ヘリウムのような不活性キャリヤガスと混合される。ホウ素、リン、シリコン及び酸素の他の供給源も用いられることが認識されることは当然のことである。TEBの流速は、約150 mgm〜200 mgmであることが好ましい。TEPOの流速は、所望のドーパント濃度に基づいて約10〜100 mgm、好ましくは約24 mgmであり、TEOS流速は約300 mgm〜700 mgmである。次に、気化したTEO、TEB及びTEOSガスは、2000sccm〜8000sccmの速度、好ましくは約6000sccmの速度で流れているヘリウムガスキャリヤと混合される。O3 の形の酸素は、約2000sccm〜5000sccmの流速で導入され、好ましくは約4000sccmの流速で導入される。オゾン混合物は、約5重量パーセント(wt %)〜16wt%の酸素を含有する。ガス混合物は、分配プレート20からチャンバ15へ導入されて反応性ガスを基板表面へ供給し、熱誘導化学反応が起こって所望の膜を生成する。
【0164】
上記条件により、3500オングストローム/分〜5500オングストローム/分の速度で堆積したBPSG膜が得られる。堆積時間を制御することにより、堆積したBPSG膜の厚さは容易に制御される。得られたBPSG膜範囲のホウ素の濃度レベルは2wt%〜6wt%であり、リンの濃度レベルは2wt%〜9wt%である。
【0165】
上記BSG、PSG、BPSGプロセス及び下記のUSGプロセスにおけるパラメーターは、特許請求の範囲を制限するものとしてみなされるべきではない。例えば、本発明は、ヒ素のような他のドーパントでドープされた酸化シリコン膜に適用できる。他の例として、上記のフロー値は200mmウェハに準備されたチャンバに適用するが、使用チャンバの種類又はサイズによって異なってもよい。当業者は、同様の膜を製造する他の化学、チャンバパラメーター及び条件を用いることもできる。
【0166】
膜安定性は、半導体材料へ拡散するドープ誘電膜中のドーパント原子の利用可能性の要因であることができると考えられる。膜安定性を改善しかつドープ誘電膜から半導体材料へのドーパント拡散を制御する能力を改善する数種の方法が探究された。記載された各方法は、上記の具体的な堆積レシピの1種のようなレシピを用いて層が堆積した後にドープ誘電層について行われる。更に、下記の方法はBSG(又はPSG)の処理に関するが、その方法はドープ酸化シリコン膜にも同様に適用できる。
【0167】
4.具体的なUSG膜堆積
a.酸化物充填材料又は絶縁層
本発明の実施態様によれば、非ドープケイ酸塩ガラス(USG)層は、例えば、浅いトレンチ分離に用いられる浅いトレンチを充填する酸化物充填材料として使用するためにCVD装置10で堆積される。KSG膜がIMD層、絶縁層又は他の層として用いられることは当然のことである。下記に述べられる具体的なUSGレシピは、最少の縮みで800℃より高い温度のアニーリングを残存することができる非常に密度の高い及び均一な膜を与える。高アスペクト比のステップカバレージのギャップフィル性能の優れているUSG膜は、USGのボイドを開けることなく非常に均一なエッチング速度で数回のエッチングプロセスを行わせることができる。USG膜は、また、USGにボイド又はしわを開けることなく化学機械的ポリシング(CMP)平坦化を行わせることができる。
【0168】
ウェハ及びヒータ25は、約200℃〜650℃の温度、好ましくは約550℃〜650℃の温度に加熱され、この温度範囲を堆積の間中維持される。ヒータ25は、ガス分配プレート20から約6mm〜10mm(約250〜400mil)に配置され、約9mm(約350mil)が好ましい。閉鎖したゲートバルブ280と共に、チャンバ15の圧力は約10torr〜760torr、好ましくは約600torrのレベルで維持される。
【0169】
酸素及びシリコン源を含むプロセスガスは、堆積チャンバへ導入される。好適実施例においては、シリコン源はTEOSであり、酸素源はO3 であるが、当業者にはSiH4 、TMCT又は類似の供給源のような追加のシリコン供給源及びO2 、H2 O、N2 O、マイクロ波生成原子酸素及び類似の供給源も用いられることが認識される。TEOSがシリコン源として用いられる場合、ヘリウム又はN2 のようなキャリヤガスが用いられる。TEOSに対するO3 の比は、約2〜17:1の範囲であるが、好ましくは約2〜6:1である。
【0170】
ガス反応成分の最適全流量は、堆積チャンバの形及び設計に応じて異なる。ガスフローも堆積速度を制御するために変動させることができる。典型的には、TEOSは約500 mgm〜2500 mgmの流速で導入され、約2000 mgmの流速で導入されることが好ましい。O3 (約5wt%〜16wt%の酸素)は約2000sccm〜10000sccm、好ましくは約5000sccmの流速で導入される。ヘリウム又は窒素は、2000sccm〜10000sccmの流速、好ましくは約7000sccmの流速で導入される。通常、ガスの堆積チャンバへのガスの全流量は、約5000sccm〜20000sccm、好ましくは約15000sccmで変動する。上記の条件下、約1450オングストローム/分以上の堆積速度が得られる。上記フロー値は200mmウェハチャンバのためのものであり、使用チャンバのサイズ及びウェハのサイズによって異なる。
【0171】
b.キャッピング層
本発明の他の実施例によれば、堆積BSG(又はPSG)層は別の薄いUSG層でキャップされる。USGキャッピング層は水分を吸収しにくい安定な膜である。従って、USGキャッピング層は、周囲にある水分がBSG(又はPSG)膜へ吸収されることから防止するBSG(又はPSG)層の上に疎水面を設ける。更に、USGキャッピング層は相対的に密度の高い膜であり、ホウ素(又はリン)の発生を妨げる。もって、USGキャッピング層は半導体材料へ下向きのドープ誘電層中のより多くのドーパント原子拡散の制御を容易にする。USGキャッピング層をもたないと、ドーパント原子は後続のアニール又は急速熱処理中に半導体材料から離れて上向きに拡散することができるものがある。従って、キャッピング層の使用は拡散及び接合の深さの制御に寄与する。USG層はBSG(又はPSG)層と別の処理チャンバで堆積されるが、BSG(又はPSG)層の堆積が生じるチャンバ15においてその場プロセスとして行われることが好ましい。キャッピング層を形成する多くのプロセスが可能であることは当然のことである。
【0172】
USG層を形成する次のプロセスを一例といて述べる。非ドープケイ酸塩ガラス層は下記のようにキャッピング層として用いられるばかりでなく下にあるドープ誘電層を使用することなく絶縁誘電層として用いられる。
【0173】
ウェハ及びヒータ25は、約200℃〜600℃、好ましくは約500℃の範囲の温度に加熱し、この温度範囲を堆積の間中維持される。ヒータ25は、ガス分配プレート20から約6mm〜9mm(約250〜350mil)に配置され、約8mm(約300mil)が好ましい。閉鎖したゲートバルブ280と共に、チャンバ15の圧力は約50torr〜760torr、好ましくは約200torr〜600torr、最も好ましくは約400torrのレベルで維持される。
【0174】
酸素及びシリコン源を含むプロセスガスは、堆積チャンバへ導入される。好適実施例においては、シリコン源はTEOSであり、酸素源はO3 であるが、当業者にはSiH4 、シランのような追加のシリコン供給源及びO2 、H2 O、N2O、及び類似の供給源及びその混合物のような他の酸素源も用いられることが認識される。TEOSがシリコン源として用いられる場合、ヘリウム又は窒素のようなキャリヤガスが用いられる。TEOSに対するO3 の比は、約2〜17:1の範囲であるが、好ましくは約2〜6:1である。
【0175】
ガス反応成分の最適全流量は、堆積チャンバの形及び設計に応じて異なる。ガスフローも堆積速度を制御するために変動させることができる。典型的には、TEOSは約500 mgm〜2500 mgmの流速で導入され、約1000 mgm〜1250 mgmの流速で導入されることが好ましい。O3 (約5wt%〜16wt%の酸素)は約2000sccm〜10000sccm、好ましくは約7000sccmの流速で導入される。ヘリウム又は窒素は、2000sccm〜6000sccmの流速、好ましくは約4000sccmの流速で導入される。通常、ガスの堆積チャンバへのガスの全流量は、約5000sccm〜20000sccm、好ましくは約15000sccmで変動する。上記の条件下、約500オングストローム/分〜1500オングストローム/分の堆積速度が得られる。上記フロー値は200mmウェハチャンバのためのものであり、使用チャンバのサイズ及びウェハのサイズによって異なる。
【0176】
USGキャッピング層を形成する次のプロセスを例として述べる。好適被覆のUSGキャップ層は、約50オングストローム〜500オングストローム、好ましくは約100オングストローム〜300オングストロームである。しかしながら、当業者は、個々の被覆及びデバイス形のサイズによって異なる厚さのキャッピング層が用いられることを理解する。堆積膜が用いられる被覆及びギャップサイズによっては、必須ではないがキャッピング層とドープ誘電層が相対的に薄いことが好ましい。薄層については、堆積時間及びエッチング時間は厚い層に比べて減少する。USGキャッピング層を堆積し、USG層とドープ誘電層の双方がエッチングされる。他の類似した安定な酸化物膜のような異なるキャッピング層がドープ誘電層をキャップするのに用いられることも認識される。更に、USGキャップ層が、SACVDの代わりにAPCVD、PECVD又はLPCVDによって堆積したドープ誘電膜上に形成される。上記のように、多段プロセスをその場で行うチャンバ15の使用が最も好ましく、マルチチャンバ系内の種々のチャンバ間の基板の移動中に真空が破壊されないマルチチャンバの使用が好ましい。
【0177】
本発明の他の実施例によれば、ドープ層の堆積の完了直前にホウ素源又はリン源を止めることによりドープ誘電膜上にその場USG又は類似のキャップ層が形成される。この実施例においては、最初にBSG(又はPSG)のようなドープ誘電層が上記のように形成される。次に、ドーパント源のチャンバ15へのフローが停止され、熱反応が更に1秒〜30秒間続く。好ましくは、熱反応が約3秒〜10秒続く。この実施例においては、ドーパント源は供給源の供給ラインのバルブを閉鎖することにより停止されるので熱反応は少なくとも5秒間ドーパントなしで維持される。
【0178】
ドーパントガス源を停止することは、ガスがバルブの点からガス混合系93へ、次にプレート20のフェースプレートを介して進むのにかかる時間を調整しなければならないことは当然のことである。たいていのCVDマシンでは、ガスが注入バルブから堆積チャンバへ流動するのに数秒が必要であるので、その遅れを許容するのに十分先立って閉鎖されなければならない。従って、TEBがホウ素源である場合、BSG層の堆積完了数秒前にTEB供給ラインのバルブを閉じると上記の水分吸収及びガス抜き現象の発生を防止する薄いUSGキャップが得られる。
【0179】
ドープ誘電層に形成されたUSGキャップのその場堆積は、安定性の改善及び水分吸収に対する不活性態をもたらし、超薄ドープ領域を形成する拡散の改良された制御に寄与する。
【0180】
USGキャッピング層の使用の代わりに又は加えて、ドープ誘電層の水分吸収を減少させかつ安定性を改善するためにドープ誘電層のプラズマ処理が用いられる。RFプラズマ系を備えた実施例においては、デバイスに対するプラズマ損傷が有意に関係しないプラズマ緻密化処理が用いられる。ある実施例においては、約500℃より高い温度での堆積が密度の高い誘電膜を得るのに十分な温度である。プラズマ処理ドープ誘電層の安定性の改善は、超薄ドープ領域を形成する拡散の制御改良に寄与する。チャンバ15は、プラズマ緻密化処理中に約1torr〜5torrに維持される。ゲートバルブ280を閉じて、窒素(N2)、アンモニア(NH3)又はアルゴンのような反応性ガスを用いて生成されたプラズマがチャンバ15に導入される。用いられるプラズマ処理の単に一例として、N2 のような反応性ガスがガス混合系93に1000sccmでヘリウムと混合された約1000sccmの速度で導入される。RFプラズマ系を、例えば、約350メガヘルツ (MHz)のRF周波数の約450ワットの電力レベルで作動させてチャンバ15内にプラズマを生成させる。プラズマはドープ誘電層の表面に保護膜を被せるように働き、その表面上に窒化物形成することがある。従って、プラズマ処理はドープ誘電膜を緻密化する。水分吸収に耐性のある緻密化ドープ誘電膜中のより多くのドーパントは、超薄接合部分を形成するのに利用できる。
【0181】
D.その場堆積及び/又はリフロー用加熱プロセス
CVD装置10は、2段堆積/リフロープロセスの同じウェハについて堆積プロセス後のその場加熱ステップ、又は1段堆積/リフロープロセスの同じウェハについて堆積プロセスと同時のその場加熱ステップを可能にする高温性能を有する。高アスペクト比トランジスタ又は分離トレンチ上に形成されたPMD層として使用するために、PSGのような非ドープ又はドープ誘電膜にはたいてい平坦化が必要であり、集積回路デバイスを形成するのに重要である。ドープ誘電層の平坦化は、層を高温でリフローすることにより行われる。リフローを行うことは、堆積膜、特にウェハの高アスペクト比の特徴のギャップフィルを改善することに寄与する。他の目的及び被覆の加熱ステップもCVD装置10で行われることは当然のことである。下記の加熱手順は、リフローに用いられる具体的な加熱ステップとして単に役立つものであるが、被覆でのドーパントドライブイン又は他の目的の他の加熱ステップも行われる。
【0182】
個々の実施例によって、2段堆積/リフロープロセスを下に述べる。ゲートバルブ280が閉鎖されたチャンバ15は約200torr〜760torrの圧力で維持される。ガス分配プレート20から約5mm〜10mm(約200〜400mil)、好ましくは約8mm〜9mm(約330 mil〜350mil)の処理位置のウェハ及びヒータ25は、堆積処理のためにチャンバ15内で約500℃〜800℃、好ましくは約550℃〜650℃に加熱される。反応性ガスフローを停止すると、ウェハは個々の実施例に従って誘電層をリフローするためにウェハは約750℃〜950℃、好ましくは約750℃〜850℃で約5分〜30分、好ましくは約15分〜20分加熱される。リフロー温度は、2段プロセスの堆積温度と同じか又はそれより高くすることができる。更に、多段堆積/リフロープロセスについては、リフロー温度に傾斜される前に堆積温度から中間温度(又は中間温度群)へ傾斜される。加熱ステップの時間及び温度が、行われる個々の被覆及び形成される個々の層によって異なってよいことは当然のことである。
【0183】
他の個々の実施例による1段堆積/リフロープロセスを述べる。ゲートバルブ280が閉鎖されたチャンバ15は約200torr〜760torrの圧力で維持される。分配プレート20から約5mm〜10mm(約200〜400mil)、好ましくは約8mm〜9mm(約330 mil〜350mil)の処理位置のウェハ及びヒータ25は、堆積及びリフロー同時処理が生じるチャンバ15内で約750℃〜950℃、好ましくは約750℃〜850℃の十分高い温度に加熱される。堆積/リフローステップの時間及び温度が、行われる個々の層によって異なってよいことは当然のことである。
【0184】
上記のように、ウェハをその場マルチプロセスの同じチャンバ15内に保つと水分が吸収される環境へのウェハの曝露、及び不純物による汚染を防止する。更に、約550℃より高い温度で誘電膜を堆積すると加熱した場合にボイドを形成しない密度の高い及び高品質の膜を得ることができる。堆積膜のその温度での堆積は縮みも減少させる。
【0185】
E.チャンバ洗浄/シーズニング/ゲッタリングプロセス
上記の具体的なステップのような処理ステップ又は多段処理ステップがチャンバ15内のウェハに行われた後、必要とされる後続のプロセスステップのチャンバ15からウェハが移される。真空ロックドアがヒータ25上にウェハをもたない閉鎖されたシーリングチャンバ15である場合、チャンバ15の下の部分、ヒータ25の底及び他のチャンバコンポーネントの裏打ちのないチャンバ壁を含むチャンバ15の部分から望まれていない酸化物及び/又は窒化物のような堆積プロセス残留物を除去するためにチャンバ15はチャンバ洗浄プロセスを受けることができる。信頼できるウェハ間反復性を行わせるために、チャンバ清浄は堆積プロセス中に蓄積した残留物を除去する。その残留物は、フッ素基を用いることによるチャンバ成分から、例えば、リモートマイクロ波プラズマ系55によって反応性クリーンガスで形成されたプラズマから洗浄される。F原子との高反応性のために、チャンバ15からポンプで送られるSiF4 ガス生成物の生成により残留酸化シリコンが除去される。下記のチャンバ洗浄手順は、全てのウェハ又は数枚の全てのウェハの処理後に行われる。
【0186】
本発明の好適実施例においては、NF3 はフッ素基を生成するクリーンガスとして用いられる。本発明は、NF3 を分解しかつチャンバ15へのF原子のフローを生じるようにリモートマイクロ波プラズマ系55を使用することが好ましい。本発明のリモートマイクロ波プラズマ系55と共にフッ素化学を用いると、その場プラズマプロセスと比べて運動エネルギーが小さくかつ引き続いて堆積した膜中に物理的スパッタリング効果又は荷電化学種の生成がないことが有利である。更に、地球温暖化作用を減少させるのに要求される環境問題と一致して、NF3 の使用は持続性のペルフルオロカーボン(PFC)生成物を発生させない。
【0187】
最善の清浄効率を行わせるために、Fフラックス及びその洗浄均一性が最適化されなければならない。あるNF3 ガスフローでは閾のマイクロ波電力設定がある、それ以上のF原子の生成は再結合によって補償される。図42は、本発明の個々の実施例による、過度のハードウェアコストをもたずに最高清浄速度を得るNF3 フローとマイクロ波飽和電力間の関係を示すグラフである。図42に示されるように、マイクロ波飽和電力は、個々の実施例によれば約500sccm〜950sccmの対応するNF3 に対して約1300ワット〜2100ワットの範囲である。下記の好適実施例については、マイクロ波飽和電力は約950sccmのNF3フローに対して約2100ワットである。清浄均一性はチャンバ圧力及びヒータ間隔によって制御され、双方がガス化学種とポンププロファイルの平均自由行程を調整することができる。前に述べたように、アプリケータ管292の上記実施例によって許容される最高圧力は約2torrであるので、清浄均一性を最適化するために間隔をおいた。アプリケータ管292の異なる実施例を用いる他の実施例においては、圧力及び間隔双方が清浄均一性の最適化に用いられる。
【0188】
具体的なチャンバ洗浄プロセスにおいては、チャンバ15は、好適実施例においては洗浄手順の間中約300℃〜650℃、更に好ましくは約550℃〜600℃の範囲の温度に維持される。最も好ましくは、チャンバ15は具体的なプロセスがチャンバ15で行われる温度と同じ温度で維持される。例えば、上記の例としてPSG膜を600℃で堆積するために用いられたチャンバ内では600℃でチャンバ清浄プロセスが行われる。スロットルバルブを開けゲートバルブ280を閉めたヒータ25はガス分配プレート20から約3mm〜6mm (約100〜250 mil〜250mil)、好ましくは4mm(約150mil)に配置されるのでガス分配プレート20が加熱される。ガス分配プレート20を加熱すると速い清浄が生じる。この加熱ステップは、約3秒〜10秒、好ましくは約5秒間行われる。
【0189】
次に、清浄が行われる前に圧力及びクリーンガスフローが最適に安定化される。予備清浄安定化ステップ中、チャンバ15は、清浄ステップ中も用いられる圧力レベルで最適に維持されなければならず、その場合にはフッ素化学種は急速に除去されず再結合も生じない。予備清浄安定化ステップでは、チャンバ15は約1torr〜2torr、好ましくは約1.5torrの圧力になり、ゲートバルブ280は開放している。約1torr〜2torrより低い圧力に維持されたチャンバ15においては、急速なフッ素化学種の除去が生じ、チャンバ洗浄結果が悪くなる。約1torr〜2torrより高いチャンバ圧力においては、腐食損失並びにアプリケータ管292に対する過熱及び損傷のために再結合が起こることがある。ヒータ25は、ガス分配プレート20から約11mm〜18mm (約450 mil〜700mil)、好ましくは約15mm(約600mil)の距離まで移される。クリーンガス、NF3 は、約600〜1100sccm、好ましくは約950sccmの速度でアプリケータ管292へ導入される。この予備清浄安定化ステップは、マイクロ波電力がチャンバ清浄ステップ中に印加される前に約2秒〜6秒、好ましくは約3秒続く。
【0190】
チャンバ清浄ステップにおいては、チャンバ15内の予備清浄安定化条件は約1torr〜2torrの範囲の圧力、好ましくは約2torrで維持される。洗浄手順が行われる場合、約500ワット〜2500ワットのマイクロ波電力がアプリケータ管292に印加される。好ましくは、マグネトロン711は、約2.54 GHzマイクロ波を生じ、約950sccmの好ましいクリーンガスフローに対して約2100ワットのCWモードで操作される。マイクロ波は、上記のようにマグネトロン711から導波管及び最適化系を介してアプリケータ管292に窓を通って伝搬される。UVランプ731は、アプリケータ管292の反応性ガスを点火してプラズマを形成し、イオン化は窓でアプリケータ管292に入るマイクロ波エネルギーによって維持される。
【0191】
チャンバ清浄ステップ中、マイクロ波が印加されるアプリケータ管292で生成されたプラズマからのフッ素基は、次に、開放ゲートバルブ280を介してチャンバ15へ流れ込み望まれていない酸化残留物を表面を洗浄する。プラズマがチャンバ15の上流に生成されるので、プラズマ中の反応性フッ素基のみチャンバ15の残留物蓄積部分に到達及び除去することができる。従って、チャンバ15のさまざまな部分について、チャンバ15に対する直接のプラズマ損傷を最少にしつつ堆積プロセス残留物が洗浄される。チャンバ清浄は、約30秒〜約10分、好ましくは約60秒〜200秒、最も好ましくは約160秒続く。チャンバ清浄時間がチャンバ15内の酸化残留物の厚さ及び種類によって異なってよいことは当然のことである。上記のように、他の実施例で用いられるチャンバのサイズ及び種類並びにアプリケータ管の寸法及び材料によって異なってよいことは認識される。上記清浄プロセスは、ブロッカー及びガス分配プレート双方の後ろの裏面の望まれていない残留堆積物を減少させる。
【0192】
チャンバ清浄後、更に、後清浄ステップ中、チャンバ15は、上記堆積及び清浄プロセスについて述べた上記温度で維持されることが好ましい。チャンバ清浄ステップの終わりに、クリーンガスフローが停止し、マイクロ波電力はもはや供給されない。チャンバ15は、F残留原子のほとんどをポンプ除去する。この後清浄排気ステップ中、ヒータ25はガス分配プレート20から約38mm〜59mm (約1500 mil〜2200mil)、好ましくは約51mm (約2000mil)の位置に移され、スロットルバルブは開放され、ゲートバルブ280は開放されたままである。排気ステップは約5秒〜20秒、好ましくは約10秒続き、チャンバ15から排気されるクリーンガス反応成分及び残留物の量に左右される。実質的に全ての堆積プロセス残留物がチャンバ15から除去されるまで後清浄排気の停止時間を求めるのに援助するために清浄終点検出系も用いられる。
【0193】
フッ素系チャンバ洗浄手順後、次の堆積プロセスが起こるときにウェハが位置する場所に近いチャンバ壁の表面に活性フッ素化学種の吸着がある。次の堆積プロセスでは、フッ素が相互作用するか又は堆積膜に取込まれ、表面の膜感受性を引き起こす。この膜感受性は粗い表面として現れ、高集積化デバイスで要求される許容量で問題となり、デバイスの故障を引き起こす。本発明は、下記の数種の方法でチャンバ壁の表面から吸着フッ素をゲッタする能力を提供する。
【0194】
後清浄排気ステップ後、全ての遊離F化学種を化学反応或いは捕捉によりそのFをチャンバ壁へ酸化シリコン(SiO2)堆積によって再結合するためにシーズニングが行われる。後清浄排気及びシーズニングステップは、粒子の形成及び後続の堆積膜内のF含量の双方を減少させるために行われる。
【0195】
最適には、後清浄排気ステップとシーズニングステップ間に、チャンバ圧力とガスフローを安定化しかつヒータ25をシーズニングステップの位置に移動させる他の安定化ステップがある。この安定化ステップでは、ゲートバルブ280は閉鎖され、チャンバ15は約20torr〜70torr、好ましくは50torrの圧力で維持される。ヒータ25は、ガス分配プレート20から約8mm〜14mm (約300 mil〜550mil)、好ましくは約13mm (約500mil)の位置に移動される。個々の実施例においては、現在記載されているシーズニングステップはキャリヤガスとしてヘリウムと共にオゾン及びTEOSを用いて後続の酸化シリコン堆積のためにチャンバ15をシーズニングする。所望の酸化シリコン堆積の種類によって他のガスがシーズニング及びプレシーズニング安定化ステップに用いられることは当然のことである。プレシーズニング安定化ステップでは、約200 mgm〜400 mgm、好ましくは約300 mgmの流速の液体TEOSが気化され、約4000sccm〜8000sccm、好ましくは約6000sccmの速度でチャンバ15へ流れているヘリウムキャリヤガスと輸送される。ガスフローは、堆積に用いられる通常の入口又はマイクロ波の印加されることなくアプリケータ管292を介してチャンバ15へ導入される。この安定化ステップは約5秒〜25秒、好ましくは約15秒続き、その後にシーズニング酸化物をチャンバ15に堆積し始める酸素源の導入からシーズニングステップが始まる。熱シーズニングステップでは、オゾンは個々の使用堆積プロセスに用いられる流速(例えば、上記550℃の実験USG堆積プロセスについては約5000sccm又は上記600℃の実験PSG堆積プロセスについては約4000sccm)で約10秒〜20秒、好ましくは約15秒間導入されてチャンバ15内の表面上に酸化シリコンの薄層を堆積する(例えば、実験USG堆積プロセスの酸素は約12.5wt%であり、実験PSG堆積プロセスの酸素は約8wt%である)。シーズニングステップ中、オゾンフローは、オゾンフローと濃度の変動を最小にするために堆積プロセスと一致することが最適である。もって、シーズニングチャンバ15は、チャンバ15の表面上に吸着されたフッ素原子を捕捉することができる。
【0196】
上記熱シーズニングステップ後、最終安定化及び排気ステップが行われる。最適には、その最終ステップは上記堆積温度で行われる。最終安定化ステップでは、スロットルバルブが定期的に開放されてチャンバ圧力を大気圧まで調整することを可能にし、ゲートバルブ280は閉鎖されたままである。ヒータ25は、ガス分配プレート20から約20mm〜25mm (約800 mil〜1000mil)、好ましくは約25mm (約999mil)の位置まで移動する。TEOS流量を停止し、ヘリウム及びオゾンフローはシーズニングステップと同じままである。最終安定化ステップは、最終排気ステップが始まる前に約5秒〜20秒、好ましくは約10秒間行われる。最終排気ステップでは、ゲートバルブ280は閉じられたままであり、ヒータ25は移動しない。全てのガスフローが停止され、スロットルバルブが開放される。最終排気ステップは、ここでは次の堆積プロセス、加熱ステップ又はウェハ洗浄ステップのためである他のウェハがチャンバ15に導入される前に約5秒〜20秒、好ましくは約10秒続く。最終安定化ステップ及び排気ステップが変更され、選定された具体的なシーズニングステップ又は別のゲッタリングステップ(下記の例)と同様に用いられることは認識される。
【0197】
上記実施例に替わる実施例においては、上記の予備清浄安定化ステップは低マイクロ波電力から最終クリーン作動レベルのマイクロ波電力へのマイクロ波電力の傾斜を含むことができ、圧力及びマイクロ波プロセス生成の予備清浄安定化を可能にする。代替的好適実施例においては、上記の予備清浄安定化ステップは次の予備清浄安定化で置き換えられる。
【0198】
圧力とマイクロ波電力の同時安定化を可能にするので、N2(又は他の不活性ガス、使用クリーンガスによる)プラズマを生成するマイクロ波電力を傾斜するステップは、個々の実施例によるNF3 プラズマ生成の際にアプリケータ管292について低い圧力衝撃プロファイルを与える。マイクロ波電源110からマグネトロン711に印加されたマイクロ波電力レベルは、プロセッサ50の制御下で調整される。例えば、マイクロ波電力は、安定化ステップ中ゼロから約300ワット(又は0と最終清浄作動電力レベル)、次にクリーンステップでは2100ワットのレベルまで傾斜されて漸次の最適安定化ステップを得る。詳しくは、加熱ステップ後、ヒータ25はガス分配プレート20から約15mm (約600mil)に移動し、N2 は約100〜400sccm、好ましくは約300sccmのフローでアプリケータ管292に導入され、スロットルバルブは開いたままでありゲートバルブ280は閉じられたままである。約5秒後、スロットルバルブは閉じられゲートバルブ280は開けられ、チャンバ15が清浄プロセス圧力、個々の実施例では約1.5torrになるまで次の5秒間圧力を安定化させる。次に、約200ワット〜400ワットのマイクロ波電力の中間レベルをアプリケータ管292に印加して次の5秒間N2 プラズマを生成する。次の5秒間、NF3 がアプリケータ管292に導入され、マイクロ波電力レベルが清浄レベルまで傾斜される。詳しくは、NF3 は、約600sccm〜1100sccm、好ましくは約950sccmの速度でアプリケータ管292に導入され、マイクロ波電力レベルは約2100ワットの最終マイクロ波電力清浄作動レベルまで傾斜される。次に、N2 フローを停止し、プラズマをNF3 のみ用いて生成し、NF3 プラズマ生成安定化の安定化を約5秒間可能にする。この点から上記のように清浄が進行する。上記の代替的実施例においては、圧力及びプラズマ生成双方がNF3 洗浄プラズマで洗浄ステップを行う前に安定化される。この代替的予備清浄圧力/プラズマ安定化は、全時間、好ましくは20〜30秒間続くことができ、各電力レベルのランプアップはその時間からの適切な部分が配分されている。従って、アプリケータ管292について高マイクロ波電力(例えば、0〜2100ワット)の1段の直接印加からの圧力衝撃を最少にし、アプリケータ管292の寿命が高められることになる。
【0199】
上記実施例は2段電力レベルランプアップであるが、他の実施例は多段ランプアップ(例えば、0ワット〜300ワットから1200ワット〜2100ワット)であることができる。更に場合によって、マイクロ波電力ランプアップステップが上記清浄ステップと後清浄排気ステップ間で行われる。2段又は多段ランプアップも他の実施例に可能である。傾斜が連続、一連の分離したステップ又はその組合わせであることは当然のことである。RFプラズマ系を有するCVD系については、RF電力レベルのランプアップ及び/又はランプダウンは予備清浄安定化ステップに行われ、他の実施例によればその場プラズマチャンバ清浄が用いられる。上記の安定化の各部分について特定の時間が述べられているが、他の実施例ではその特定の時間は異なってもよく、安定化の部分が合わせられるか又は時間を短縮するために削除される。
【0200】
上記の熱チャンバシーズニングの替わりとしてTEOS及びO2 を使用するチャンバシーズニングが用いられる。気化TEOSは、入口43及びガス混合ボックス273を介して又はリッド内のバイパス通路を用いてチャンバ15へ導入される。O2 は、マイクロ波プラズマ系55のマグネトロン711からのマイクロ波による放射(例えば、約500ワット〜2100ワット、好ましくは2100ワット)のためにアプリケータ管292を介して送られる。O2 は約50sccm〜200sccm、好ましくは約100sccmの流速でアプリケータ管292へ導入され、ゲートバルブ280は開放され、チャンバ15は約1torr〜2torr、好ましくは約1.5torrの圧力及び約300℃〜650℃、好ましくは約550℃〜600℃の温度で維持される。原子酸素は、マイクロ波増強チャンバシーズニングを得るためにチャンバ15内のTEOSと反応することができる。また、その場プラズマを得るこができるRFプラズマ系を有する実施例については、気化TEOSがチャンバ15内に導入され、RFプラズマ系がプラズマを生成することができ、それと原子酸素がRF増強チャンバシーズニングに対して反応することができる。
【0201】
フッ素原子をチャンバ表面からゲッタリングするチャンバシーズニングの他の替わりとして、SiH4 が約50sccm〜200sccm、好ましくは100sccmの速度でチャンバ15へ流し込まれチャンバ15をパージする。シランは、ゲートバルブ280の閉じられたガス混合系93までの他の供給源90(図3)の1つからチャンバ15へのライン85を介して、ゲートバルブ280の閉じられたチャンバ15への他のパージ口を介して、又はマイクロ波を印加して又は印加せずに及びゲートバルブ280の開放されたアプリケータ管292を介してチャンバ15へ流し込むことができる。シランパージ手順中、チャンバ15はゲートバルブ280の閉じられた約1torr〜5torrの圧力及び約300℃〜650℃、好ましくは約550℃〜600℃の温度で維持される。チャンバ15をパージするとF原子を吸収し、SiF4 ガスの生成をもたらし、排気系によってチャンバ15かポンプで送られる。次に、上記で詳細に述べられた終点検出系は、チャンバ洗浄プロセスが完了したときを該系が決定することを可能にする。
【0202】
上記のようにシーズニング又はチャンバ15をシランでパージングすることの変法として、更に、活性水素をチャンバ15へ供給することによりゲッタリングが達成される。水素(例えば、H2 又は他の水素源)は、約50sccm〜200sccm、好ましくは約100sccmの流速で『クリーンガス』供給源として用いられ、入口57を介してアプリケータ管292へスイッチングバルブ105を介して送られる(図3)。マグネトロン711は約500ワット〜2500ワット、好ましくは約1000ワットの電力レベルでCWモードで作動してアプリケータ管292へマイクロ波エネルギーを供給し、プラズマを生成する。アプリケータ管292内のプラズマからの活性水素は、次に、チャンバ15で使用するために封入アセンブリ200内の裏打ちされた通路を通って導管47へ流れ込む。RFプラズマ系を含む系については、水素がチャンバ15へ導入されかつRFエネルギーがチャンバ15内に印加されて活性水素を供給することは当然のことである。ゲッタリング手順中、チャンバ15は、ゲートバルブ280の開放された約1torr〜2torrの圧力及び最適には約300℃〜650℃、好ましくは約550℃〜600℃の堆積温度で維持される。活性水素は、吸着フッ素と反応してフッ化水素(HF)気体を生成し、チャンバ15からポンプで送られる。上記の終点系と同様の原理で作動させ、HFによる吸光度のために光の強さの変化を検出する終点検出系も用いられる。
【0203】
シーズニング、チャンバ15のシランによるパージング又は活性水素の使用の変法は、チャンバ15へアンモニアを供給するものである。アンモニア(NH3)は、約50sccm〜200sccm、好ましくは約100sccmの流速でガスパネル80の『クリーンガス』供給源として用いられ、入口57を介してアプリケータ管292へスイッチングバルブ105を介して送られる(図3)。マグネトロン711は約500ワット〜2500ワット、好ましくは約1000ワットの電力レベルでCWモードで作動してアプリケータ管292へマイクロ波エネルギーを供給し、プラズマを生成する。アプリケータ管292内のプラズマからのアンモニアは、次に、チャンバ15で使用するために封入アセンブリ200内の裏打ちされた通路を通って導管47へ流れ込む。ゲッタリング手順中、チャンバ15は、ゲートバルブ280の開放された約1torr〜2torrの圧力及び最適には約300℃〜650℃、好ましくは約550℃〜600℃の堆積温度で維持される。アンモニアは、吸着フッ素と反応してフッ化アンモニウム化合物を生成し、チャンバ15からポンプで送られる。RFプラズマ系を含む系については、アンモニアがチャンバ15へ導入されかつRFエネルギーがチャンバ15に印加されてフッ化アンモニウム化合物とHFを生成することは当然のことである。上記の終点系と同様の原理で作動させ、フッ化アンモニウムとHFによる吸光度のために光の強さの変化を検出する終点検出系も用いられる。
【0204】
上記の洗浄プラズマ条件は本実施態様の具体例であるが、他の条件も用いられる。上記説明は、下記の様々な堆積のように、200mmウェハに適合しかつ全量6リットルの Applied Materialsから市販されているGiga Fill(登録商標)Centuraチャンバで単に一例としてNF3 を述べている。しかしながら、NF3 とアルゴン、NF3 とN2 、NF3 とO2 、NF3 とマイクロ波プラズマ系55によって生成された原子酸素、希釈F2 、CF4 、C3 F8 、SF6 、C2 F6 、Cl2 等の他のフッ素含有又は塩素含有ガスが同様に用いられる。上記のもののほかに他のガスもゲッタリング手順に用いられる。また、プレシーズニング安定化ステップは上記の熱シーズニングの種々の変法より選ばれたシーズニング/ゲッタリングプロセスの個々の種類によって変動する。洗浄、ゲッタリング及びシーズニングの上記説明は、好適温度(例えば、約550℃〜600℃)で起こるように述べられているが、最も好ましくは、個々のプロセスがチャンバ15内で行われている同じ温度でチャンバ15が維持されることは留意される。他の実施態様においては異なる温度が用いられることは当然のことである。更に、ある実施態様は、上記の洗浄、ゲッタリング及びシーズニングのある部分を合わせ又は削除することができる。
【0205】
III.試験結果及び測定
A.超薄ドープ接合部分
本発明の実施例に従って装置及び方法の操作を示すために、例としてUSGキャッピング層をもたずに製造されたBSG膜及びUSGキャッピング層を有するBSG膜を用いて形成された超薄接合部分の面積抵抗率及び接合の深さの測定を行った。キャップのないBSG膜は約150オングストローム厚であり、キャップのあるBSG膜は約200オングストロームUSGキャップを有する約150オングストローム厚であった。キャップのある及びキャップのないBSG膜の双方は、低抵抗率のN形シリコンウェハ上に堆積した。キャップのない及びキャップのあるBSG膜を用いて形成された超薄接合部分の面積抵抗率及び接合の深さを測定した。上で詳述したCVD装置10のチャンバ15内で堆積した膜については、ゲートバルブ280は個々の実施例の膜堆積ステップ中閉鎖される。実験に用いられる実際のプロセス条件は次の通りである。詳しくは、BSG膜は約500℃の温度及び約600torrの圧力で堆積した。サスセプタとマニホールド間の間隔は、約8mm (約300mil)であった。実験中のガスフローは、TEBをチャンバへ約200 mgmの速度で導入すること、TEOSを約500 mgmの速度で導入すること、酸素(O3)を約5000sccmの速度で導入すること及びヘリウムキャリヤガスを約8000sccmの速度で導入することが含まれる。
【0206】
上記条件により、700オングストローム/分の速度で堆積したBSG膜が得られた。堆積BSG膜は、約15秒のプロセス時間に対して約150オングストロームの厚さがあった。
【0207】
USGキャップを用いた実験では、BSGバルク層が堆積された直後にUSGキャッピング層がその場プロセスで形成された。好適実施例は、USGキャッピング膜の堆積前にBSG膜と反応するために利用できる水分を最少にする密閉系であるチャンバを使用する。サスセプタは約500℃の温度まで加熱し、チャンバを約600torrの圧力で維持し、サスセプタをガス分配マニホールドから約8mm (約300mil)に配置した。TEOS、オゾン及びヘリウムを約500 mgmの流速で各々500sccm及び5000sccmを堆積チャンバへ導入した。上記条件により、約700オングストローム/分の速度で堆積されたUSG膜が得られた。USG膜は、約15秒のプロセス時間に対して約200オングストロームの厚さがあった。
【0208】
キャップのない及びキャップのあるBSG膜からのドーパントの拡散は、アニール又は急速熱処理を用いて膜を加熱することにより達成される。例えば、窒素(N2)周囲中60秒間の急速熱処理は、温度、時間及びドーパント濃度によって約500オングストローム〜1000オングストロームの接合の深さが得られる。
【0209】
BSG膜を用いる実験に用いられるパラメーターは、本明細書に記載される特許請求の範囲に限定されるべきではない。当業者は、他の化学剤、チャンバパラメーター、ドーパント及びPSG、AsSG等のBSG膜又は他の膜を生成する条件を用いることができる。
【0210】
実験は、ホウ素約6wt%を有する約200オングストローム厚のキャップのないBSG膜を用いて行われる。その実験から、後続の拡散ステップのドーパント源としてBSG膜を用いて超薄接合部分を形成する能力が示される。
【0211】
6wt%のホウ素を超えるホウ素濃度において、約500℃未満の温度で堆積されたキャップをもたないBSG膜は、不安定で数時間で結晶化する傾向があった。上記のように、結晶化は、シリコン基板への拡散に利用できるホウ素原子の量を減少する。約550℃より高い温度でBSGを堆積させると6wt%を超えるホウ素濃度を有するキャップのない安定なBSG膜が生じると考えられる。6wt%のホウ素より高いホウ素濃度が必要とされる被覆については、BSG膜は結晶化を防止するUSG膜で適切にキャップされる。ガス抜きを防止することにより、USGキャップはシリコン基板へのドーパント原子の拡散の進入を制御する能力を与える。従って、USGキャップは、ホウ素原子が失われることから防止するのでシリコン基板へ容易に進められる拡散に利用できる。
【0212】
ある被覆についてドープ誘電層上にキャッピング層を用いる利点を証明するために、約200オングストロームUSGキャップがBSG膜上に堆積した厚さが150オングストローム及びホウ素が6.131wt%のBSG膜を用いて実験を行った。その実験から、後続の拡散ステップのドーパント源としてキャップのあるBSG膜を用いて超薄接合部分を形成する能力も示される。キャップのない及びキャップのあるBSG膜を用いて形成された超薄接合部分の面積抵抗率及び接合の深さを測定した。その実験から、BSG膜を約1050℃で1分の急速熱処理に供するとBSG膜単独或いはUSGキャップのあるBSG膜で形成された超薄接合部分の面積抵抗率及び接合部分を制御する能力が生じることがわかる。
【0213】
図43〜図45は、拡散領域の接合の深さ及びドーパントの均一性に関するUSGキャップの影響についての情報を示すグラフである。図43及び図45の測定は、当業者に周知である広がり抵抗プロファイルのソリッドステート測定装置を用いて行った。キャリヤ濃度は、深さの関数として示される。『p』はシリコン基板表面から測定された深さにおけるホウ素の測定濃度を示し、『N』はシリコン基板表面から測定された深さにおけるN形シリコン基板の測定濃度を示す。接合の深さは、ドーパント濃度が基板濃度に等しい位置として定義される。本実験では、使用シリコン基板の基板濃度は約1.6×1014キャリヤ/cm3であった。図43及び22Cに示された拡散領域の面積抵抗率は、当業者に周知である4ポイントプローブ(4pp)法を用いて測定した。図44は、当業者に周知である高濃度又は浅い接合拡散の精密プロファイル測定を与えるのに有効な二次イオン質量分析(SIMS)の緻密な方法で測定された図43のウェハの全不純物プロファイルを示すグラフである。
【0214】
詳しくは、図43は、USGキャッピング層のある6.131wt%BSG層を用いて加熱ステップ後に形成された超薄接合のドーパントプロファイルを示すグラフである。BSG膜は約150オングストローム厚であり、BSG膜の上に堆積したUSGキャッピング層は約200オングストローム厚であった。加熱ステップは約1050℃で約60秒間急速熱処理により行った。次に、BSG及びUSG膜をエッチングで除去した。図43に見られるように、得られた接合部分の深さはシリコン基板中約0.06μm であり、ドーパントプロファイルはかなり均一に見える。ホウ素の最大濃度は、約6×1019キャリヤ/cm3 である。得られた接合部分の表面抵抗率は約685Ω/cm2 であることが測定された。4pp表面抵抗率は、約222Ω/cm2 であることが測定され、測定したP形層のドーズイオンの加算(Σp)は1.6×1014である。
【0215】
図44は、図43に記載された超薄接合部分のSIMSによって測定されたドーパント深さのプロファイルを示すグラフである。シリコン基板の表面から表面から約100オングストロームの深さまでのホウ素濃度は、約2×1018キャリヤ/cm3 〜約1×1021キャリヤ/cm3 の範囲である。シリコン基板から約100オングストローム〜約300オングストロームのホウ素濃度は、1×1021キャリヤ/cm3 〜約3×1021キャリヤ/cm3 の範囲である。シリコン基板の表面から約300オングストロームの下のホウ素、シリコン及び酸素の濃度は急速に低下し、バルク基板を示す。図44に示される急勾配の浅い接合部分は、本発明の実施例に従って可能なドーパント取込みを示す。
【0216】
図45は、USGキャッピング層を有する6.131wt%BSG層を用いて加熱ステップをもたずに形成された超薄接合部分ののドーパントプロファイルを示すグラフである。BSG膜は、約150オングストローム厚であり、BSG膜の上に堆積したUSGキャッピング層は約200オングストローム厚であった。加熱ステップは行われなかった。BSG及びUSG膜はエッチング法によって除去した。図45に見られるように、約0.025μm の深さを有する接合部分は加熱ステップがないにもかかわらず形成したことがわかる。あきらかに、加熱ドライブインステップがなくてさえシリコン基板へ拡散するBSG膜中のホウ素の高ドーパント濃度のために接合部分が形成された。ホウ素の最大濃度は、約7×1017キャリヤ/cm3 である。得られた接合部分の表面抵抗率は約55Ω/cm2 であることが測定され、測定したP形層のドーズイオンの加算(Σp)は4.9×1011である。
【0217】
図46は、USGキャッピング層のある8.084wt%BSG層を用いて加熱ステップで形成された接合部分のドーパントプロファイルを示すグラフである。図47は、接合部分の深さに関するドーパント濃度の影響を示すために、USGキャッピング層のある6.131wt%BSG層とUSGキャッピング層のある8.084wt%BSG層を用いて同じ加熱ステップで形成された接合部分のドーパントプロファイルを比べるグラフである。図48と図49は、各々接合部分の深さと面積抵抗率に関する加熱ステップの温度の影響を示すグラフである。図50と図51は、各々接合の深さと面積抵抗率に関する加熱ステップの時間の影響を示すグラフである。図46〜図51の広がり抵抗プロファイル及び面積抵抗率の測定値は、ソリッドステート装置及び4ポイントプローブ測定を用いて行った。
【0218】
図46は、USGキャッピング層のある8.084wt%BSG層を用いて加熱ステップで形成された接合部分のドーパントプロファイルを示すグラフである。BSG膜は約150オングストローム厚であり、BSG膜の上に堆積したUSGキャッピング層は約200オングストローム厚であった。加熱ステップは約1000℃で約60秒間急速熱処理により行った。BSG及びUSG膜をエッチング法で除去した。図46に見られるように、深さが約0.12μm の超薄接合部分はドーパント均一性が良好に形成された。ホウ素の最大濃度は、約1×2030キャリヤ/cm3 である。得られた接合部分の表面抵抗率は約145Ω/cm2 であることが測定され、ドーズイオンの加算(Σp)は7.9×1014であった。4pp表面抵抗率は、約96Ω/cm2 であることが測定された。
【0219】
図47は、USGキャッピング層のある異なるホウ素wt%BSG層(特に6.131wt%及び8.084wt%)を用いて加熱ステップで形成された接合部分のドーパントプロファイルを示すグラフである。BSG膜は約150オングストローム厚であり、BSG膜の上に堆積したUSGキャッピング層は約200オングストローム厚であった。加熱ステップは約1000℃で約60秒間急速熱処理により行った。図47に見られるように、8.084wt%BSG膜の得られた接合の深さは、6.131wt%のBSG膜の得られた接合の深さのほぼ2倍である。
【0220】
図48及び図49は、USGキャッピング層のある6.131wt%BSG膜の各々ドーパントプロファイル及び面積抵抗率に関する加熱ステップ温度の影響を示すグラフである。BSG膜は約150オングストローム厚であり、BSG膜の上に堆積したUSGキャッピング層は約200オングストローム厚であった。加熱ステップは約900℃、950℃、975℃及び1000℃の温度で約60秒間急速熱処理を用いて行った。図48でわかるように、1000℃での加熱ステップ後に形成された接合部分は、低い温度975℃での加熱ステップ後に形成された約0.06μm の接合部分に比べて約0.1μm である。6.131wt%BSG膜の表面抵抗率は、図49に見られるように1000℃での加熱ステップについて約180Ω/cm2 及び975℃での加熱ステップについて約600Ω/cm2 であった。高い温度の加熱ステップ(950℃を超える)により、形成された薄い接合部分の深い拡散深度が得られた。
【0221】
図50及び図51は、USGキャッピング層のある6.131wt%BSG膜の各々ドーパントプロファイル及び面積抵抗率に関する加熱ステップ時間の影響を示すグラフである。BSG膜は約150オングストローム厚であり、BSG膜の上に堆積したUSGキャッピング層は約200オングストローム厚であった。加熱ステップは約1000℃で約40秒間及び約60秒間急速熱処理を用いて行った。図50でわかるように、約40秒加熱ステップ後に形成された接合部分は約0.06μm であり、60秒加熱ステップ後に形成された接合部分は約0.1μm である。6.131wt%BSG膜の表面抵抗率は、40秒加熱ステップ後に約230Ω/cm2 及び60秒加熱ステップ後に約150Ω/cm2 であった。従って、加熱ステップの時間の長さは超薄接合部分を形成するのに拡散深度を決定することができることがわかる。
【0222】
超薄ドープ接合形成に用いられた上記の実験は、本発明の態様を具体的に説明するために単に例として示され、本発明の範囲を限定するものとしてみなされるべきではない。
【0223】
B.PMD層のPSG
本発明の実施例による装置及び方法の操作を証明するために、PSG膜、例えば、PMD層を堆積するために実験を行った。PMD層としてPSG膜を堆積させる前に、ウェハを、典型的には、ゲート電極、酸化物側壁、分離トレンチ等を形成するために複数の処理ステップに供した。実験では、Applied Materials 社製の耐熱Giga Fill(登録商標)Centuraチャンバ (全容量が約6リットルで200mmウェハに準備された閉鎖系)内でPSG膜を堆積させた。
【0224】
実験では、ウェハ上にPMD層としてPSG膜を堆積させる前に、チャンバ15を所望堆積圧力にしかつガス/液体フローを安定化するために予備堆積ステップを行った。異なる堆積レシピに最適であるように予備堆積ステップが下記の説明(単に個々の具体的な実施例である)から変動されてもよいことが認識されることは当然のことである。予備堆積ステップはチャンバ壁上に不要な堆積を減少させ、堆積膜の均一な深さのプロファイルを得るのに寄与する。予備堆積ステップが起こる前に、ウェハを真空ロックドアを介してヒータ25上の真空チャンバ15に装填し、閉鎖する。ヒータ25を約600℃の処理温度まで加熱し、予備堆積ステップ、堆積ステップ及び後堆積ステップの間中維持される。
【0225】
第1予備堆積ステップでは、ヒータ25はガス分配プレート20から約15mm (約600mil)の位置にある。スロットルバルブを約5秒間開けて、ヘリウムを約4000sccmの流速で及びO2 を約2900sccmの流速でチャンバ15へ導入する。中性ガス、ヘリウム及びO2 は、流速が安定化するためにまずチャンバ15へ導入される。ヘリウム及びO2 のそれらの流速は、予備堆積ステップの間中維持される。
【0226】
第2予備堆積ステップでは、スロットルバルブが閉められ、チャンバ15内の圧力が堆積圧力まで上げられる。第2予備堆積ステップは、約30秒続き、はじめはいくぶん所望の堆積圧力前後を変動してもよい圧力をチャンバ15内で安定化させる。ヒータ25は、第2予備堆積ステップではガス分配プレートから約8mm (約330mil)の処理位置へ移動させる。
【0227】
第3予備堆積ステップでは、チャンバ15内圧力が約450torrの堆積圧力に安定化されたときに液体TEOSを導入してTEOSとヘリウムのフローを安定化させる。約1000 mgmのTEOS流速で、堆積ステップ前の第3予備堆積ステップ中の約3秒間気化TEOSガスがヘリウムキャリヤガスと混合する。
【0228】
チャンバ圧力、温度及びTEOS/ヘリウムガスフローが安定化しかつヒータ25の位置を調整したので、堆積処理が始められる。堆積ステップの始めにO2フローが終わる。液体TEPOを約24 mgmの速度で導入し、O3(酸素約8wt%)を約4000sccmの速度で導入する。液体であるので、TEPO及びTEOS源を液体注入系で気化させ、次に、不活性キャリヤガスヘリウムと混合する。この混合液をガス分配プレート20からチャンバ15へ導入して反応性ガスをウェハ表面に供給し、そこで熱誘導化学反応が起こって所望のPSG膜を生じる。上記の条件により、約1780オングストローム/分の速度で堆積したPSG膜が得られる。堆積時間を制御することにより、厚さが約5300オングストロームのPSG膜が上記の処理条件で約404秒で形成される。得られたPSG膜中のリンのwt%は約4wt%である。
【0229】
堆積後、終結ステップが行われ、即ち、水分及び結晶化耐性を与えるために堆積PSG膜の安定性を最適化する。約3秒間続く終結ステップでは、堆積条件が維持され、TEPOフローが終わる。従って、終結ステップは、上記のガス終結方法によってチャンバ15内のその場方法でUSGキャッピング層を堆積する。USG層は、PSGバルク膜の厚さに比べて非常に薄い。
【0230】
PSG堆積及びUSG堆積ステップ後、チャンバ圧力のランピングダウンを制御するために及びガスシャットアウトを制御するために後堆積ステップが用いられる。圧力及びガスシャットアウトを調整することにより、後堆積ステップは、ウェハ汚染及び損傷を引き起こすことがある粒子形成を減じるのを援助する。
【0231】
個々の実施例においては、3段の後堆積ステップを用いた。上記終結ステップ直後の第1後堆積ステップでは、TEOSフローが終わり、ヒータ25がガス分配プレート20から約15mm(約600mil)の位置に移動する。また、スロットルバルブを定期的に開け、約15秒続く第1後堆積ステップ中チャンバ圧力を徐々にランプダウンする。第2後堆積ステップでは、バイパスバルブによってポンプで送ることによりチャンバ15へのヘリウムフローが終わるように、スロットルバルブを定期的に開けて第3後堆積ステップ(排気ステップ)のチャンバ圧力をランプダウンする。また、約15秒続く第2後堆積ステップ中にヒータ25をプレート20から約25mm(約999mil)の位置まで下に移動させる。約3秒続く第3後堆積ステップでは、スロットルバルブを開け、最終バルブによってポンプで送ることによりチャンバ15へのO3 フローを終わる。
【0232】
PMD層として用いられるのに適したPSG堆積用上記実験条件は、スループットの高い最良の膜質を与えるのに最適である。表面拡散を高表面温度で高めることにより、約600℃の温度でTEOS/O3 化学を用いて堆積された熱PSG膜はステップカバレージが優れ、架橋構造が多く、P及びSiの酸化構造が安定であり、優れた膜質を得た。PSG堆積膜は、フロー状ステップカバレージ、水分耐性が高い、破壊電圧が高い、表面の平坦、表面損傷がない(即ち、プラズマ損傷)及び固定電荷がないことによって高品質であった。PSG堆積膜は良好な膜厚均一性を示した。詳しくは、PSG堆積膜の厚さ約1.2μm 厚の膜厚均一性(49pt.1σ)は約1.5未満であることが測定された。
【0233】
図52は、本発明の個々の実施例に従って、600℃で堆積したPSG膜のas堆積ギャップフィル性能を示す顕微鏡写真である。特に、600℃で堆積されたPSG膜は、図52に見られるようにボイドを形成せずに高さ(h)と間隔(w)を有する高アスペクト比ギャップを充填することができることがわかった。図53は、図52に示された集積回路構造の断面の簡易線図(縮尺は示されていない)である。図53に見られるように、基板1200は、形成されたゲート構造、詳しくはケイ化タングステン(WSi)キャップ1240のある電極1220をを積み重ねた。図52〜図53に見られるように、積み重ねゲート構造上に酸化物層1260を堆積させて点線で示されたhが約例35μm及びwが約0.08μm の高アスペクト比を形成する。従って、図52は、PMD層として用いられるPSG膜1280で充填されるアスペクト比の高い(約4.3:1)具体的構造を示す図である。上記の好適レシピを用いて約600℃で堆積されたPSG膜は、典型的には約750℃〜800℃で行われるリフローの必要がなく優れた高アスペクト比のギャップフィル性能を示し、たいてい著しく強い熱バジェットと一致しない。
【0234】
高アスペクト比の優れたギャップフィル性能のほかに、約600℃で堆積したPSG膜は水分吸収に対する耐性の大きい密度の高い膜であることが有利である。堆積したPSG堆積膜の水分吸収は、当業者に周知である慣用のフーリエ変換赤外線スペクトル法(FTIR)を用いて測定した。図54は、次の具体的な処理条件下で約600℃で堆積したPSG膜のFTIRスペクトルを示す写真である。個々の実施例によれば、具体的な処理条件は、約400torrの圧力で約1000 mgmのTEOSフロー、約24 mgmのTEPOフロー、約6000sccmのヘリウムフロー及び約4000sccmのオゾン(酸素約12wt%)フロー及びヒータ25とガス分配プレート20間の約8mm(約330mil)の間隔が含まれる。PSG堆積時間は約600秒であった。図54に示されるように、約600℃で堆積したPSG膜のFTIRスペクトルは水分吸収を示すウォータースパイクを示さず、堆積後の約155時間にわたって水分吸収の測定可能な変化は見られず、長期間にわたるPSG膜の安定性を示した。
【0235】
図54に示されるように、PSG堆積膜は密度が高く水分吸収耐性がある。高温、例えば、約600℃でのPSG膜の堆積は、膜へ吸収される水分を追い出す傾向があり、密度の高い膜をもたらす。密度の高い膜として、高温で堆積されたPSG膜は、膜の緻密化に更にステップを必要としない利点がある。PSG堆積膜の密度の高い種類は、約1000℃より高い温度での後続のアニール或いは好ましくはCMPステップで平坦化されるPMD層としての使用に適合する。水分吸収耐性のほかに、高温で堆積した本PSG膜は良好な膜厚均一性、及び後続のデバイス問題を引き起こすことがあるボイド又は弱いシームを形成することなく良好なギャップフィルを与えることができる。高温度PSG膜は、リンの取込みが良好である(約2wt%〜8wt%)のでPMD層として特に有用であり、デバイス内で移動しかつ短絡を引き起こすナトリウム(Na+)イオンのような移動イオンをゲッタリング又は捕捉するのに重要である。
【0236】
PSG堆積膜を堆積しかつ特性を測定する実験の上記の説明は、例えば、PMD層としての使用のための適合を示すものである。しかしながら、その説明は本発明の範囲を限定するものとしてみなされるべきではない。
【0237】
C.浅いトレンチ分離における酸化物充填層の使用
本発明の実施例による装置及び方法の操作を証明するために、USG膜を、例えば、浅いトレンチ分離のための高品質酸化物充填層として堆積する実験を行った。高品質酸化物充填層としてUSG膜を堆積させる前に、ウェハを、典型的には、ゲート電極、酸化物側壁、分離トレンチ等を形成するために複数の処理ステップに供した。実験では、Applied Materials 社製の耐熱Giga Fill(登録商標)Centuraチャンバ (全容量が約6リットルで200mmウェハに準備された閉鎖系)内でUSG膜を堆積させた。
【0238】
実験では、ウェハ上に充填層としてUSG膜を堆積させる前に、チャンバ15を所望堆積圧力にしかつガス/液体フローを安定化するために予備堆積ステップを行った。異なる堆積レシピに最適であるように予備堆積ステップが下記の説明(単に個々の具体的な実施例である)から変動されてもよいことが認識されることは当然のことである。予備堆積ステップはチャンバ壁上に不要な堆積を減少させ、堆積膜の均一な深さのプロファイルを得るのに寄与する。予備堆積ステップが起こる前に、ウェハを真空ロックドアを介してヒータ25上の真空チャンバ15に装填し、閉鎖する。ヒータ25を約550℃の処理温度まで加熱し、予備堆積ステップ、堆積ステップ及び後堆積ステップの間中維持される。
【0239】
第1予備堆積ステップでは、ヒータ25はガス分配プレート20から約15mm (約600mil)の位置にある。スロットルバルブを約5秒間開けて、ヘリウムを約7000sccmの流速で及びO2 を約2900sccmの流速でチャンバ15へ導入する。中性ガス、ヘリウム及びO2 は、流速が安定化するためにまずチャンバ15へ導入される。ヘリウム及びO2 のそれらの流速は、予備堆積ステップの間中維持される。
【0240】
第2予備堆積ステップでは、スロットルバルブが閉められ、チャンバ15内の圧力が堆積圧力まで上げられる。第2予備堆積ステップは、約40秒未満続き、はじめはいくぶん所望の堆積圧力前後を変動してもよい圧力をチャンバ15内で安定化させる。ヒータ25は、第2予備堆積ステップ中にガス分配プレートから約9mm (約350mil)の処理位置へ移動させる。
【0241】
第3予備堆積ステップでは、チャンバ15内圧力が約600torrの堆積圧力に安定化されたときに液体TEOSを導入してTEOSとヘリウム(又は窒素)のフローを安定化させる。約2000 mgmのTEOS流速で、堆積ステップ前の第3予備堆積ステップ中の約5秒間気化TEOSガスがヘリウム(又は窒素)キャリヤガスと混合する。
【0242】
チャンバ圧力、温度及びTEOS/ヘリウムガスフローが安定化しかつヒータ25の位置を調整したので、堆積処理が始められる。堆積ステップの始めにO2フローが終わり、O3(酸素約12.5wt%)を約5000sccmの速度で導入する。液体であるので、TEOS源を液体注入系で気化させ、次に、不活性キャリヤガスヘリウムと混合する。この混合液をガス分配プレート20からチャンバ15へ導入して反応性ガスをウェハ表面に供給し、そこで熱誘導化学反応が起こって所望のUSG膜を生じる。上記の条件により、約1450オングストローム/分の速度で堆積したPSG膜が得られる。堆積時間を制御することにより、厚さが約10000オングストロームのUSG膜が上記の処理条件で約414秒で形成される。
【0243】
USG堆積後、パージステップが行われ、即ち、水分耐性を与えるために堆積USG膜の安定性を最適化する。約3秒間続くパージステップでは、堆積条件が維持され、TEPOフローが終わる。
【0244】
USG堆積ステップ及びパージステップ後、チャンバ圧力のランピングダウンを制御するために及びガスシャットアウトを制御するために後堆積ステップが用いられる。圧力及びガスシャットアウトを調整することにより、後堆積ステップは、ウェハ汚染及び損傷を引き起こすことがある粒子形成を減じるのを援助する。
【0245】
個々の実施例においては、3段の後堆積ステップを用いた。上記終結ステップ直後の第1後堆積ステップでは、バイパスバルブによってポンプで送ることによりチャンバ15へのキャリヤガスフローが終わる。スロットルバルブを定期的に開けて約15秒続く第1後堆積ステップ中にチャンバ圧力を徐々にランプダウンするように、ヒータ25をガス分配プレート20から約15mm(約600mil)の位置に移動させる。第2後堆積ステップでは、スロットルバルブを定期的に開けてチャンバ圧力のランピングダウンを続け、チャンバ15へのO3 フローを続ける。また、約15秒続く第2後堆積ステップ中にヒータ25をプレート20から約15mm(約600mil)の位置まで下に移動させる。約3秒続く第3後堆積ステップでは、スロットルバルブを開け、最終バルブによってポンプで送ることによりチャンバ15へのO3 フローを終わる。
【0246】
高品質酸化物充填層として用いられるのに適したUSG堆積用上記実験条件は、スループットの高い最良の膜質を与えるのに最適である。浅いトレンチ分離被覆では、堆積USG膜は非常に密度の高いかつ均一な膜であることに加えてボイドのないギャップフィル(典型的には約85°の適度な確度で)が可能でなければならない。USG堆積膜は、良好な膜厚均一性を示した。詳しくは、USG堆積膜の約5000オングストローム厚の膜厚均一性(49pt.1σ)は約1.5未満であることが測定された。
【0247】
図55及び図56は、本発明の個々の実施例に従って、約1050℃でのリフロー及び6:1緩衝化酸化物エッチング(BOE)後に各々約400℃及び約550℃で堆積したTEOS/O3 USG膜の相対ギャップフィル性能を示す顕微鏡写真である。特に、図55は、約1050℃でのリフロー後に約400℃で堆積したUSG膜である充填層を有する幅が約0.35μm 及び深さが約0.70μm (約2:1のアスペクト比のギャップ)のトレンチ構造を示す写真である。図55は、USG膜中の大きなボイドを示す写真であり、約400℃で堆積したUSG膜がほとんど密度が高くなくかつ縮みやすく見えることを示している。ある程度までUSG膜を緻密化することができる約1000℃より高い温度でのリフロー後でさえ、約400℃の温度で堆積したUSG膜はほとんど密度が高くなくかつボイドを開けずに高温のアニール又は後続のウェットエッチング処理に耐えられない。比較として、図56は、約1050℃でのリフロー及び後続のウェットエッチング処理後に約550℃で堆積したUSG膜であるボイドのない充填層を有する幅が約0.18μm 及び深さが約0.45μm の(約2.5:1アスペクト比ギャップ)トレンチ構造を示す写真である。約550℃で堆積したUSG膜は、図55及び図56から見られるように400℃で堆積したUSG膜と異なりリフロー後にボイドを形成せずに高アスペクト比ギャップを充填することができる。極めて均一なエッチング速度でのエッチング処理後、約550℃で堆積したUSG膜はボイドを開けずに優れたステップカバレージを保持する。
【0248】
約550℃で堆積したUSG膜の高アスペクト比ギャップ充填性能の証明として、本発明の個々の実施例による約1000℃でのアニール及び後続のウェットエッチング処理後の堆積USG膜のギャップフィル性能を示す顕微鏡写真である。図57は、約1000℃でのリフロー後に約550℃で堆積したUSG膜である充填層を有する幅が約0.16μm 及び深さが約0.48μm の(アスペクト比約3:1のギャップ)トレンチ構造を示す写真である。図57のトレンチ構造は、図55及び図56に示されたトレンチ構造より小さい間隔及び大きいアスペクト比を有し、約550℃で堆積したUSG膜の優れたギャップ充填性能を示す。
【0249】
高アスペクト比の優れたギャップフィル性能のほかに、約550℃で堆積したUSG膜は水分吸収に対する耐性の大きい密度の高い膜であることが有利である。堆積したPSG堆積膜の水分吸収は、慣用のFTIR法を用いて測定した。図54は、個々の実施例により次の具体的な処理条件下で約550℃で堆積したPSG膜のFTIRスペクトルを示す写真である。個々の実施例によれば、具体的な処理条件は、約600torrの圧力で約2000 mgmのTEOSフロー、約7000sccmのヘリウムフロー及び約5000sccmのオゾン(酸素約12.5wt%)フロー及びヒータ25とガス分配プレート20間の約9mm(約350mil)の間隔が含まれる。図58に見られるように、約600℃で堆積したUSG膜のFTIRスペクトルは低水分吸収を示した(約1wt%未満の水分)。更に、図58は、約0.5wt%未満の水分の増加が堆積後の約160時間にわたって見られることを示し、長期間にわたるPSG膜の安定性を示した。
【0250】
従って、図58によって支持されるように、USG堆積膜は密度が高く水分吸収耐性がある。高温、例えば、約550℃でのUSG膜の堆積は、膜へ吸収される水分を追い出す傾向があり、密度の高い膜をもたらす。密度の高い膜として、高温で堆積したUSG膜は、低い温度で堆積されたUSG膜に比べてアニーリングステップ及び後続のウェットエッチング処理後にボイド形成をもたらす縮みやすさが小さい利点がある。PSG堆積膜の密度の高い種類は、浅いトレンチ分離被覆に用いられるトレンチを充填する高品質酸化物層としての使用に適合する。高密度のために、高温で堆積しかつ酸化物充填層として用いられたUSG膜は、後続のアニール或いはCMPステップによって平坦化され、ボイドを開ける確度が最少になる。水分吸収耐性及び良好な膜厚均一性のほかに、高温で堆積した本USG膜は、後続のデバイス問題を引き起こすことがあるボイド又は弱いシームを形成することなく優れた高アスペクト比を与えることができる。
【0251】
通常、高圧O3/TEOS USG膜はパターン又は表面感受性作用を示すことがあり、一様でない堆積を生じ望ましくない。一様でない堆積による問題は高いO3/TEOS比で悪化することがわかった。有利には、少なくとも約550℃のような高温でのUSG膜の堆積には十分な堆積速度を得るために多くのTEOSの使用が必要である。従って、高温で堆積したUSG膜のO3/TEOS比は低く(約5:1未満)、パターン又は表面感受性作用を除去する。更に、膜質(例えば、密度、縮み等)は高温で堆積したUSG膜が高い。高温堆積USG膜の高密度のために、プラズマ緻密化処理又はプラズマ酸化物キャップは必要なく、ウェハに対するプラズマ損傷を回避する。従って、チャンバ内のそのような処理からのプラズマの欠徐は金属混入の可能性及びウェハでのデバイスの短絡の可能性を減少させる。たいていプラズマ緻密化処理又はプラズマ酸化物キャップが必要でありかつアニール後にボイドを開けるために縮むことがある低温熱USG膜に比べて、本発明に従って約550℃の温度で堆積した熱USG膜は、ギャップフィル性能が優れ、縮みが最小であり、膜密度が均一であり、プラズマ損傷することなく金属混入が少ない。
【0252】
上記の実験の説明は、例として、浅いトレンチ分離に対して高アスペクト比のトレンチを充填する高品質酸化物層として使用するための堆積USG膜の適合を示すものである。IMD被覆用に500℃より低い温度でUSG膜を堆積するために同じCVD装置が用いられる。上記の説明が本発明の範囲を制限するものとしてみなされないことは当然のことである。
【0253】
上記の説明は、例示であり限定されるものでないことは理解されるべきである。多くの実施例は、上記の説明を再検討する際に当業者に明らかになるであろう。例として、本発明は本明細書で主にUSG、BSG、PSG及びBPSGプロセスレシピに関して示したがそれに限定されない。例えば、他の実施例に従って形成された誘電膜はヒ素ドープ酸化シリコン膜又は他のドープ膜とすることができる。他の例として、誘電膜の堆積をヘリウムのようなキャリヤガスを用いて記載してきたが、アルゴン又は窒素のような他のキャリヤも同様に用いられる。更に、一例として誘電層をドープ接合形成、PMD層、IMD層、酸化物充填層、キャッピング層等を含む個々の被覆について記載してきた。上記の同じCVD装置が約400℃より低い温度及び500℃より高い温度で誘電層を堆積させるために用いられることが認識されることは当然のことである。更に、本発明の種々の態様が他の被覆にも用いられる。当業者は、本発明の特許請求の範囲内に保ちつつ誘電層を堆積する他の装置又は別の方法を認識するであろう。従って、本発明の範囲は、上記の説明について決定されるべきではなく、かかる特許請求の範囲が権利を与える等価物の完全な範囲と共に上記特許請求の範囲について決定されるべきである。
【図面の簡単な説明】
【図1】本発明のCVD装置の縦断面図である。
【図2】マルチチャンバ系におけるシステムモニタ及びCVD及び装置10の簡易線図である。
【図3】クリーンルームに位置したガス供給パネル80に関するCVD装置10の概略図である。
【図4】個々の実施例によるシステム制御ソフトウェア、コンピュータプログラム150の階層的制御構造のブロック図である。
【図5】具体的なヒータ制御サブルーチンのブロック図である。
【図6】本発明のCVD装置10の好適実施例の組立分解図である。
【図7】図2のライン3−3に沿って取った部分的に概略の縦断面図である。
【図8】図2の装置の半導体処理チャンバの拡大断面図である。
【図9】図2の装置のガス分配系の組立分解図である。
【図10】ガス分配系の一部を示すCVD装置10のリッドアセンブリの部分的に切り離した平面図である。
【図11】洗浄ガスのバイパス導管を組込んでいるCVD装置10の代替的リッドアセンブリの前断面図である。
【図12】洗浄ガスのバイパス導管を組込んでいるCVD装置10の代替的リッドアセンブリの平面図である。
【図13】本発明の実施例のチャンバライナーの側面図である。
【図14】本発明の実施例のチャンバライナーの底面図である。
【図15】図2のCVD装置10の排気系のポンプチャネル及びガスフローパターンを示すライン8−8に沿って取った図3の部分的略断面図である。
【図16】本発明の実施例のヒータ/リフトアセンブリの部分的略縦断面図である。
【図17】図16のヒータ/リフトアセンブリの底面部分の拡大断面図である。
【図18】本発明の実施例の図16のペデスタル/ヒータアセンブリの側断面図である。
【図19】ヒータコイルを示すペデスタル/ヒータの底面図である。
【図20】図16のヒータ/リフトアセンブリの組立分解図である。
【図21】図17のペデスタル/ヒータ内の電気接続部分の1つの拡大図である。
【図22】熱電対を入れるペデスタル/ヒータ内の孔を示す拡大断面図である。
【図23】熱電対を入れるペデスタル/ヒータ内の熱電対を示す断面図である。
【図24】本発明の実施例のウェハ及び/又はプロセスチャンバを洗浄するリモートマイクロ波プラズマ系の簡易線図である。
【図25】本発明の実施例の洗浄終点検出系の概略図である。
【図26】本発明の実施例の洗浄終点検出系の概略図である。
【図27】本発明の実施例の洗浄終点検出系の概略図である。
【図28】本発明の実施例の洗浄終点検出系の概略図である。
【図29】本発明の実施例に従って製造された半導体デバイスの簡易断面図である。
【図30】超薄ソース/ドレーン接合部分についての本発明の方法及び装置の具体的適用の簡易断面図である。
【図31】超薄ソース/ドレーン接合部分についての本発明の方法及び装置の具体的適用の簡易断面図である。
【図32】超薄ソース/ドレーン接合部分についての本発明の方法及び装置の具体的適用の簡易断面図である。
【図33】超薄ソース/ドレーン接合部分についての本発明の方法及び装置の具体的適用の簡易断面図である。
【図34】超薄ソース/ドレーン接合部分についての本発明の方法及び装置の具体的適用の簡易断面図である。
【図35】超薄トレンチ分離についての本発明の方法及び装置の他の具体的適用の簡易断面図である。
【図36】超薄トレンチ分離についての本発明の方法及び装置の他の具体的適用の簡易断面図である。
【図37】超薄トレンチ分離についての本発明の方法及び装置の他の具体的適用の簡易断面図である。
【図38】超薄トレンチ分離についての本発明の方法及び装置の他の具体的適用の簡易断面図である。
【図39】超薄トレンチ分離についての本発明の方法及び装置の他の具体的適用の簡易断面図である。
【図40】超薄トレンチ分離についての本発明の方法及び装置の他の具体的適用の簡易断面図である。
【図41】超薄トレンチ分離についての本発明の方法及び装置の他の具体的適用の簡易断面図である。
【図42】本発明の個々の実施例のリモートマイクロ波プラズマ系55を備えた最適洗浄速度を与えるNF3 流速とマイクロ波飽和電力間の関係を示すグラフである。
【図43】本発明の実施例に従って製造されたキャップしたBSG膜を用いて生成された超薄接合部分のドーパントプロファイルを示す実験結果を示すグラフである。
【図44】本発明の実施例に従って製造されたキャップしたBSG膜を用いて生成された超薄接合部分のドーパントプロファイルを示す実験結果を示すグラフである。
【図45】本発明の実施例に従って製造されたキャップしたBSG膜を用いて生成された超薄接合部分のドーパントプロファイルを示す実験結果を示すグラフである。
【図46】本発明の他の実施例の別のキャップしたBSG膜を用いて生成された超薄接合部分のドーパントプロファイルを示す実験結果を示す図である。
【図47】本発明の他の実施例の別のキャップしたBSG膜を用いて生成された超薄接合部分のドーパントプロファイルを示す実験結果を示す図である。
【図48】本発明の他の実施例の別のキャップしたBSG膜を用いて生成された超薄接合部分のドーパントプロファイルを示す実験結果を示す図である。
【図49】本発明の他の実施例の別のキャップしたBSG膜を用いて生成された超薄接合部分の面積抵抗を示す実験結果を示す図である。
【図50】本発明の他の実施例の別のキャップしたBSG膜を用いて生成された超薄接合部分のドーパントプロファイルを示す実験結果を示す図である。
【図51】本発明の他の実施例の別のキャップしたBSG膜を用いて生成された超薄接合部分の面積抵抗を示す実験結果を示す図である。
【図52】本発明の個々の実施例に従って600℃で堆積したPSG膜のアズ堆積ギャップ充填能力を示す顕微鏡写真である。
【図53】図52に示された構造部分の簡易線図である。
【図54】個々の実施例の具体的なプロセス条件下で約600℃で堆積したPSG膜のFTIRスペクトルを示す図である。
【図55】本発明の個々の実施例の約1050℃で加熱し引き続きウェットエッチング処理した後の約400℃で堆積したTEOS/O3 USG膜の相対ギャップ充填能力を示す顕微鏡写真である。
【図56】本発明の個々の実施例の約1050℃で加熱し引き続きウェットエッチング処理した後の約550℃で堆積したTEOS/O3 USG膜の相対ギャップ充填能力を示す顕微鏡写真である。
【図57】本発明の個々の実施例の約1000℃で加熱し引き続きウェッエッチング処理した後に約550℃で堆積したUSG膜のギャップ充填能力を示す顕微鏡写真である。
【図58】個々の実施例の具体的なプロセス条件下約550℃で堆積したUSG膜のFTIRスペクトルを示す図である。
【符号の説明】
10…CVD装置、13…処理位置、15…チャンバ、16…プロセスチャンバ、17…チャンバ壁、20…プレート、21…ウェハ表面、25…ヒータ、30…ヒータ/リフトアセンブリ、32…外部リッドアセンブリ、35…チャンバライナー、40…ポンプチャネル、43…供給ライン、導入管、47…導管、50…プロセッサ、55…リモートマイクロ波プラズマ系、57…ライン、60…排気ライン、63…スロットルバルブ系、70…メモリ、73a…モニタ、73b…ライトペン、75…メーンフレームユニック、80…ガス供給パネル、83…ライン、85…ライン、90…供給源、93…混合系、95…バルブ、100…マスフローコントローラ、157a〜c…チャンバマネージャーサブルーチン、159…終点検出制御サブルーチン、160…基板配置サブルーチン、163…プロセスガスサブルーチン、165…圧力制御サブルーチン、167…ヒータ制御サブルーチン、169…ゲッタリング制御サブルーチン、170…プラズマ制御サブルーチン、200〜202…シャフト、205…ガス分配系、210…排気系、215…液体冷却系、217、219…水接続部分、220…水流検出器、225…外部リッドアセンブリ、230…内部リッドアセンブリ、230′…リッドアセンブリ、233…カバー、235…切り抜き、237…リッドクランプ、239…リッドヒンジ、241…ロッキングラチェット機構、243…スリット、245…内壁、250…ライナー、252…シェルフ、253…内部、254…環状リッド、255…外部ライナー、259…エアギャップ、261、261…環状カバー、265…ベースプレート、270、277…クリーンガスマニホールド、281…作動ハンドル、290…入口、291…内部ライナー、292…アプリケータ管、293…通路、295…内部通路、297…外部通路、301…ガス分散プレート、303、305…取り付けねじ、311…みぞ、313…外部フランジ、315…孔、316…外部スタンドオフ、317…チャンバ、318…スタンドオフ、320…チャンバ、321…円板、325…ガス分散孔、327…中央孔、333…スロットルバルブ、343…みぞ、345…環状キャップ、351…矢印、355…スロット形オリフィス、361…ガス通路、排気口、363…真空シャットオフバルブ、371…分離バルブ、373…スロットルバルブ、381、383…キャパシタンス、マノメータ、385…コントローラ、391…上支持シャフト、393…下支持シャフト、395…リフト管、400…駆動アセンブリ、403…ウェハ支持表面、405…フランジ、407…ステッパモータ、411、413…ベローズ、420…ストライクプレート、430…ウェハ支持/リフトフィンガ、432…ガイドスタッド、440…ヒータコイルアセンブリ、445…中空コア、453…開口、457…シーリング部材、コンダクタワイヤ、461…垂直可動支持体、466…入口、出口、471…ヒータコイル、473…ヒータ要素、474…第2電気的接触部分、475…リード線、477…挿入部分、491…熱電対、493…エロンゲード管、495…センサ、500…環状冷却剤チャネル、502…環状流体チャネル、511…ヒータワイヤ端、513…熱電対管端、701…整合系、705…サーキュレータ、707…負荷、711…マグネトロン、721…導波管区分、733…UV電源、800…終点検出系、802…検出器、804…ハウジング、806…通し穴、808、810…フランジ、812、813…窓、814…供給源、816…検出器、880…終点検出系、900…集積回路、903、906…トランジスタ、915…ドレーン領域、920…電界酸化物領域、921…含金属誘電層、924…コンタクト、926…ビア、927〜929…内部誘電層、930…平坦化活性化層、942、944、946…金属層、1000…トランジスタ、1002…ゲート電極、1004…半導体材料、1006…電界酸化物領域、1008…ドープ誘電層、1010、1012…ソース/ドレーン領域、1020…超薄接合部分、1030…キャッピング層、1100…半導体材料、1102…トレンチ、1104…マスク、1106…ドープ誘電層、1108…超薄チャネルストップ領域、1110…キャップ層、1112、1114…デバイス。
Claims (9)
- 処理チャンバを収容する封入部分を有するタイプの蒸着装置用リッドアセンブリであって:
1種以上のガスを入れるガス導入口を有するベースプレート;
基板の表面の反対側に位置決めされ、前記1種以上のガスを該基板の表面へ分散させる前記ガス導入口へ流動的に連結された複数のガス分配孔を含むガス分配プレート;
前記ガス導入口及び前記処理チャンバに連結され、前記基板の周辺の外側に位置決めされたバイパス通路であって、該複数のガス分配孔より流体フローに対する抵抗が小さく、前記ガスの少なくとも一部が該処理チャンバへの該複数のガス分配孔をバイパスすることを可能にする、前記バイパス通路;
を備える、前記リッドアセンブリ。 - 出口と入口とを有する洗浄ガス通路であって、前記出口は前記ガス導入口に連結され、前記入口は1種以上の洗浄ガス供給源に結合するために用いられる、前記洗浄ガス通路を更に含む、請求項1記載のリッドアセンブリ。
- プロセスガス通路及びコントローラを更に含み、前記プロセスガス通路は、出口と入口とを有し、前記出口は前記ガス導入口に連結され、前記入口は1種以上のプロセスガス供給源に結合するために用いられ、前記コントローラは、該プロセスガス及び該洗浄ガスが該バイパス通路に入ることから選択的に許容及び阻止する、請求項2記載のリッドアセンブリ。
- 該ベースプレートが該ガス分配プレートに熱的に連結され、熱交換流体を入れる流体入口、該熱交換流体を放出する流体出口及び該流体入口及び該流体出口と通じている該ベースプレートの一部に形成された流体通路を画成する請求項1記載のリッドアセンブリ。
- 該ガス分配プレートと該ベースプレート間に配置されたガス分散プレートを更に含み、該ガス分散プレートが該ベースプレートに除去可能に取り付けられかつ該ガス導入口が該ガス分配孔と通じている1個以上のガス分散孔を画成し、該ガス分散孔がバイパス通路より流体フローに対する抵抗が大きい、請求項1記載のリッドアセンブリ。
- 集積回路デバイスを製造する装置であって:
処理チャンバを収容する封入部分;
前記封入部分に取り付けられ、前記処理チャンバに連結された出口、第1入口、第2入口を有するガス混合ブロック;
前記ガス混合ブロックに流動的に連結された洗浄ガス供給源;
前記ガス混合ブロックに流動的に連結されたプロセスガス供給源;
前記処理チャンバへの洗浄ガス又はプロセスガスを選択的に可能にするために前記洗浄ガス供給源及び前記プロセスガス供給源を該ガス混合ブロックと選択的かつ流動的に連結する為に前記ガス混合ブロックに連結されたバルブ;
ガス導入口が前記ガス混合ブロックに連結されたベースプレート;
前記ガスを前記チャンバへ分散させる前記ガス導入口へ流動的に連結された複数のガス分配孔を含むガス分配プレート;
前記基板の周辺の外側に位置決めされて前記ガス導入口及び前記処理チャンバに連結されたバイパス通路であって、該ガス分配孔より流体フローに対する抵抗が小さく、前記ガスの少なくとも一部が該チャンバへの該ガス分配孔をバイパスすることを可能にする、前記バイパス通路;
を備える、前記装置。 - ガスが該ガス混合ブロックに流動することを可能にするために該バルブを選択的に開放及び閉鎖する該バルブに連結されたコントローラを更に含む請求項6記載の装置。
- 該洗浄供給源を該ガス混合ブロックと連結する洗浄ガス通路を更に含み、該バルブが該洗浄ガス通路に沿って配置される請求項6記載の装置。
- 前記洗浄ガス通路が、該洗浄ガスによるエッチングに対して耐性のあるフッ化材料を含み、前記洗浄ガスがフッ素を含む請求項8記載の装置。
Applications Claiming Priority (2)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US08/749925 | 1996-11-13 | ||
US08/749,925 US6019848A (en) | 1996-11-13 | 1996-11-13 | Lid assembly for high temperature processing chamber |
Publications (2)
Publication Number | Publication Date |
---|---|
JPH10172964A JPH10172964A (ja) | 1998-06-26 |
JP4159126B2 true JP4159126B2 (ja) | 2008-10-01 |
Family
ID=25015798
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
JP31203297A Expired - Fee Related JP4159126B2 (ja) | 1996-11-13 | 1997-11-13 | 高温処理チャンバ用リッドアセンブリ |
Country Status (5)
Country | Link |
---|---|
US (1) | US6019848A (ja) |
JP (1) | JP4159126B2 (ja) |
KR (1) | KR100538137B1 (ja) |
SG (1) | SG99844A1 (ja) |
TW (1) | TW412779B (ja) |
Families Citing this family (139)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JP3801730B2 (ja) * | 1997-05-09 | 2006-07-26 | 株式会社半導体エネルギー研究所 | プラズマcvd装置及びそれを用いた薄膜形成方法 |
US5937323A (en) * | 1997-06-03 | 1999-08-10 | Applied Materials, Inc. | Sequencing of the recipe steps for the optimal low-k HDP-CVD processing |
JP2001520452A (ja) * | 1997-10-15 | 2001-10-30 | 東京エレクトロン株式会社 | プラズマの密度分布を調節する装置及び方法 |
WO1999019527A2 (en) * | 1997-10-15 | 1999-04-22 | Tokyo Electron Limited | Apparatus and method for utilizing a plasma density gradient to produce a flow of particles |
US6110556A (en) * | 1997-10-17 | 2000-08-29 | Applied Materials, Inc. | Lid assembly for a process chamber employing asymmetric flow geometries |
KR100524204B1 (ko) * | 1998-01-07 | 2006-01-27 | 동경 엘렉트론 주식회사 | 가스 처리장치 |
US6535779B1 (en) * | 1998-03-06 | 2003-03-18 | Applied Materials, Inc. | Apparatus and method for endpoint control and plasma monitoring |
US6551939B2 (en) * | 1998-03-17 | 2003-04-22 | Anneal Corporation | Plasma surface treatment method and resulting device |
CN1300328A (zh) * | 1998-04-14 | 2001-06-20 | Cvd***公司 | 薄膜淀积*** |
FR2781929B1 (fr) | 1998-07-28 | 2002-08-30 | St Microelectronics Sa | Capteur d'image a reseau de photodiodes |
EP0989595A3 (en) * | 1998-09-18 | 2001-09-19 | Ims-Ionen Mikrofabrikations Systeme Gmbh | Device for processing a surface of a substrate |
US6352876B1 (en) | 1999-07-28 | 2002-03-05 | Stmicroelectronics S.R.L. | Integrated semiconductor optic sensor device and corresponding manufacturing process |
DE10007059A1 (de) * | 2000-02-16 | 2001-08-23 | Aixtron Ag | Verfahren und Vorrichtung zur Herstellung von beschichteten Substraten mittels Kondensationsbeschichtung |
EP1127957A1 (en) * | 2000-02-24 | 2001-08-29 | Asm Japan K.K. | A film forming apparatus having cleaning function |
US6461435B1 (en) * | 2000-06-22 | 2002-10-08 | Applied Materials, Inc. | Showerhead with reduced contact area |
US6719851B1 (en) * | 2000-09-26 | 2004-04-13 | Applied Materials, Inc. | Lid assembly for opening a process chamber lid and uses therefor |
FR2820883B1 (fr) | 2001-02-12 | 2003-06-13 | St Microelectronics Sa | Photodiode a grande capacite |
FR2820882B1 (fr) | 2001-02-12 | 2003-06-13 | St Microelectronics Sa | Photodetecteur a trois transistors |
US6852167B2 (en) * | 2001-03-01 | 2005-02-08 | Micron Technology, Inc. | Methods, systems, and apparatus for uniform chemical-vapor depositions |
US6878206B2 (en) | 2001-07-16 | 2005-04-12 | Applied Materials, Inc. | Lid assembly for a processing system to facilitate sequential deposition techniques |
US6818096B2 (en) * | 2001-04-12 | 2004-11-16 | Michael Barnes | Plasma reactor electrode |
US6516814B2 (en) * | 2001-05-03 | 2003-02-11 | Silicon Integrated Systems Corp. | Method of rapid prevention of particle pollution in pre-clean chambers |
FR2824665B1 (fr) * | 2001-05-09 | 2004-07-23 | St Microelectronics Sa | Photodetecteur de type cmos |
JP3836696B2 (ja) * | 2001-08-31 | 2006-10-25 | 株式会社東芝 | 半導体製造システムおよび半導体装置の製造方法 |
JP4121269B2 (ja) * | 2001-11-27 | 2008-07-23 | 日本エー・エス・エム株式会社 | セルフクリーニングを実行するプラズマcvd装置及び方法 |
US7160577B2 (en) | 2002-05-02 | 2007-01-09 | Micron Technology, Inc. | Methods for atomic-layer deposition of aluminum oxides in integrated circuits |
US6759624B2 (en) | 2002-05-07 | 2004-07-06 | Ananda H. Kumar | Method and apparatus for heating a semiconductor wafer plasma reactor vacuum chamber |
JP3999059B2 (ja) * | 2002-06-26 | 2007-10-31 | 東京エレクトロン株式会社 | 基板処理システム及び基板処理方法 |
US6843882B2 (en) * | 2002-07-15 | 2005-01-18 | Applied Materials, Inc. | Gas flow control in a wafer processing system having multiple chambers for performing same process |
US7062141B2 (en) * | 2002-12-12 | 2006-06-13 | Applied Materials, Inc. | Deposition of thick BPSG layers as upper and lower cladding for optoelectronics applications |
JP2004214610A (ja) * | 2002-12-20 | 2004-07-29 | Renesas Technology Corp | 半導体装置の製造方法 |
US7125815B2 (en) * | 2003-07-07 | 2006-10-24 | Micron Technology, Inc. | Methods of forming a phosphorous doped silicon dioxide comprising layer |
JP4399206B2 (ja) * | 2003-08-06 | 2010-01-13 | 株式会社アルバック | 薄膜製造装置 |
EP1661161A2 (en) * | 2003-08-07 | 2006-05-31 | Sundew Technologies, LLC | Perimeter partition-valve with protected seals |
US20050120958A1 (en) * | 2003-12-07 | 2005-06-09 | Frank Lin | Reactor |
US7285503B2 (en) * | 2004-06-21 | 2007-10-23 | Applied Materials, Inc. | Hermetic cap layers formed on low-k films by plasma enhanced chemical vapor deposition |
US7081421B2 (en) | 2004-08-26 | 2006-07-25 | Micron Technology, Inc. | Lanthanide oxide dielectric layer |
US7494939B2 (en) * | 2004-08-31 | 2009-02-24 | Micron Technology, Inc. | Methods for forming a lanthanum-metal oxide dielectric layer |
US7235501B2 (en) * | 2004-12-13 | 2007-06-26 | Micron Technology, Inc. | Lanthanum hafnium oxide dielectrics |
US7662729B2 (en) * | 2005-04-28 | 2010-02-16 | Micron Technology, Inc. | Atomic layer deposition of a ruthenium layer to a lanthanide oxide dielectric layer |
US7927948B2 (en) | 2005-07-20 | 2011-04-19 | Micron Technology, Inc. | Devices with nanocrystals and methods of formation |
CN100358099C (zh) * | 2005-08-05 | 2007-12-26 | 中微半导体设备(上海)有限公司 | 等离子体处理装置 |
US8366829B2 (en) * | 2005-08-05 | 2013-02-05 | Advanced Micro-Fabrication Equipment, Inc. Asia | Multi-station decoupled reactive ion etch chamber |
ITMI20060180A1 (it) * | 2006-02-03 | 2007-08-04 | Cedal Equipment S R L | Pannello radiante in alluminio anodizzato con resistenza elettrica in acciaio inox |
US20080029197A1 (en) * | 2006-07-04 | 2008-02-07 | Matsushita Electric Industrial Co., Ltd. | Surface treating apparatus using atomic hydrogen |
US8780343B2 (en) | 2006-07-28 | 2014-07-15 | Alliance For Sustainable Energy, Llc | Wafer screening device and methods for wafer screening |
KR20080023172A (ko) * | 2006-09-08 | 2008-03-12 | 주성엔지니어링(주) | 기판 가장자리 식각 장치 |
CN102027581B (zh) * | 2008-03-13 | 2012-12-26 | 可持续能源联盟有限责任公司 | 用于半导体晶片处理的光腔炉 |
US8596336B2 (en) * | 2008-06-03 | 2013-12-03 | Applied Materials, Inc. | Substrate support temperature control |
US9155134B2 (en) * | 2008-10-17 | 2015-10-06 | Applied Materials, Inc. | Methods and apparatus for rapidly responsive heat control in plasma processing devices |
CN102405511B (zh) * | 2009-04-20 | 2014-06-11 | 应用材料公司 | 使用处理腔室壁上的硅涂层增强清除残余的氟自由基的方法 |
JP5698950B2 (ja) | 2009-10-23 | 2015-04-08 | 株式会社半導体エネルギー研究所 | 半導体装置の作製方法 |
US8895116B2 (en) | 2010-11-04 | 2014-11-25 | Semiconductor Energy Laboratory Co., Ltd. | Manufacturing method of crystalline semiconductor film and manufacturing method of semiconductor device |
US10283321B2 (en) | 2011-01-18 | 2019-05-07 | Applied Materials, Inc. | Semiconductor processing system and methods using capacitively coupled plasma |
US20140154415A1 (en) * | 2011-07-27 | 2014-06-05 | Sharp Kabushiki Kaisha | Method for manufacturing silicon-containing film |
KR101327458B1 (ko) * | 2012-01-10 | 2013-11-08 | 주식회사 유진테크 | 냉각 방식의 샤워헤드 및 이를 구비하는 기판 처리 장치 |
US9373517B2 (en) | 2012-08-02 | 2016-06-21 | Applied Materials, Inc. | Semiconductor processing with DC assisted RF power for improved control |
US9132436B2 (en) | 2012-09-21 | 2015-09-15 | Applied Materials, Inc. | Chemical control features in wafer process equipment |
US10256079B2 (en) | 2013-02-08 | 2019-04-09 | Applied Materials, Inc. | Semiconductor processing systems having multiple plasma configurations |
US9362130B2 (en) | 2013-03-01 | 2016-06-07 | Applied Materials, Inc. | Enhanced etching processes using remote plasma sources |
US9309598B2 (en) | 2014-05-28 | 2016-04-12 | Applied Materials, Inc. | Oxide and metal removal |
US9966240B2 (en) | 2014-10-14 | 2018-05-08 | Applied Materials, Inc. | Systems and methods for internal surface conditioning assessment in plasma processing equipment |
US9355922B2 (en) | 2014-10-14 | 2016-05-31 | Applied Materials, Inc. | Systems and methods for internal surface conditioning in plasma processing equipment |
US11637002B2 (en) | 2014-11-26 | 2023-04-25 | Applied Materials, Inc. | Methods and systems to enhance process uniformity |
US10224210B2 (en) | 2014-12-09 | 2019-03-05 | Applied Materials, Inc. | Plasma processing system with direct outlet toroidal plasma source |
US10573496B2 (en) | 2014-12-09 | 2020-02-25 | Applied Materials, Inc. | Direct outlet toroidal plasma source |
US11257693B2 (en) | 2015-01-09 | 2022-02-22 | Applied Materials, Inc. | Methods and systems to improve pedestal temperature control |
US20160225652A1 (en) | 2015-02-03 | 2016-08-04 | Applied Materials, Inc. | Low temperature chuck for plasma processing systems |
US9728437B2 (en) | 2015-02-03 | 2017-08-08 | Applied Materials, Inc. | High temperature chuck for plasma processing systems |
US9691645B2 (en) | 2015-08-06 | 2017-06-27 | Applied Materials, Inc. | Bolted wafer chuck thermal management systems and methods for wafer processing systems |
US9741593B2 (en) | 2015-08-06 | 2017-08-22 | Applied Materials, Inc. | Thermal management systems and methods for wafer processing systems |
US9349605B1 (en) | 2015-08-07 | 2016-05-24 | Applied Materials, Inc. | Oxide etch selectivity systems and methods |
US10504700B2 (en) | 2015-08-27 | 2019-12-10 | Applied Materials, Inc. | Plasma etching systems and methods with secondary plasma injection |
US20170162366A1 (en) * | 2015-12-08 | 2017-06-08 | Asm Ip Holding B.V. | Film forming apparatus, recording medium, and film forming method |
US10522371B2 (en) | 2016-05-19 | 2019-12-31 | Applied Materials, Inc. | Systems and methods for improved semiconductor etching and component protection |
US10504754B2 (en) | 2016-05-19 | 2019-12-10 | Applied Materials, Inc. | Systems and methods for improved semiconductor etching and component protection |
US10629473B2 (en) | 2016-09-09 | 2020-04-21 | Applied Materials, Inc. | Footing removal for nitride spacer |
US10062575B2 (en) | 2016-09-09 | 2018-08-28 | Applied Materials, Inc. | Poly directional etch by oxidation |
US10546729B2 (en) | 2016-10-04 | 2020-01-28 | Applied Materials, Inc. | Dual-channel showerhead with improved profile |
US9934942B1 (en) | 2016-10-04 | 2018-04-03 | Applied Materials, Inc. | Chamber with flow-through source |
US10062585B2 (en) | 2016-10-04 | 2018-08-28 | Applied Materials, Inc. | Oxygen compatible plasma source |
US10062579B2 (en) | 2016-10-07 | 2018-08-28 | Applied Materials, Inc. | Selective SiN lateral recess |
US9768034B1 (en) | 2016-11-11 | 2017-09-19 | Applied Materials, Inc. | Removal methods for high aspect ratio structures |
US10163696B2 (en) | 2016-11-11 | 2018-12-25 | Applied Materials, Inc. | Selective cobalt removal for bottom up gapfill |
US10242908B2 (en) | 2016-11-14 | 2019-03-26 | Applied Materials, Inc. | Airgap formation with damage-free copper |
US10026621B2 (en) | 2016-11-14 | 2018-07-17 | Applied Materials, Inc. | SiN spacer profile patterning |
US10566206B2 (en) | 2016-12-27 | 2020-02-18 | Applied Materials, Inc. | Systems and methods for anisotropic material breakthrough |
US10403507B2 (en) | 2017-02-03 | 2019-09-03 | Applied Materials, Inc. | Shaped etch profile with oxidation |
US10431429B2 (en) | 2017-02-03 | 2019-10-01 | Applied Materials, Inc. | Systems and methods for radial and azimuthal control of plasma uniformity |
US10043684B1 (en) | 2017-02-06 | 2018-08-07 | Applied Materials, Inc. | Self-limiting atomic thermal etching systems and methods |
US10319739B2 (en) | 2017-02-08 | 2019-06-11 | Applied Materials, Inc. | Accommodating imperfectly aligned memory holes |
US10943834B2 (en) | 2017-03-13 | 2021-03-09 | Applied Materials, Inc. | Replacement contact process |
US10319649B2 (en) | 2017-04-11 | 2019-06-11 | Applied Materials, Inc. | Optical emission spectroscopy (OES) for remote plasma monitoring |
US11276559B2 (en) | 2017-05-17 | 2022-03-15 | Applied Materials, Inc. | Semiconductor processing chamber for multiple precursor flow |
US11276590B2 (en) | 2017-05-17 | 2022-03-15 | Applied Materials, Inc. | Multi-zone semiconductor substrate supports |
US10049891B1 (en) | 2017-05-31 | 2018-08-14 | Applied Materials, Inc. | Selective in situ cobalt residue removal |
US10497579B2 (en) | 2017-05-31 | 2019-12-03 | Applied Materials, Inc. | Water-free etching methods |
US10920320B2 (en) | 2017-06-16 | 2021-02-16 | Applied Materials, Inc. | Plasma health determination in semiconductor substrate processing reactors |
US10541246B2 (en) | 2017-06-26 | 2020-01-21 | Applied Materials, Inc. | 3D flash memory cells which discourage cross-cell electrical tunneling |
US10727080B2 (en) | 2017-07-07 | 2020-07-28 | Applied Materials, Inc. | Tantalum-containing material removal |
US10541184B2 (en) | 2017-07-11 | 2020-01-21 | Applied Materials, Inc. | Optical emission spectroscopic techniques for monitoring etching |
US10354889B2 (en) | 2017-07-17 | 2019-07-16 | Applied Materials, Inc. | Non-halogen etching of silicon-containing materials |
US10043674B1 (en) | 2017-08-04 | 2018-08-07 | Applied Materials, Inc. | Germanium etching systems and methods |
US10170336B1 (en) | 2017-08-04 | 2019-01-01 | Applied Materials, Inc. | Methods for anisotropic control of selective silicon removal |
US10297458B2 (en) | 2017-08-07 | 2019-05-21 | Applied Materials, Inc. | Process window widening using coated parts in plasma etch processes |
US10128086B1 (en) | 2017-10-24 | 2018-11-13 | Applied Materials, Inc. | Silicon pretreatment for nitride removal |
US10283324B1 (en) | 2017-10-24 | 2019-05-07 | Applied Materials, Inc. | Oxygen treatment for nitride etching |
US10424487B2 (en) | 2017-10-24 | 2019-09-24 | Applied Materials, Inc. | Atomic layer etching processes |
US10256112B1 (en) | 2017-12-08 | 2019-04-09 | Applied Materials, Inc. | Selective tungsten removal |
US10903054B2 (en) | 2017-12-19 | 2021-01-26 | Applied Materials, Inc. | Multi-zone gas distribution systems and methods |
US11328909B2 (en) | 2017-12-22 | 2022-05-10 | Applied Materials, Inc. | Chamber conditioning and removal processes |
US10854426B2 (en) | 2018-01-08 | 2020-12-01 | Applied Materials, Inc. | Metal recess for semiconductor structures |
US10964512B2 (en) | 2018-02-15 | 2021-03-30 | Applied Materials, Inc. | Semiconductor processing chamber multistage mixing apparatus and methods |
US10679870B2 (en) | 2018-02-15 | 2020-06-09 | Applied Materials, Inc. | Semiconductor processing chamber multistage mixing apparatus |
TWI716818B (zh) | 2018-02-28 | 2021-01-21 | 美商應用材料股份有限公司 | 形成氣隙的系統及方法 |
US10593560B2 (en) | 2018-03-01 | 2020-03-17 | Applied Materials, Inc. | Magnetic induction plasma source for semiconductor processes and equipment |
US10319600B1 (en) | 2018-03-12 | 2019-06-11 | Applied Materials, Inc. | Thermal silicon etch |
US10497573B2 (en) | 2018-03-13 | 2019-12-03 | Applied Materials, Inc. | Selective atomic layer etching of semiconductor materials |
US10573527B2 (en) | 2018-04-06 | 2020-02-25 | Applied Materials, Inc. | Gas-phase selective etching systems and methods |
US10490406B2 (en) | 2018-04-10 | 2019-11-26 | Appled Materials, Inc. | Systems and methods for material breakthrough |
US10699879B2 (en) | 2018-04-17 | 2020-06-30 | Applied Materials, Inc. | Two piece electrode assembly with gap for plasma control |
US10886137B2 (en) | 2018-04-30 | 2021-01-05 | Applied Materials, Inc. | Selective nitride removal |
US10872778B2 (en) | 2018-07-06 | 2020-12-22 | Applied Materials, Inc. | Systems and methods utilizing solid-phase etchants |
US10755941B2 (en) | 2018-07-06 | 2020-08-25 | Applied Materials, Inc. | Self-limiting selective etching systems and methods |
KR102628794B1 (ko) * | 2018-07-17 | 2024-01-23 | 주식회사 엘지에너지솔루션 | 공기 정화 기능을 구비한 전극 조립체 제조 장치 |
US10672642B2 (en) | 2018-07-24 | 2020-06-02 | Applied Materials, Inc. | Systems and methods for pedestal configuration |
US10892198B2 (en) | 2018-09-14 | 2021-01-12 | Applied Materials, Inc. | Systems and methods for improved performance in semiconductor processing |
US11049755B2 (en) | 2018-09-14 | 2021-06-29 | Applied Materials, Inc. | Semiconductor substrate supports with embedded RF shield |
US11062887B2 (en) | 2018-09-17 | 2021-07-13 | Applied Materials, Inc. | High temperature RF heater pedestals |
US11417534B2 (en) | 2018-09-21 | 2022-08-16 | Applied Materials, Inc. | Selective material removal |
US11682560B2 (en) | 2018-10-11 | 2023-06-20 | Applied Materials, Inc. | Systems and methods for hafnium-containing film removal |
US11121002B2 (en) | 2018-10-24 | 2021-09-14 | Applied Materials, Inc. | Systems and methods for etching metals and metal derivatives |
US11437242B2 (en) | 2018-11-27 | 2022-09-06 | Applied Materials, Inc. | Selective removal of silicon-containing materials |
US11721527B2 (en) | 2019-01-07 | 2023-08-08 | Applied Materials, Inc. | Processing chamber mixing systems |
US10920319B2 (en) | 2019-01-11 | 2021-02-16 | Applied Materials, Inc. | Ceramic showerheads with conductive electrodes |
US12012653B2 (en) * | 2021-03-23 | 2024-06-18 | Applied Materials, Inc. | Cleaning assemblies for substrate processing chambers |
US11781212B2 (en) * | 2021-04-07 | 2023-10-10 | Applied Material, Inc. | Overlap susceptor and preheat ring |
CN113745131B (zh) * | 2021-08-31 | 2024-01-16 | 顾赢速科技(合肥)有限公司 | 多层外延工艺及其线性平台设备 |
CN115206848B (zh) * | 2022-08-01 | 2023-10-24 | 北京屹唐半导体科技股份有限公司 | 晶圆的热处理装置 |
Family Cites Families (20)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US3934060A (en) * | 1973-12-19 | 1976-01-20 | Motorola, Inc. | Method for forming a deposited silicon dioxide layer on a semiconductor wafer |
US4002512A (en) * | 1974-09-16 | 1977-01-11 | Western Electric Company, Inc. | Method of forming silicon dioxide |
JPS6060060A (ja) * | 1983-09-12 | 1985-04-06 | 株式会社日立製作所 | 鉄道車両の扉開閉装置 |
JPS60116126A (ja) * | 1983-11-28 | 1985-06-22 | Ricoh Co Ltd | プラズマcvd装置 |
US4567938A (en) * | 1984-05-02 | 1986-02-04 | Varian Associates, Inc. | Method and apparatus for controlling thermal transfer in a cyclic vacuum processing system |
US4695700A (en) * | 1984-10-22 | 1987-09-22 | Texas Instruments Incorporated | Dual detector system for determining endpoint of plasma etch process |
US4951601A (en) * | 1986-12-19 | 1990-08-28 | Applied Materials, Inc. | Multi-chamber integrated process system |
US4872947A (en) * | 1986-12-19 | 1989-10-10 | Applied Materials, Inc. | CVD of silicon oxide using TEOS decomposition and in-situ planarization process |
US4780169A (en) * | 1987-05-11 | 1988-10-25 | Tegal Corporation | Non-uniform gas inlet for dry etching apparatus |
US5453124A (en) * | 1992-12-30 | 1995-09-26 | Texas Instruments Incorporated | Programmable multizone gas injector for single-wafer semiconductor processing equipment |
US5695568A (en) * | 1993-04-05 | 1997-12-09 | Applied Materials, Inc. | Chemical vapor deposition chamber |
US5403459A (en) * | 1993-05-17 | 1995-04-04 | Applied Materials, Inc. | Cleaning of a PVD chamber containing a collimator |
JPH07176484A (ja) * | 1993-06-28 | 1995-07-14 | Applied Materials Inc | 窒化アルミニューム面を有するサセプタをサセプタの浄化後珪化タングステンで処理することによって半導体ウエハ上に珪化タングステンを一様に堆積する方法 |
JP3158264B2 (ja) * | 1993-08-11 | 2001-04-23 | 東京エレクトロン株式会社 | ガス処理装置 |
US5616208A (en) * | 1993-09-17 | 1997-04-01 | Tokyo Electron Limited | Vacuum processing apparatus, vacuum processing method, and method for cleaning the vacuum processing apparatus |
US5545289A (en) * | 1994-02-03 | 1996-08-13 | Applied Materials, Inc. | Passivating, stripping and corrosion inhibition of semiconductor substrates |
US5551982A (en) * | 1994-03-31 | 1996-09-03 | Applied Materials, Inc. | Semiconductor wafer process chamber with susceptor back coating |
EP0697467A1 (en) * | 1994-07-21 | 1996-02-21 | Applied Materials, Inc. | Method and apparatus for cleaning a deposition chamber |
US5558717A (en) * | 1994-11-30 | 1996-09-24 | Applied Materials | CVD Processing chamber |
KR100230803B1 (ko) * | 1996-10-08 | 1999-11-15 | 김영환 | 스크러버장치의 공급/배기관 |
-
1996
- 1996-11-13 US US08/749,925 patent/US6019848A/en not_active Expired - Lifetime
-
1997
- 1997-10-22 SG SG9703825A patent/SG99844A1/en unknown
- 1997-11-11 TW TW086116805A patent/TW412779B/zh not_active IP Right Cessation
- 1997-11-13 JP JP31203297A patent/JP4159126B2/ja not_active Expired - Fee Related
- 1997-11-13 KR KR1019970059587A patent/KR100538137B1/ko not_active IP Right Cessation
Also Published As
Publication number | Publication date |
---|---|
KR100538137B1 (ko) | 2006-02-28 |
US6019848A (en) | 2000-02-01 |
JPH10172964A (ja) | 1998-06-26 |
TW412779B (en) | 2000-11-21 |
KR19980042358A (ko) | 1998-08-17 |
SG99844A1 (en) | 2003-11-27 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
JP4159126B2 (ja) | 高温処理チャンバ用リッドアセンブリ | |
JP4365459B2 (ja) | ドープ酸化シリコン膜を用いて超薄ドープ領域を形成する方法 | |
US5963840A (en) | Methods for depositing premetal dielectric layer at sub-atmospheric and high temperature conditions | |
US5935340A (en) | Method and apparatus for gettering fluorine from chamber material surfaces | |
US5935334A (en) | Substrate processing apparatus with bottom-mounted remote plasma system | |
US6352591B1 (en) | Methods and apparatus for shallow trench isolation | |
US5939831A (en) | Methods and apparatus for pre-stabilized plasma generation for microwave clean applications | |
US5812403A (en) | Methods and apparatus for cleaning surfaces in a substrate processing system | |
US6347636B1 (en) | Methods and apparatus for gettering fluorine from chamber material surfaces | |
US5968587A (en) | Systems and methods for controlling the temperature of a vapor deposition apparatus | |
US6444037B1 (en) | Chamber liner for high temperature processing chamber | |
US6645303B2 (en) | Heater/lift assembly for high temperature processing chamber | |
US5879574A (en) | Systems and methods for detecting end of chamber clean in a thermal (non-plasma) process | |
KR100538136B1 (ko) | 반도체웨이퍼의고온처리를위한시스템및그방법 | |
US6217658B1 (en) | Sequencing of the recipe steps for the optimal low-dielectric constant HDP-CVD Processing | |
US6846742B2 (en) | Si seasoning to reduce particles, extend clean frequency, block mobile ions and increase chamber throughput | |
US20030045098A1 (en) | Method and apparatus for processing a wafer | |
US6436303B1 (en) | Film removal employing a remote plasma source |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
A621 | Written request for application examination |
Free format text: JAPANESE INTERMEDIATE CODE: A621 Effective date: 20041105 |
|
A131 | Notification of reasons for refusal |
Free format text: JAPANESE INTERMEDIATE CODE: A131 Effective date: 20070814 |
|
A601 | Written request for extension of time |
Free format text: JAPANESE INTERMEDIATE CODE: A601 Effective date: 20071114 |
|
A602 | Written permission of extension of time |
Free format text: JAPANESE INTERMEDIATE CODE: A602 Effective date: 20071119 |
|
A601 | Written request for extension of time |
Free format text: JAPANESE INTERMEDIATE CODE: A601 Effective date: 20071214 |
|
A602 | Written permission of extension of time |
Free format text: JAPANESE INTERMEDIATE CODE: A602 Effective date: 20071219 |
|
A521 | Written amendment |
Free format text: JAPANESE INTERMEDIATE CODE: A523 Effective date: 20071228 |
|
RD03 | Notification of appointment of power of attorney |
Free format text: JAPANESE INTERMEDIATE CODE: A7423 Effective date: 20071228 |
|
A131 | Notification of reasons for refusal |
Free format text: JAPANESE INTERMEDIATE CODE: A131 Effective date: 20080205 |
|
A521 | Written amendment |
Free format text: JAPANESE INTERMEDIATE CODE: A523 Effective date: 20080430 |
|
TRDD | Decision of grant or rejection written | ||
A01 | Written decision to grant a patent or to grant a registration (utility model) |
Free format text: JAPANESE INTERMEDIATE CODE: A01 Effective date: 20080617 |
|
A01 | Written decision to grant a patent or to grant a registration (utility model) |
Free format text: JAPANESE INTERMEDIATE CODE: A01 |
|
A61 | First payment of annual fees (during grant procedure) |
Free format text: JAPANESE INTERMEDIATE CODE: A61 Effective date: 20080715 |
|
R150 | Certificate of patent or registration of utility model |
Free format text: JAPANESE INTERMEDIATE CODE: R150 |
|
FPAY | Renewal fee payment (event date is renewal date of database) |
Free format text: PAYMENT UNTIL: 20110725 Year of fee payment: 3 |
|
FPAY | Renewal fee payment (event date is renewal date of database) |
Free format text: PAYMENT UNTIL: 20110725 Year of fee payment: 3 |
|
RD02 | Notification of acceptance of power of attorney |
Free format text: JAPANESE INTERMEDIATE CODE: R3D02 |
|
FPAY | Renewal fee payment (event date is renewal date of database) |
Free format text: PAYMENT UNTIL: 20110725 Year of fee payment: 3 |
|
FPAY | Renewal fee payment (event date is renewal date of database) |
Free format text: PAYMENT UNTIL: 20120725 Year of fee payment: 4 |
|
FPAY | Renewal fee payment (event date is renewal date of database) |
Free format text: PAYMENT UNTIL: 20120725 Year of fee payment: 4 |
|
FPAY | Renewal fee payment (event date is renewal date of database) |
Free format text: PAYMENT UNTIL: 20130725 Year of fee payment: 5 |
|
R250 | Receipt of annual fees |
Free format text: JAPANESE INTERMEDIATE CODE: R250 |
|
LAPS | Cancellation because of no payment of annual fees |