JP4076335B2 - Semiconductor device and manufacturing method thereof - Google Patents

Semiconductor device and manufacturing method thereof Download PDF

Info

Publication number
JP4076335B2
JP4076335B2 JP2001319839A JP2001319839A JP4076335B2 JP 4076335 B2 JP4076335 B2 JP 4076335B2 JP 2001319839 A JP2001319839 A JP 2001319839A JP 2001319839 A JP2001319839 A JP 2001319839A JP 4076335 B2 JP4076335 B2 JP 4076335B2
Authority
JP
Japan
Prior art keywords
wiring
alloy
semiconductor substrate
plating solution
plating
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP2001319839A
Other languages
Japanese (ja)
Other versions
JP2003124217A (en
Inventor
裕章 井上
新明 王
守治 松本
真 金山
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Ebara Corp
JCU Corp
Original Assignee
Ebara Corp
JCU Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority to JP2001319839A priority Critical patent/JP4076335B2/en
Application filed by Ebara Corp, JCU Corp filed Critical Ebara Corp
Priority to PCT/JP2002/008214 priority patent/WO2003017359A1/en
Priority to KR10-2004-7002117A priority patent/KR20040018558A/en
Priority to CNB028157907A priority patent/CN1329972C/en
Priority to EP02758831A priority patent/EP1418619A4/en
Priority to US10/216,902 priority patent/US7060618B2/en
Publication of JP2003124217A publication Critical patent/JP2003124217A/en
Priority to US11/254,790 priority patent/US7279408B2/en
Priority to US11/898,440 priority patent/US20080011228A1/en
Application granted granted Critical
Publication of JP4076335B2 publication Critical patent/JP4076335B2/en
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Description

【0001】
【発明の属する技術分野】
本発明は、半導体装置及びその製造方法に関し、特に半導体基板等の表面に設けた配線用の微細な凹部に銅や銀等の導電体を埋め込んで構成した埋め込み配線構造を有し、配線の表面を保護膜で保護した半導体装置及びその製造方法に関するものである。
【0002】
【従来の技術】
半導体装置の配線形成プロセスとして、配線溝及びコンタクトホールに金属(導電体)を埋込むようにしたプロセス(いわゆる、ダマシンプロセス)が使用されつつある。これは、層間絶縁膜に予め形成した配線溝やコンタクトホールに、アルミニウム、近年では銅や銀等の金属を埋め込んだ後、余分な金属を化学的機械的研磨(CMP)によって除去し平坦化するプロセス技術である。
【0003】
この種の配線にあっては、平坦化後、その配線の表面が外部に露出しており、この上に埋め込み配線を形成する際、例えば次工程の層間絶縁膜形成プロセスにおけるSiO形成時の表面酸化やビアホールを形成するためのSiOエッチング等に際して、ビアホール底に露出した配線のエッチャントやレジスト剥離等による表面汚染が懸念されている。
【0004】
このため、従来、表面が露出している配線形成部のみならず、半導体基板の全表面にSiN等の配線保護膜を形成して、配線のエッチャント等による汚染を防止することが一般に行われていた。しかし、半導体基板の全表面にSiN等の保護膜を形成すると、埋め込み配線構造を有する半導体装置においては、層間絶縁膜の誘電率が上昇して配線遅延を誘発し、配線材料として銅や銀のような低抵抗材料を使用したとしても、半導体装置として能力向上を阻害してしまう。
【0005】
そこで、比抵抗(ρ)が低い、例えば無電解めっきによって得られる、Co−W−B、Co−W−P等のCo−W合金からなる保護膜で配線の表面を選択的に覆って、配線の表面汚染、更には熱拡散を防止することが検討されている。
【0006】
【発明が解決しようとする課題】
しかしながら、無電解めっきによって得られる、Co−W−B、Co−W−P合金等のW(タングステン)を有するCo−W合金からなる保護膜(蓋材)で埋め込み配線の表面を選択的に覆って配線を保護すると、配線の熱拡散を有効に防止することができる(熱拡散防止効果に優れている)ものの、めっき速度が遅く、しかもめっき膜の膜厚が下地(配線)の膜質の状態に敏感に影響され、例えば結晶配向の差異に起因してめっき膜の膜厚に顕著な差が生じ、サブミクロンの配線上にはめっき膜が形成されないことがある。これは、めっき液中のWが還元剤のアノード酸化電流の放電を阻害する因子となるためであると考えられる。このため、図13に示すように、めっき液中のW濃度(W含有率)が増加すると、このW濃度の増加に伴ってめっき速度が低下する。
【0007】
一方、Co−B、Co−P合金等のWを有さないCo合金からなる保護膜(蓋材)で埋め込み配線の表面を選択的に覆って配線を保護すると、めっき速度が速く、しかも下地(配線)の膜質の状態に影響されることなく、従ってサブミクロンの配線上にも一定の膜厚のめっき膜を形成することができるものの、配線の熱拡散を有効に防止することができない(熱拡散防止効果に劣る)。
【0008】
つまり、保護膜として配線の熱拡散防止効果に優れたものを使用することと、保護膜の膜厚を一定にすることとを両立させることが困難であるといった問題があった。ここで、保護膜とは埋め込み配線材料の熱拡散防止機能を有し、かつ積層配線を形成する際の酸化雰囲気において配線材料の酸化防止機能を有する膜であり、更に耐エッチント性に富む膜を示す。
【0009】
本発明は上記事情に鑑みてなされたもので、埋め込み配線の表面のみを、配線の熱拡散防止効果に優れた一定の膜厚の保護膜で選択的に覆って、配線の熱拡散を有効に防止することができるようにした半導体装置及びその製造方法を提供することを目的とする。
【0010】
【課題を解決するための手段】
請求項1に記載の発明は、埋め込み配線構造を有する半導体装置の露出配線の表面に、Co−B合金またはCo−P合金からなるシード層を無電解めっきによって選択的に形成し、このシード層の表面に、Co−W−B合金またはCo−W−P合金からなる保護膜を選択的に形成したことを特徴とする半導体装置である。
【0013】
れにより、先ず下地の膜質の状態に影響されることなく、均一な膜質のCo−B合金またはCo−P合金からなるシード層を均一な膜厚に形成し、このシード層の表面に、熱拡散防止効果に優れたCo−W−B、Co−W−P合金からなる保護膜を均一な膜厚で形成することができる。
請求項2に記載の発明は、前記配線は、銅からなることを特徴とする請求項1記載の半導体装置である。
【0014】
請求項に記載の発明は、埋め込み配線構造を有する半導体装置の露出配線の表面に、Co−B合金またはCo−P合金からなるシード層を無電解めっきによって選択的に形成し、このシード層の表面に、Co−W−B合金またはCo−W−P合金からなる保護膜を選択的に形成することを特徴とする半導体装置の製造方法である。
【0015】
請求項に記載の発明は、前記配線は、銅からなることを特徴とする請求項記載の半導体装置の製造方法である。
【0018】
【発明の実施の形態】
以下、本発明の実施の形態について説明する。
図1は、半導体装置における銅配線形成例を工程順に示すもので、図1(a)に示すように、半導体素子を形成した半導体基材1上の導電層1aの上に、例えばSiOからなる絶縁膜2を堆積し、この絶縁膜2の内部に、例えばリソグラフィ・エッチング技術によりコンタクトホール3と配線用の溝4を形成し、その上にTaN等からなるバリア層5、更にその上に電解めっきの給電層としての銅シード層6をスパッタリング等により形成する。
【0019】
そして、図1(b)に示すように、半導体基板Wの表面に銅めっきを施すことで、半導体基板Wのコンタクトホール3及び溝4内に銅を充填させるとともに、絶縁膜2上に銅層7を堆積させる。銅層7の熱処理により、銅層7の抵抗を下げ、その後、化学的機械的研磨(CMP)により、絶縁膜2上の銅層7を除去して、コンタクトホール3及び配線用の溝4に充填させた銅層7の表面と絶縁膜2の表面とをほぼ同一平面にする。これにより、図1(c)に示すように、絶縁膜2の内部に銅シード層6と銅層7からなる配線8を形成する。
【0020】
本発明の半導体装置は、上記のようにして基板Wに形成した配線8の露出表面を、図2に示すように、例えば熱拡散防止効果に優れた保護膜10で選択的に覆い、これによって、配線8の熱拡散を防止しつつ配線8を汚染等から保護し、更に、基板Wの表面に、例えばSiOやSiOF等の絶縁膜22を積層して、多層配線構造を構成するようにしている。この保護膜10は、保護膜成膜用の前処理として、先ず配線8に付きやすく、触媒の役割を果たすシード層9を選択的に形成し、このシード層9の表面に選択的に形成される。
【0021】
この時の工程の一部を図3に示す。この例では、先ず、CMP処理後の基板Wの下地となる配線8の表面に前処理(下地前処理)を施し、水洗した後、基板Wの表面に保護膜成膜用の前処理を施す。つまり、この例では、第1段の無電解めっきを施して、図2(a)に示すように、配線8の外部への露出表面に、例えばCo−B合金またはCo−P合金等のWを含まないCo合金からなるシード層9を選択的に形成する。次に、基板Wを必要に応じて水洗した後、第2段の無電解めっき処理を施して、図2(b)に示すように、シード層9の表面に、例えばCo−W−B合金またはCo−W−P合金等のWを含むCo−W合金からなる熱拡散防止効果に優れた保護膜10を選択に形成する。そして、水洗し乾燥させた後、図2(c)に示すように、この上に絶縁膜22を堆積させる。
【0022】
このように、配線8の露出表面を、熱拡散効果に優れたCo−W−B合金またはCo−W−P合金等のWを含むCo−W合金からなる保護膜10で選択的に覆って配線8を保護することで、配線の熱拡散を有効に防止することができる。しかも、下地の膜質、すなわち配線8の状態に影響されることなく、均一な膜質で一定の膜厚のCo−B合金またはCo−P合金からなるシード層9を予め形成し、このシード層9の表面にCo−W−B合金等からなる保護膜10を選択的に形成することで、この保護膜10の膜厚を一定にすることができる。
【0023】
つまり、例えば、配線8の露出表面にCo−W−B合金等からなる保護膜10を直接形成すると、配線8の状態、例えば銅の結晶配向の差異に起因して、保護膜10の膜厚に大きなばらつきが生じてしまうが、Co−B合金等からなる均一な膜質のシード層9を均一な膜厚に予め形成しておいて、この表面に保護膜10を形成することで、このような弊害をなくすことができる。
【0024】
なお、例えば保護膜10の酸化防止機能を強化するため、保護膜10の表面に酸化性雰囲気の絶縁膜を更に積層してもよい。
【0025】
ここで、この例では、保護膜10として、Co−W−B合金を使用している。つまり、Coイオン、錯化剤、pH緩衝剤、pH調整剤、還元剤としてのアルキルアミンボラン、及びWを含む化合物を含有しためっき液を使用し、このめっき液に基板Wの表面を浸漬させることで、Co−W−B合金からなる保護膜10を形成している。
【0026】
このめっき液には、必要に応じて、安定剤としての重金属化合物または硫黄化合物の1種または2種以上、または界面活性剤の少なくとも一方が添加され、またアンモニア水または水酸化第四級アンモニウム等のpH調整剤を用いて、pHが好ましくは5〜14、より好ましくは6〜10に調整されている。めっき液の温度は、例えば30〜90℃、好ましくは40〜80℃である。
【0027】
めっき液のコバルトイオンの供給源としては、例えば硫酸コバルト、塩化コバルト、酢酸コバルト等のコバルト塩を挙げることができる。コバルトイオンの添加量は、例えば0.001〜1mol/L、好ましくは0.01〜0.3mol/L程度である。
【0028】
錯化剤としては、例えば酢酸等のカルボン酸及びそれらの塩、酒石酸、クエン酸等のオキシカルボン酸及びそれらの塩、グリシン等のアミノカルボン酸及びそれらの塩を挙げることができる。また、それらは単独で使用してもよく、2種以上併用してもよい。錯化剤の総添加量は、例えば0.001〜1.5mol/L、好ましくは0.01〜1.0mol/L程度である。
【0029】
pH緩衝剤としては、例えば硫酸アンモニウム、塩化アンモニウム、ホウ酸等を挙げることができる。pH緩衝剤の添加量は、例えば0.01〜1.5mol/L、好ましくは0.1〜1mol/L程度である。
【0030】
pH調整剤としては、例えばアンモニア水、水酸化テトラメチルアンモニウム(TMAH)等を挙げることができ、pHを5〜14、好ましくはpH6〜10に調整する。
【0031】
還元剤としてのアルキルアミンボランとしては、例えばジメチルアミンボラン(DMAB)、ジエチルアミンボラン等を挙げることができる。還元剤の添加量は、例えば0.01〜1mol/L、好ましくは0.01〜0.5mol/L程度である。
【0032】
タングステンを含む化合物としては、例えばタングステン酸及びそれらの塩、または、タングストリン酸(例えば、H(PW1240)・nHO)等のヘテロポリ酸及びそれらの塩等を挙げることができる。タングステンを含む化合物の添加量は、例えば0.001〜1mol/L、好ましくは0.01〜0.1mol/L程度である。
【0033】
このめっき液には、上記成分以外に公知の添加剤を添加することができる。この添加剤としては、例えば、浴安定剤として鉛化合物等の重金属化合物やチオシアン化合物等の硫黄化合物等の1種または2種以上、またアニオン系、カチオン系、ノニオン系の界面活性剤を挙げることができる。
【0034】
また、シード層9として、Co−B合金を使用している。つまり、前述のCo−W−B合金用のめっき液からWを含む化合物を除いためっき液を使用し、このめっき液に基板Wの表面を浸漬させることで、Co−B合金からなるシード層9を形成している。これにより、連続した無電解めっき処理が可能となる。
【0035】
なお、この例では、保護膜10としてCo−W−B合金を、シード層9としてCo−B合金をそれぞれ使用しているが、保護膜10として、Wを含むCo−W−P合金等を、シード層9として、Wを含まないCo−P合金等をそれぞれ使用してもよい。また、配線材料として、銅を使用した例を示しているが、銅の他に、銅合金、銀及び銀合金等を使用しても良い。
【0036】
図4は、無電解めっき装置の概略構成図である。図4に示すように、この無電解めっき装置は、半導体基板Wをその上面に保持する保持手段11と、保持手段11に保持された半導体基板Wの被めっき面(上面)の周縁部に当接して該周縁部をシールする堰部材(めっき液保持機構)31と、堰部材31でその周縁部をシールされた半導体基板Wの被めっき面にめっき液(無電解めっき処理液)を供給するシャワーヘッド(無電解めっき処理液(分散)供給手段)41を備えている。無電解めっき装置は、さらに保持手段11の上部外周近傍に設置されて半導体基板Wの被めっき面に洗浄液を供給する洗浄液供給手段51と、排出された洗浄液等(めっき廃液)を回収する回収容器61と、半導体基板W上に保持しためっき液を吸引して回収するめっき液回収ノズル65と、前記保持手段11を回転駆動するモータ(回転駆動手段)Mとを備えている。
【0037】
保持手段11は、その上面に半導体基板Wを載置して保持する基板載置部13を有している。この基板載置部13は、半導体基板Wを載置して固定するように構成されており、具体的には半導体基板Wをその裏面側に真空吸着する図示しない真空吸着機構を備えている。一方、基板載置部13の裏面側には、面状であって半導体基板Wの被めっき面を下面側から暖めて保温する裏面ヒータ(加熱手段)15が設置されている。この裏面ヒータ15は、例えばラバーヒータによって構成されている。この保持手段11は、モータMによって回転駆動されると共に、図示しない昇降手段によって上下動できるように構成されている。
【0038】
堰部材31は、筒状であってその下部に半導体基板Wの外周縁をシールするシール部33を有し、図示の位置から上下動しないように設置されている。
シャワーヘッド41は、先端に多数のノズルを設けることで、供給されためっき液をシャワー状に分散して半導体基板Wの被めっき面に略均一に供給する構造のものである。また洗浄液供給手段51は、ノズル53から洗浄液を噴出する構造である。
【0039】
めっき液回収ノズル65は、上下動且つ旋回できるように構成されていて、その先端が半導体基板Wの上面周縁部の堰部材31の内側に下降して半導体基板W上のめっき液を吸引するように構成されている。
【0040】
次にこの無電解めっき装置の動作を説明する。まず図示の状態よりも保持手段11を下降して堰部材31との間に所定寸法の隙間を設け、基板載置部13に半導体基板Wを載置・固定する。半導体基板Wとしては、例えばφ8インチウエハを用いる。
次に、図4に示すように、保持手段11を上昇させ、その上面を堰部材31の下面に当接させ、同時に半導体基板Wの外周を堰部材31のシール部33によってシールする。この時、半導体基板Wの表面は開放された状態となっている。
【0041】
次に裏面ヒータ15によって半導体基板W自体を直接加熱して、シャワーヘッド41からめっき液を噴出して半導体基板Wの表面の略全体にめっき液を降り注ぐ。半導体基板Wの表面は、堰部材31によって囲まれているので、注入しためっき液は全て半導体基板Wの表面に保持される。供給するめっき液の量は半導体基板Wの表面に1mm厚(約30ml)となる程度の少量で良い。なお被めっき面上に保持するめっき液の深さは10mm以下であれば良く、この例のように1mmでも良い。供給するめっき液が少量で済めばこれを加熱する加熱装置も小型のもので良くなる。
【0042】
このように半導体基板W自体を加熱するように構成すれば、加熱するのに大きな消費電力の必要なめっき液の温度をそれほど高く昇温しなくても良いので、消費電力の低減化やめっき液の材質変化の防止が図れ、好適である。なお半導体基板W自体の加熱のための消費電力は小さくて良く、また半導体基板W上に溜めるめっき液の量は少ないので、裏面ヒータ15による半導体基板Wの保温は容易に行え、裏面ヒータ15の容量は小さくて良く装置のコンパクト化を図ることができる。また半導体基板W自体を直接冷却する手段を用いれば、めっき中に加熱・冷却を切替えてめっき条件を変化させることも可能である。半導体基板上に保持されているめっき液は少量なので、感度良く温度制御が行える。
【0043】
そして、モータMによって半導体基板Wを瞬時回転させて被めっき面の均一な液濡れを行い、その後半導体基板Wを静止した状態で被めっき面のめっきを行う。具体的には、半導体基板Wを1secだけ100rpm以下で回転して半導体基板Wの被めっき面上をめっき液で均一に濡らし、その後静止させて1min間無電解めっきを行わせる。なお瞬時回転時間は長くても10sec以下とする。
【0044】
上記めっき処理が完了した後、めっき液回収ノズル65の先端を半導体基板Wの表面周縁部の堰部材31内側近傍に下降し、めっき液を吸い込む。このとき半導体基板Wを、例えば100rpm以下の回転速度で回転させれば、半導体基板W上に残っためっき液を遠心力で半導体基板Wの周縁部の堰部材31の部分に集めることができ、効率良く、且つ高い回収率でめっき液の回収ができる。そして保持手段11を下降させて半導体基板Wを堰部材31から離し、半導体基板Wの回転を開始して洗浄液供給手段51のノズル53から洗浄液(超純水)を半導体基板Wの被めっき面に噴射して被めっき面を冷却すると同時に希釈化・洗浄することで無電解めっき反応を停止させる。このときノズル53から噴射される洗浄液を堰部材31にも当てることで堰部材31の洗浄を同時に行っても良い。このときのめっき廃液は、回収容器61に回収され、廃棄される。
【0045】
なお、一度使用しためっき液は再利用せず、使い捨てとする。前述のようにこの装置において使用されるめっき液の量は従来に比べて非常に少なくできるので、再利用しなくても廃棄するめっき液の量は少ない。なお場合によってはめっき液回収ノズル65を設置しないで、使用後のめっき液も洗浄液と共にめっき廃液として回収容器61に回収しても良い。
そしてモータMによって半導体基板Wを高速回転してスピン乾燥した後、保持手段11から取り出す。
【0046】
図5は、他の無電解めっき装置の概略構成図である。図5において、図4に示す無電解めっき装置と相違する点は、保持手段11内に裏面ヒータ15を設ける代わりに、保持手段11の上方にランプヒータ(加熱手段)17を設置し、このランプヒータ17とシャワーヘッド41−2とを一体化した点である。即ち、例えば複数の半径の異なるリング状のランプヒータ17を同心円状に設置し、ランプヒータ17の間の隙間からシャワーヘッド41−2の多数のノズル43−2をリング状に開口させている。なおランプヒータ17としては、渦巻状の一本のランプヒータで構成しても良いし、さらにそれ以外の各種構造・配置のランプヒータで構成しても良い。
【0047】
このように構成しても、めっき液は各ノズル43−2から半導体基板Wの被めっき面上にシャワー状に略均等に供給でき、またランプヒータ17によって半導体基板Wの加熱・保温も直接均一に行える。ランプヒータ17の場合、半導体基板Wとめっき液の他に、その周囲の空気をも加熱するので半導体基板Wの保温効果もある。
【0048】
なおランプヒータ17によって半導体基板Wを直接加熱するには、比較的大きい消費電力のランプヒータ17が必要になるので、その代わりに比較的小さい消費電力のランプヒータ17と前記図4に示す裏面ヒータ15とを併用して、半導体基板Wは主として裏面ヒータ15によって加熱し、めっき液と周囲の空気の保温は主としてランプヒータ17によって行うようにしても良い。また半導体基板Wを直接、または間接的に冷却する手段を設けて、温度制御を行っても良い。
【0049】
(実施例)
シリコン基板の上に、TaNを40nm堆積させ、この上にスパッタリングによって150nmの銅、電解銅めっきによって500nmの銅を堆積させ、熱処理した表面をCMP処理を施した試料を用意した。そして、図4に示す無電解めっき装置を用い、試料の表面に下地前処理を施して水洗した後、下記の表1に示す組成の無電解めっき液を使用した、約5秒間の無電解めっき処理を行って、試料(基板)の表面にCo−B合金(シード層)を約10nm堆積させた。
【表1】

Figure 0004076335
【0050】
次に、試料の表面を必要に応じて水洗した後、今後は、下記の表2に示す組成の無電解めっき液を使用した、約1分間の無電解めっき処理を連続的に行って、CO−W−B合金(保護膜)を約40nm堆積させた。しかる後、試料を水洗し乾燥させた。
【表2】
Figure 0004076335
【0051】
(比較例)
比較例として、前述と同様な試料の表面に、表2に示す組成の無電解めっき液を使用した、約1分間の無電解めっき処理を行って、Co−W−B合金を約40nm堆積させたもの(比較例1)と、前述と同様な試料の表面に、表1に示す組成の無電解めっき液を使用した、約15秒間の無電解めっき処理を行って、Co−B合金を約40nm堆積させたもの(比較例2)を作製した。
【0052】
これらのCMP処理後でめっき前の試料の表面をSEM(走査電子顕微鏡)で撮影した写真を図面化したものを図6に、実施例、比較例1,2で処理しためっき後の試料の表面をSEM(走査電子顕微鏡)で撮影した写真を図面化したものを図7〜図9にそれぞれ示す。また、実施例、比較例1,2で処理しためっき後の試料に形成されためっき膜を、圧力3.6×10−4Pa、温度450℃で2時間アニールし、アニール前後の二次イオン質量分析(SIMS)を行った時のデータを図面化したものを図10〜図12にそれぞれ示す。なお、これらの図10〜図12において、実線はアニール前の状態を、波線はアニール後の状態を示す。
【0053】
これらの測定結果により、比較例1にあっては、図11に示すように、熱拡散防止効果に優れているものの、例えば図6に示すように、銅の結晶配向に差異があると、この差異に起因して、図8に示すように、膜厚差に大きなばらつきが生じる。また、比較例2にあっては、例えば図6に示すように、銅の結晶配向に差異があっても、図9に示すように、均一な膜厚のめっき膜を得ることができるものの、図12に示すように、熱拡散効果に劣る。これに対して、実施例にあっては、図6に示すように、銅の結晶配向に差異があっても、図7に示すように、均一な膜厚のめっき膜を得ることができ、しかも図10に示すように、熱拡散防止効果に優れていることが判る。
【0054】
【発明の効果】
以上説明したように、本発明によれば、例えばCo−W−B合金等のWを有するCo−W合金からなる熱拡散防止効果に優れた保護膜(蓋材)を一定の膜厚に形成して、埋め込み配線の熱拡散を有効に防止することができる。
【図面の簡単な説明】
【図1】半導体装置における銅配線形成例のCMP処理までを工程順に示す図である。
【図2】本発明の半導体装置における銅配線形成例のCMP処理後を工程順に示す図である。
【図3】本発明のめっき方法の工程を示すブロック図である。
【図4】無電解めっき装置の一例を示す概略構成図である。
【図5】無電解めっき装置の他の例を示す概略構成図である。
【図6】実施例及び比較例1,2に供する試料のSEM写真を図面化した図である。
【図7】実施例による処理を施した試料のSEM写真を図面化した図である。
【図8】比較例1による処理を施した試料のSEM写真を図面化した図である。
【図9】比較例2による処理を施した試料のSEM写真を図面化した図である。
【図10】実施例による処理を施した試料のアニール前後のX線解析を行った時のデータを図面化した図である。
【図11】比較例1による処理を施した試料のアニール前後のX線解析を行った時のデータを図面化した図である。
【図12】比較例2による処理を施した試料のアニール前後のX線解析を行った時のデータを図面化した図である。
【図13】タングステン(W)を有するCo−W合金めっき液によってめっきを行った時のW濃度とめっき速度との関係を示すグラフである。
【符号の説明】
2 絶縁膜
3 コンタクトホール
4 溝
5 バリア層
6 銅シード層
7 銅層
8 配線
9 シード層
10 保護膜
22 絶縁膜[0001]
BACKGROUND OF THE INVENTION
The present invention relates to a semiconductor device and a method for manufacturing the same, and more particularly to an embedded wiring structure in which a conductor such as copper or silver is embedded in a fine concave portion for wiring provided on the surface of a semiconductor substrate or the like, and the surface of the wiring And a method of manufacturing the same.
[0002]
[Prior art]
As a wiring formation process of a semiconductor device, a process (so-called damascene process) in which a metal (conductor) is embedded in a wiring groove and a contact hole is being used. This is because, after embedding a metal such as copper or silver in a wiring groove or contact hole previously formed in an interlayer insulating film, the excess metal is removed by chemical mechanical polishing (CMP) and planarized. Process technology.
[0003]
In this type of wiring, after planarization, the surface of the wiring is exposed to the outside, and when forming a buried wiring thereon, for example, when forming SiO 2 in the next interlayer insulating film forming process In the case of surface oxidation, SiO 2 etching for forming a via hole, etc., there is a concern about surface contamination due to etchant of the wiring exposed at the bottom of the via hole, resist peeling, or the like.
[0004]
For this reason, conventionally, a wiring protective film such as SiN is formed on the entire surface of the semiconductor substrate as well as the wiring forming portion where the surface is exposed to prevent contamination due to wiring etchant or the like. It was. However, when a protective film such as SiN is formed on the entire surface of the semiconductor substrate, in a semiconductor device having a buried wiring structure, the dielectric constant of the interlayer insulating film is increased to induce wiring delay, and copper or silver as a wiring material is used. Even if such a low resistance material is used, the capability improvement as a semiconductor device is hindered.
[0005]
Therefore, the surface of the wiring is selectively covered with a protective film made of a Co—W alloy such as Co—W—B and Co—WP, which has a low specific resistance (ρ), for example, obtained by electroless plating, It has been studied to prevent the surface contamination of the wiring and further the thermal diffusion.
[0006]
[Problems to be solved by the invention]
However, the surface of the embedded wiring is selectively formed by a protective film (covering material) made of Co—W alloy having W (tungsten) such as Co—W—B, Co—WP alloy, etc. obtained by electroless plating. Covering and protecting the wiring can effectively prevent the thermal diffusion of the wiring (excelling in the thermal diffusion prevention effect), but the plating speed is slow and the film thickness of the plating film is the film quality of the base (wiring). Depending on the state, for example, due to a difference in crystal orientation, a significant difference occurs in the thickness of the plating film, and the plating film may not be formed on the submicron wiring. This is presumably because W in the plating solution becomes a factor that inhibits the discharge of the anodic oxidation current of the reducing agent. For this reason, as shown in FIG. 13, when the W concentration (W content) in the plating solution increases, the plating rate decreases as the W concentration increases.
[0007]
On the other hand, if the surface of the embedded wiring is selectively covered with a protective film (covering material) made of a Co alloy having no W such as Co-B, Co-P alloy, etc., the plating speed is fast and the base Therefore, it is possible to form a plating film with a certain thickness on the submicron wiring without being affected by the film quality of the (wiring), but it is not possible to effectively prevent the thermal diffusion of the wiring ( Inferior heat diffusion prevention effect).
[0008]
That is, there is a problem that it is difficult to achieve both the use of a protective film having an excellent effect of preventing thermal diffusion of wiring and the constant thickness of the protective film. Here, the protective film is a film having a function of preventing the thermal diffusion of the embedded wiring material and a function of preventing the wiring material from being oxidized in an oxidizing atmosphere when forming the laminated wiring. Show.
[0009]
The present invention has been made in view of the above circumstances, and by selectively covering only the surface of the embedded wiring with a protective film having a certain thickness excellent in the thermal diffusion preventing effect of the wiring, the thermal diffusion of the wiring is effectively performed. An object of the present invention is to provide a semiconductor device and a method for manufacturing the same that can be prevented.
[0010]
[Means for Solving the Problems]
According to the first aspect of the present invention, a seed layer made of a Co—B alloy or a Co—P alloy is selectively formed on the surface of an exposed wiring of a semiconductor device having a buried wiring structure by electroless plating. A protective film made of a Co—W—B alloy or a Co—WP alloy is selectively formed on the surface of the semiconductor device.
[0013]
This ensures, firstly without being influenced by the state of the underlying film quality, to form a seed layer made of uniform film quality of the Co-B alloy or Co-P alloy with a uniform thickness on the surface of the seed layer, A protective film made of a Co—W—B or Co—WP alloy having an excellent thermal diffusion preventing effect can be formed with a uniform thickness.
A second aspect of the present invention is the semiconductor device according to the first aspect, wherein the wiring is made of copper.
[0014]
According to a third aspect of the present invention, a seed layer made of a Co-B alloy or a Co-P alloy is selectively formed on the surface of an exposed wiring of a semiconductor device having a buried wiring structure by electroless plating. And a protective film made of a Co—WB alloy or a Co—WP alloy is selectively formed on the surface of the semiconductor device.
[0015]
According to a fourth aspect of the present invention, in the semiconductor device manufacturing method according to the third aspect , the wiring is made of copper .
[0018]
DETAILED DESCRIPTION OF THE INVENTION
Embodiments of the present invention will be described below.
FIG. 1 shows an example of copper wiring formation in a semiconductor device in the order of steps. As shown in FIG. 1A, on a conductive layer 1a on a semiconductor substrate 1 on which a semiconductor element is formed, for example, from SiO 2. An insulating film 2 is deposited, and a contact hole 3 and a wiring groove 4 are formed in the insulating film 2 by, for example, lithography / etching technique. A barrier layer 5 made of TaN or the like is formed on the contact hole 3, and further thereon. A copper seed layer 6 as a power feeding layer for electrolytic plating is formed by sputtering or the like.
[0019]
Then, as shown in FIG. 1B, the surface of the semiconductor substrate W is plated with copper so that the contact holes 3 and the grooves 4 of the semiconductor substrate W are filled with copper, and a copper layer is formed on the insulating film 2. 7 is deposited. The resistance of the copper layer 7 is lowered by heat treatment of the copper layer 7, and then the copper layer 7 on the insulating film 2 is removed by chemical mechanical polishing (CMP) to form contact holes 3 and wiring grooves 4. The surface of the filled copper layer 7 and the surface of the insulating film 2 are made substantially flush. Thereby, as shown in FIG. 1C, a wiring 8 composed of the copper seed layer 6 and the copper layer 7 is formed inside the insulating film 2.
[0020]
The semiconductor device of the present invention selectively covers the exposed surface of the wiring 8 formed on the substrate W as described above with, for example, a protective film 10 having an excellent effect of preventing thermal diffusion, as shown in FIG. The wiring 8 is protected from contamination while preventing thermal diffusion of the wiring 8, and an insulating film 22 such as SiO 2 or SiOF is laminated on the surface of the substrate W to constitute a multilayer wiring structure. ing. As a pretreatment for forming the protective film, the protective film 10 is first formed on the surface of the seed layer 9 by selectively forming a seed layer 9 that easily attaches to the wiring 8 and serves as a catalyst. The
[0021]
A part of the process at this time is shown in FIG. In this example, first, pretreatment (base pretreatment) is performed on the surface of the wiring 8 serving as a base of the substrate W after the CMP process, and after washing with water, a pretreatment for forming a protective film is performed on the surface of the substrate W. . That is, in this example, the first-stage electroless plating is performed, and, as shown in FIG. 2A, the exposed surface of the wiring 8 to the outside is made of W such as a Co—B alloy or a Co—P alloy. A seed layer 9 made of a Co alloy containing no copper is selectively formed. Next, the substrate W is washed with water as necessary, and then subjected to a second stage electroless plating treatment. As shown in FIG. 2B, the surface of the seed layer 9 is coated with, for example, a Co—WB alloy. Alternatively, the protective film 10 made of a Co—W alloy containing W, such as a Co—WP alloy, having an excellent thermal diffusion preventing effect is selectively formed. Then, after being washed with water and dried, an insulating film 22 is deposited thereon as shown in FIG.
[0022]
In this manner, the exposed surface of the wiring 8 is selectively covered with the protective film 10 made of a Co—W alloy containing W such as a Co—WB alloy or a Co—WP alloy having an excellent thermal diffusion effect. By protecting the wiring 8, thermal diffusion of the wiring can be effectively prevented. In addition, a seed layer 9 made of a Co—B alloy or Co—P alloy having a uniform film quality and a constant film thickness is formed in advance without being affected by the underlying film quality, that is, the state of the wiring 8. By selectively forming the protective film 10 made of a Co—W—B alloy or the like on the surface, the thickness of the protective film 10 can be made constant.
[0023]
That is, for example, when the protective film 10 made of a Co—WB alloy or the like is directly formed on the exposed surface of the wiring 8, the film thickness of the protective film 10 is attributed to the state of the wiring 8, for example, the difference in crystal orientation of copper. However, a uniform film quality seed layer 9 made of a Co-B alloy or the like is formed in advance to a uniform film thickness, and a protective film 10 is formed on the surface. Can be eliminated.
[0024]
For example, in order to enhance the antioxidant function of the protective film 10, an insulating film in an oxidizing atmosphere may be further laminated on the surface of the protective film 10.
[0025]
Here, in this example, a Co—WB alloy is used as the protective film 10. That is, a plating solution containing a compound containing Co ions, a complexing agent, a pH buffering agent, a pH adjusting agent, an alkylamine borane as a reducing agent, and W is used, and the surface of the substrate W is immersed in this plating solution. Thus, the protective film 10 made of a Co—WB alloy is formed.
[0026]
If necessary, at least one of a heavy metal compound or a sulfur compound as a stabilizer, or at least one of a surfactant is added to the plating solution, and ammonia water or quaternary ammonium hydroxide is added. The pH is preferably adjusted to 5 to 14, more preferably 6 to 10, using the pH adjuster. The temperature of the plating solution is, for example, 30 to 90 ° C, preferably 40 to 80 ° C.
[0027]
Examples of the supply source of cobalt ions in the plating solution include cobalt salts such as cobalt sulfate, cobalt chloride, and cobalt acetate. The amount of cobalt ion added is, for example, about 0.001 to 1 mol / L, preferably about 0.01 to 0.3 mol / L.
[0028]
Examples of the complexing agent include carboxylic acids such as acetic acid and salts thereof, oxycarboxylic acids such as tartaric acid and citric acid and salts thereof, and aminocarboxylic acids such as glycine and salts thereof. Moreover, they may be used independently and may be used together 2 or more types. The total amount of complexing agent added is, for example, about 0.001 to 1.5 mol / L, preferably about 0.01 to 1.0 mol / L.
[0029]
Examples of the pH buffering agent include ammonium sulfate, ammonium chloride, boric acid and the like. The amount of pH buffer added is, for example, about 0.01 to 1.5 mol / L, preferably about 0.1 to 1 mol / L.
[0030]
Examples of the pH adjuster include ammonia water and tetramethylammonium hydroxide (TMAH). The pH is adjusted to 5 to 14, preferably 6 to 10.
[0031]
Examples of the alkylamine borane as the reducing agent include dimethylamine borane (DMAB) and diethylamine borane. The amount of the reducing agent added is, for example, about 0.01 to 1 mol / L, preferably about 0.01 to 0.5 mol / L.
[0032]
Examples of the compound containing tungsten include tungstic acid and salts thereof, or heteropolyacids such as tungstophosphoric acid (for example, H 3 (PW 12 P 40 ) · nH 2 O) and salts thereof. . The amount of the compound containing tungsten is, for example, about 0.001 to 1 mol / L, preferably about 0.01 to 0.1 mol / L.
[0033]
In addition to the above components, known additives can be added to the plating solution. Examples of the additive include, as a bath stabilizer, one or more of heavy metal compounds such as lead compounds and sulfur compounds such as thiocyan compounds, and anionic, cationic, and nonionic surfactants. Can do.
[0034]
Further, a Co—B alloy is used as the seed layer 9. That is, by using a plating solution obtained by removing a compound containing W from the above-described plating solution for Co—WB alloy, and immersing the surface of the substrate W in this plating solution, a seed layer made of a Co—B alloy is used. 9 is formed. Thereby, the continuous electroless-plating process is attained.
[0035]
In this example, a Co—WB alloy is used as the protective film 10, and a Co—B alloy is used as the seed layer 9, but a Co—WP alloy containing W or the like is used as the protective film 10. As the seed layer 9, a Co—P alloy or the like not containing W may be used. Moreover, although the example which uses copper as a wiring material is shown, you may use a copper alloy, silver, a silver alloy, etc. other than copper.
[0036]
FIG. 4 is a schematic configuration diagram of an electroless plating apparatus. As shown in FIG. 4, the electroless plating apparatus is configured to hold a semiconductor substrate W on its upper surface, and a peripheral portion of a surface to be plated (upper surface) of the semiconductor substrate W held on the holding device 11. A weir member (plating solution holding mechanism) 31 that contacts and seals the peripheral portion, and a plating solution (electroless plating solution) is supplied to the plated surface of the semiconductor substrate W whose peripheral portion is sealed by the weir member 31. A shower head (electroless plating solution (dispersion) supply means) 41 is provided. The electroless plating apparatus is further installed near the upper outer periphery of the holding means 11, a cleaning liquid supply means 51 for supplying a cleaning liquid to the surface to be plated of the semiconductor substrate W, and a recovery container for recovering the discharged cleaning liquid and the like (plating waste liquid) 61, a plating solution recovery nozzle 65 that sucks and recovers the plating solution held on the semiconductor substrate W, and a motor (rotation drive unit) M that rotates the holding unit 11.
[0037]
The holding means 11 has a substrate mounting part 13 for mounting and holding the semiconductor substrate W on the upper surface thereof. The substrate placement unit 13 is configured to place and fix the semiconductor substrate W, and specifically includes a vacuum suction mechanism (not shown) that vacuum-sucks the semiconductor substrate W to the back side thereof. On the other hand, on the back surface side of the substrate mounting portion 13, a back surface heater (heating means) 15 that is planar and warms the surface to be plated of the semiconductor substrate W from the lower surface side is installed. The back heater 15 is constituted by, for example, a rubber heater. The holding means 11 is driven to rotate by a motor M and is configured to be moved up and down by an elevating means (not shown).
[0038]
The weir member 31 has a cylindrical shape and has a seal portion 33 that seals the outer peripheral edge of the semiconductor substrate W at the lower portion thereof, and is installed so as not to move up and down from the illustrated position.
The shower head 41 has a structure in which the supplied plating solution is dispersed in a shower shape and supplied to the surface to be plated of the semiconductor substrate W substantially uniformly by providing a number of nozzles at the tip. The cleaning liquid supply means 51 has a structure for ejecting the cleaning liquid from the nozzle 53.
[0039]
The plating solution recovery nozzle 65 is configured to be able to move up and down and swivel, and its tip descends to the inside of the weir member 31 at the peripheral edge of the upper surface of the semiconductor substrate W so as to suck the plating solution on the semiconductor substrate W. It is configured.
[0040]
Next, the operation of this electroless plating apparatus will be described. First, the holding means 11 is lowered from the state shown in the figure to provide a gap with a predetermined dimension between the weir member 31 and the semiconductor substrate W is placed and fixed on the substrate platform 13. For example, a φ8 inch wafer is used as the semiconductor substrate W.
Next, as shown in FIG. 4, the holding means 11 is raised, the upper surface thereof is brought into contact with the lower surface of the dam member 31, and at the same time, the outer periphery of the semiconductor substrate W is sealed by the seal portion 33 of the dam member 31. At this time, the surface of the semiconductor substrate W is in an open state.
[0041]
Next, the semiconductor substrate W itself is directly heated by the back surface heater 15, and the plating solution is ejected from the shower head 41 to pour the plating solution over substantially the entire surface of the semiconductor substrate W. Since the surface of the semiconductor substrate W is surrounded by the dam member 31, all of the injected plating solution is held on the surface of the semiconductor substrate W. The amount of the plating solution to be supplied may be as small as 1 mm (about 30 ml) on the surface of the semiconductor substrate W. The depth of the plating solution retained on the surface to be plated may be 10 mm or less, and may be 1 mm as in this example. If a small amount of plating solution is supplied, a heating device for heating the plating solution can be small.
[0042]
If the semiconductor substrate W itself is heated in this way, the temperature of the plating solution that requires a large amount of power consumption for heating does not have to be raised so high. Therefore, it is possible to prevent the material from changing. The power consumption for heating the semiconductor substrate W itself may be small, and since the amount of the plating solution stored on the semiconductor substrate W is small, the heat of the semiconductor substrate W by the back heater 15 can be easily performed. The capacity is small and the apparatus can be made compact. If means for directly cooling the semiconductor substrate W itself is used, it is possible to change the plating conditions by switching between heating and cooling during plating. Since the plating solution held on the semiconductor substrate is small, temperature control can be performed with high sensitivity.
[0043]
Then, the semiconductor substrate W is instantaneously rotated by the motor M to uniformly wet the surface to be plated, and then the surface to be plated is plated while the semiconductor substrate W is stationary. Specifically, the semiconductor substrate W is rotated at 100 rpm or less for 1 second so that the surface to be plated of the semiconductor substrate W is uniformly wetted with a plating solution, and then is kept stationary to perform electroless plating for 1 minute. The instantaneous rotation time is at most 10 sec.
[0044]
After the plating process is completed, the tip of the plating solution recovery nozzle 65 is lowered to the vicinity of the inside of the weir member 31 at the peripheral edge of the surface of the semiconductor substrate W, and the plating solution is sucked. At this time, if the semiconductor substrate W is rotated at a rotation speed of, for example, 100 rpm or less, the plating solution remaining on the semiconductor substrate W can be collected in the portion of the dam member 31 on the peripheral edge of the semiconductor substrate W by centrifugal force. The plating solution can be recovered efficiently and at a high recovery rate. Then, the holding means 11 is lowered to separate the semiconductor substrate W from the dam member 31, the rotation of the semiconductor substrate W is started, and the cleaning liquid (ultra pure water) is applied to the surface to be plated of the semiconductor substrate W from the nozzle 53 of the cleaning liquid supply means 51. By spraying and cooling the surface to be plated, the electroless plating reaction is stopped by diluting and washing. At this time, the cleaning liquid sprayed from the nozzle 53 may be applied to the weir member 31 to simultaneously clean the weir member 31. The plating waste liquid at this time is collected in the collection container 61 and discarded.
[0045]
In addition, the plating solution that has been used once is not reused but disposable. As described above, since the amount of the plating solution used in this apparatus can be very small as compared with the conventional case, the amount of the plating solution to be discarded is small even without being reused. In some cases, the plating solution recovery nozzle 65 may not be installed, and the used plating solution may be recovered in the recovery container 61 as a plating waste solution together with the cleaning solution.
Then, after the semiconductor substrate W is rotated at high speed by the motor M and spin-dried, it is taken out from the holding means 11.
[0046]
FIG. 5 is a schematic configuration diagram of another electroless plating apparatus. 5 is different from the electroless plating apparatus shown in FIG. 4 in that a lamp heater (heating means) 17 is provided above the holding means 11 instead of providing the back heater 15 in the holding means 11. The heater 17 and the shower head 41-2 are integrated. That is, for example, a plurality of ring-shaped lamp heaters 17 having different radii are concentrically arranged, and a large number of nozzles 43-2 of the shower head 41-2 are opened in a ring shape from gaps between the lamp heaters 17. The lamp heater 17 may be composed of a single spiral lamp heater, or may be composed of lamp heaters having various other structures and arrangements.
[0047]
Even with this configuration, the plating solution can be supplied substantially uniformly in a shower form from the nozzles 43-2 onto the surface to be plated of the semiconductor substrate W, and the heating and heat insulation of the semiconductor substrate W is directly uniform by the lamp heater 17. It can be done. In the case of the lamp heater 17, in addition to the semiconductor substrate W and the plating solution, the surrounding air is also heated, so that there is an effect of keeping the temperature of the semiconductor substrate W.
[0048]
In order to directly heat the semiconductor substrate W by the lamp heater 17, the lamp heater 17 with relatively high power consumption is required. Instead, the lamp heater 17 with relatively low power consumption and the back surface heater shown in FIG. 15, the semiconductor substrate W may be heated mainly by the back heater 15, and the plating solution and the surrounding air may be kept warm mainly by the lamp heater 17. Also, temperature control may be performed by providing means for cooling the semiconductor substrate W directly or indirectly.
[0049]
(Example)
A sample was prepared by depositing 40 nm of TaN on a silicon substrate, depositing 150 nm of copper by sputtering and 500 nm of copper by electrolytic copper plating, and subjecting the heat-treated surface to CMP treatment. Then, using the electroless plating apparatus shown in FIG. 4, the surface of the sample was subjected to a pretreatment for pretreatment and washed with water, and then electroless plating for about 5 seconds using an electroless plating solution having the composition shown in Table 1 below. Processing was performed, and about 10 nm of Co-B alloy (seed layer) was deposited on the surface of the sample (substrate).
[Table 1]
Figure 0004076335
[0050]
Next, after the surface of the sample was washed with water as necessary, in the future, an electroless plating process of about 1 minute using an electroless plating solution having the composition shown in Table 2 below was continuously performed, and CO -About 40 nm of WB alloy (protective film) was deposited. Thereafter, the sample was washed with water and dried.
[Table 2]
Figure 0004076335
[0051]
(Comparative example)
As a comparative example, a surface of a sample similar to that described above was subjected to an electroless plating treatment for about 1 minute using an electroless plating solution having the composition shown in Table 2 to deposit a Co—W—B alloy by about 40 nm. The surface of the sample similar to that described above (Comparative Example 1) and an electroless plating solution having the composition shown in Table 1 were subjected to electroless plating treatment for about 15 seconds to obtain a Co-B alloy. What was deposited to 40 nm (Comparative Example 2) was produced.
[0052]
FIG. 6 shows a photograph of the surface of the sample after the CMP treatment and before the plating taken with an SEM (scanning electron microscope). FIG. 6 shows the surface of the sample after the plating treated in Examples and Comparative Examples 1 and 2. FIGS. 7 to 9 show drawings of photographs taken with SEM (scanning electron microscope). In addition, the plating film formed on the sample after plating processed in Examples and Comparative Examples 1 and 2 was annealed at a pressure of 3.6 × 10 −4 Pa and a temperature of 450 ° C. for 2 hours, and secondary ions before and after annealing. Drawings of data obtained when mass spectrometry (SIMS) is performed are shown in FIGS. 10 to 12, a solid line indicates a state before annealing, and a wavy line indicates a state after annealing.
[0053]
According to these measurement results, in Comparative Example 1, as shown in FIG. 11, the thermal diffusion preventing effect is excellent. However, for example, as shown in FIG. Due to the difference, as shown in FIG. 8, there is a large variation in the film thickness difference. In Comparative Example 2, for example, as shown in FIG. 6, even if there is a difference in the crystal orientation of copper, a plated film with a uniform film thickness can be obtained as shown in FIG. As shown in FIG. 12, the thermal diffusion effect is inferior. On the other hand, in the example, as shown in FIG. 6, even if there is a difference in the crystal orientation of copper, as shown in FIG. 7, a plating film having a uniform film thickness can be obtained. Moreover, as shown in FIG. 10, it can be seen that the thermal diffusion preventing effect is excellent.
[0054]
【The invention's effect】
As described above, according to the present invention, for example, a protective film (covering material) made of a Co—W alloy having W such as a Co—W—B alloy and having an excellent thermal diffusion preventing effect is formed with a constant film thickness. Thus, it is possible to effectively prevent thermal diffusion of the embedded wiring.
[Brief description of the drawings]
FIG. 1 is a diagram showing, in order of processes, up to CMP processing of an example of forming a copper wiring in a semiconductor device.
FIG. 2 is a view showing, after the CMP process, an example of forming a copper wiring in the semiconductor device of the present invention in the order of steps.
FIG. 3 is a block diagram showing steps of the plating method of the present invention.
FIG. 4 is a schematic configuration diagram showing an example of an electroless plating apparatus.
FIG. 5 is a schematic configuration diagram showing another example of an electroless plating apparatus.
6 is a drawing showing SEM photographs of samples used in Examples and Comparative Examples 1 and 2. FIG.
FIG. 7 is a drawing showing an SEM photograph of a sample that has been processed according to an example.
8 is a drawing showing an SEM photograph of a sample that has been treated according to Comparative Example 1. FIG.
FIG. 9 is a drawing showing an SEM photograph of a sample that has been treated according to Comparative Example 2;
FIG. 10 is a diagram illustrating data when X-ray analysis is performed before and after annealing of a sample subjected to treatment according to an example.
11 is a diagram illustrating data when X-ray analysis is performed before and after annealing of a sample that has been subjected to treatment according to Comparative Example 1. FIG.
12 is a diagram illustrating data when X-ray analysis is performed before and after annealing of a sample that has been processed according to Comparative Example 2. FIG.
FIG. 13 is a graph showing the relationship between the W concentration and the plating rate when plating is performed with a Co—W alloy plating solution containing tungsten (W).
[Explanation of symbols]
2 Insulating film 3 Contact hole 4 Groove 5 Barrier layer 6 Copper seed layer 7 Copper layer 8 Wiring 9 Seed layer 10 Protective film 22 Insulating film

Claims (4)

埋め込み配線構造を有する半導体装置の露出配線の表面に、Co−B合金またはCo−P合金からなるシード層を無電解めっきによって選択的に形成し、このシード層の表面に、Co−W−B合金またはCo−W−P合金からなる保護膜を選択的に形成したことを特徴とする半導体装置。A seed layer made of a Co-B alloy or a Co-P alloy is selectively formed by electroless plating on the surface of the exposed wiring of the semiconductor device having the embedded wiring structure, and the Co-W-B is formed on the surface of the seed layer. A semiconductor device, wherein a protective film made of an alloy or a Co-WP alloy is selectively formed. 前記配線は、銅からなることを特徴とする請求項1記載の半導体装置。The semiconductor device according to claim 1 , wherein the wiring is made of copper . 埋め込み配線構造を有する半導体装置の露出配線の表面に、Co−B合金またはCo−P合金からなるシード層を無電解めっきによって選択的に形成し、このシード層の表面に、Co−W−B合金またはCo−W−P合金からなる保護膜を選択的に形成することを特徴とする半導体装置の製造方法。A seed layer made of a Co-B alloy or a Co-P alloy is selectively formed by electroless plating on the surface of the exposed wiring of the semiconductor device having the embedded wiring structure, and the Co-W-B is formed on the surface of the seed layer. A method of manufacturing a semiconductor device, wherein a protective film made of an alloy or a Co-WP alloy is selectively formed. 前記配線は、銅からなることを特徴とする請求項記載の半導体装置の製造方法。 4. The method of manufacturing a semiconductor device according to claim 3 , wherein the wiring is made of copper .
JP2001319839A 2001-08-13 2001-10-17 Semiconductor device and manufacturing method thereof Expired - Fee Related JP4076335B2 (en)

Priority Applications (8)

Application Number Priority Date Filing Date Title
JP2001319839A JP4076335B2 (en) 2001-10-17 2001-10-17 Semiconductor device and manufacturing method thereof
KR10-2004-7002117A KR20040018558A (en) 2001-08-13 2002-08-12 Semiconductor device and production method therefor, and plating solution
CNB028157907A CN1329972C (en) 2001-08-13 2002-08-12 Semiconductor device, method for manufacturing the same, and plating solution
EP02758831A EP1418619A4 (en) 2001-08-13 2002-08-12 Semiconductor device and production method therefor, and plating solution
PCT/JP2002/008214 WO2003017359A1 (en) 2001-08-13 2002-08-12 Semiconductor device and production method therefor, and plating solution
US10/216,902 US7060618B2 (en) 2001-08-13 2002-08-13 Semiconductor device, method for manufacturing the same, and plating solution
US11/254,790 US7279408B2 (en) 2001-08-13 2005-10-21 Semiconductor device, method for manufacturing the same, and plating solution
US11/898,440 US20080011228A1 (en) 2001-08-13 2007-09-12 Semiconductor device, method for manufacturing the same, and plating solution

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2001319839A JP4076335B2 (en) 2001-10-17 2001-10-17 Semiconductor device and manufacturing method thereof

Publications (2)

Publication Number Publication Date
JP2003124217A JP2003124217A (en) 2003-04-25
JP4076335B2 true JP4076335B2 (en) 2008-04-16

Family

ID=19137333

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2001319839A Expired - Fee Related JP4076335B2 (en) 2001-08-13 2001-10-17 Semiconductor device and manufacturing method thereof

Country Status (1)

Country Link
JP (1) JP4076335B2 (en)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3820975B2 (en) * 2001-12-12 2006-09-13 ソニー株式会社 Semiconductor device and manufacturing method thereof
US7794530B2 (en) * 2006-12-22 2010-09-14 Lam Research Corporation Electroless deposition of cobalt alloys

Also Published As

Publication number Publication date
JP2003124217A (en) 2003-04-25

Similar Documents

Publication Publication Date Title
US6821902B2 (en) Electroless plating liquid and semiconductor device
JP3979464B2 (en) Electroless plating pretreatment apparatus and method
US7279408B2 (en) Semiconductor device, method for manufacturing the same, and plating solution
US20040234696A1 (en) Plating device and method
US6936302B2 (en) Electroless Ni-B plating liquid, electronic device and method for manufacturing the same
JP2002367998A (en) Semiconductor device and manufacturing method therefor
KR20020074176A (en) Device and method for plating
US20060003570A1 (en) Method and apparatus for electroless capping with vapor drying
JP2003142427A (en) Plating solution, semiconductor device, and its manufacturing method
KR100891344B1 (en) Electroless-plating solution and semiconductor device
JP3821709B2 (en) Pretreatment method of electroless plating
JP3812891B2 (en) Wiring formation method
JP4076335B2 (en) Semiconductor device and manufacturing method thereof
JP2001181851A (en) Plating method and plated structure
JP2003264159A (en) Catalyst treatment method and catalyst treatment solution
JP2004214508A (en) Method and apparatus for forming wiring
US20040186008A1 (en) Catalyst-imparting treatment solution and electroless plating method
JP2003073846A (en) Apparatus and method for plating
JP2003119568A (en) Method and apparatus for electroless plating

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20040123

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20070828

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20071023

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20080108

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20080129

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

Ref document number: 4076335

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20110208

Year of fee payment: 3

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20120208

Year of fee payment: 4

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20120208

Year of fee payment: 4

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130208

Year of fee payment: 5

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130208

Year of fee payment: 5

S531 Written request for registration of change of domicile

Free format text: JAPANESE INTERMEDIATE CODE: R313531

S533 Written request for registration of change of name

Free format text: JAPANESE INTERMEDIATE CODE: R313533

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130208

Year of fee payment: 5

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20140208

Year of fee payment: 6

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

LAPS Cancellation because of no payment of annual fees