JP4022902B2 - Plasma monitoring method, plasma monitoring apparatus, and plasma processing apparatus - Google Patents

Plasma monitoring method, plasma monitoring apparatus, and plasma processing apparatus Download PDF

Info

Publication number
JP4022902B2
JP4022902B2 JP2002259444A JP2002259444A JP4022902B2 JP 4022902 B2 JP4022902 B2 JP 4022902B2 JP 2002259444 A JP2002259444 A JP 2002259444A JP 2002259444 A JP2002259444 A JP 2002259444A JP 4022902 B2 JP4022902 B2 JP 4022902B2
Authority
JP
Japan
Prior art keywords
plasma
chamber
insulating tube
coaxial cable
frequency
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP2002259444A
Other languages
Japanese (ja)
Other versions
JP2004103264A (en
Inventor
直樹 松本
理子 中谷
澄江 瀬川
地塩 輿水
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Priority to JP2002259444A priority Critical patent/JP4022902B2/en
Publication of JP2004103264A publication Critical patent/JP2004103264A/en
Application granted granted Critical
Publication of JP4022902B2 publication Critical patent/JP4022902B2/en
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Landscapes

  • Physical Or Chemical Processes And Apparatus (AREA)
  • Chemical Vapour Deposition (AREA)
  • Drying Of Semiconductors (AREA)
  • Plasma Technology (AREA)

Description

【0001】
【発明の属する技術分野】
本発明は、プラズマ処理装置におけるプラズマをモニタリングする技術に係り、特にプラズマ吸収プローブ法を用いてプラズマの吸収周波数や電子密度を測定するモニタリング方法および装置に関する。
【0002】
【従来の技術】
半導体デバイスやFPD(Flat Panel Display)の製造プロセスにおけるエッチング、堆積、酸化、スパッタリング等の処理では、処理ガスに比較的低温で良好な反応を行わせるためにプラズマが多く利用されている。一般に、プラズマ処理装置にあっては、高い歩留まりを得るために基板の被処理面にわたって均一にプラズマ処理を施す必要があり、そのためには処理空間にプラズマ密度すなわち電子密度が均一な状態で分布するようにプラズマを生成する必要がある。このことから、プラズマ処理装置の設計段階あるいは立ち上げ段階で、チャンバ内の処理空間にどのような電子密度の分布でプラズマが生成するのかを把握するためにプラズマ中の電子密度を正確に測定できる技術が必要不可欠となっている。
【0003】
近年、この種のモニタリング技術としてプラズマ吸収プローブ(PAP:Plasma Absorption Probe)法が注目されている。このモニタリング法は、プローブを絶縁管で被覆することにより、ラングミュアプローブ法のようにプラズマ電位を乱したり、チャンバ内に金属汚染をもたらすことがないため、反応性ガスのプラズマでも計測できる。また、ギガヘルツ帯域の計測であるため、絶縁管表面に誘導性の堆積膜が付いても影響を受けにくく、堆積性ガスのプラズマでも計測できるなどのメリットがある。
【0004】
従来のプラズマ吸収プローブ法は、図12に示すように、チャンバ100の一側壁に設けた貫通孔100aに先端の閉じた絶縁管102を摺動可能に取り付け、先端部の芯線を数mm程度露出させたプローブ部104aを有する同軸ケーブル104を絶縁管102の中に挿入し、同軸ケーブル104の他端をネットワークアナライザ106に接続する。チャンバ100内には、プラズマ発生機構としてたとえば高周波電源(図示せず)に接続されている平行平板型の上部電極108および下部電極110が配置され、両電極108,110間の空間にプラズマpzが生成される。図示の例では、下部電極110の上に被処理基板Wが載置される。チャンバ側壁の絶縁管取付用貫通孔100aには、絶縁管102を支持し、かつ真空封止するOリング112が設けられる。
【0005】
ネットワークアナライザ106は、たとえば数百MHzから数GHzの帯域で周波数掃引しながらたとえば1mW程度の電磁波信号(検査電波)を同軸ケーブル104のプローブ部104aへ送り、プラズマによる吸収周波数を測定する。より詳細には、チャンバ100内にプラズマpzが無いときの反射率をΓ0、プラズマpzが在るときの反射率をΓpとし、両者の比Γ(Γp/Γ0)が極小になるところの周波数を吸収周波数とする。さらに、この吸収周波数がプラズマ中の電子振動数fp(=1/2π*√(e2*Ne/me*ε0)と等しいとおくと、次式(1)より電子密度を算出することができる。

Figure 0004022902
ここで、meは電子密度、ε0は真空誘電率、εrは絶縁管の比誘電率、eは素電荷である。
【0006】
プラズマpz中の電子密度の空間分布を調べるには、絶縁管102を軸方向(長手方向)に押したり引いたりして、プローブ部104aの位置を変える。より詳細には、プラズマpzの径方向において絶縁管102のチャンバ内挿入ストロークを微小ピッチで変化させることで、プラズマpzの一端から中心部を通って他端までプローブ部104aの位置つまり測定位置をスキャニング移動させ、各測定位置で求めた電子密度の測定値をグラフ上にプロットすればよい。
【0007】
上記のような従来のプラズマ吸収プローブ法は、特開2000−100598、特開2000−100599および特開2001−196199に開示されている。
【0008】
【発明が解決しようとする課題】
しかしながら、上記のような従来のプラズマ吸収プローブ法には、以下のように種々の問題点があった。
【0009】
(1) 絶縁管102がチャンバ100の側壁に取り付けられたOリング112を支点とする片持ち梁構造であるため、位置合わせ操作のぶれや自重によるたわみ等で絶縁管102の先端部の位置つまりプローブ部104aの位置(特に高さ位置)が変動しやすく、測定位置が安定せず、再現性が低い。特に、大口径チャンバを有する300mm径ウエハやFPD用の処理装置では、チャンバ内挿入ストロークが相当長くなるため、深刻な問題となる。
【0010】
(2) 絶縁管102を摺動させるため、これと摺接するOリング112が損傷劣化しやすい。特に、絶縁管102の外表面に腐食性堆積物が付着すると、それがOリング112に擦りつけられて、Oリング112の劣化が早まり、COC(Cost Of Consumables)が悪くなる。もちろん、Oリング112の劣化によってプローブ位置合わせの操作性も悪くなる。
【0011】
(3) 測定位置によってプラズマpz中に占める絶縁管102の容積(ボリューム)が変動するため、プローブによってプラズマpzの受ける影響(擾乱)が一様ではない。このことは、測定精度の信頼性を下げる要因となる。
【0012】
(4) 先端が閉じた絶縁管102とプローブ構造(特に、絶縁管102の先端からプローブ部104aまでの距離)で決まる共振周波数が複数存在し、プラズマpzによる吸収周波数との判別が困難である。
【0013】
(5) プラズマpzに曝された同軸ケーブル104のGNDライン(外部導体)を通じてRFノイズが漏洩する。このRFノイズは大気中に放射されて人体に影響を及ぼす可能性がある。また、RFノイズにより周囲の電子機器たとえばガス検知器等を誤動作させるおそれもある。対応策として計測器(ネットワークアナライザ106)側まで測定系全体をシールドしても、RFノイズにより吸収ピークのS/Nが悪化し、さらに計測器にダメージを与える可能性もある。
【0014】
(6) 絶縁管102の先端が閉じているので、絶縁管102内の同軸ケーブル104、特にプローブ部104a付近を冷却することは実際上不可能か非常に困難である。このため、プラズマpzの熱を受けて同軸ケーブル104の絶縁部が熱膨張しやすい。特に高圧力領域の電子密度を測定するときに熱損傷しやすい。
【0015】
本発明は、かかる従来技術の問題点に鑑みてなされたもので、測定位置の再現性を保証し、プローブ構造の安定性および耐久性を向上させ、プラズマに与える影響を安定化させ、S/N特性を改善し、プラズマ吸収周波数や電子密度について安定かつ高精度の測定を可能とするプラズマモニタリング方法および装置を提供することを目的とする。
【0016】
本発明の別の目的は、大気中または測定器へのRFノイズのリークを効果的に防止して、人体や測定機器の安全性を確保するプラズマモニタリング方法および装置を提供することにある。
【0017】
本発明の他の目的は、基板の被処理面に対してプラズマ密度の均一性ひいてはプラズマ処理の均一性を保証できるプラズマ処理装置を提供することにある。
【0018】
【課題を解決するための手段】
上記の目的を達成するために、本発明のプラズマモニタリング方法は、室内でプラズマが生成されるチャンバの壁面に設けた第1の支持部と第2の支持部との間に絶縁管を前記プラズマの中またはその付近を通過するように架け渡し、先端部の芯線を露出させたプローブ部を有する同軸ケーブルを前記絶縁管の一方の端より管内に挿入し、前記同軸ケーブルを介して前記プラズマ中の電子により吸収される電磁波周波数を測定する。
【0019】
また、本発明のプラズマモニタリング装置は、室内でプラズマが生成されるチャンバの壁面に設けられた第1の支持部と第2の支持部との間で前記プラズマの中またはその付近を通過するように架け渡される絶縁管と、先端部の芯線を露出させたプローブ部を有し、前記絶縁管の一方の端より管内に挿入される同軸ケーブルと、前記同軸ケーブルを介して前記プラズマ中の電子により吸収される電磁波周波数を測定する測定回路とを有する。
【0020】
本発明では、プローブ部付きの同軸ケーブルを収容する絶縁管がチャンバの壁面の2箇所(第1および第2の支持部)で支持されるため、プローブ位置合わせの操作でぶれたり自重でたわんだりすることがない。これにより、プローブ部を一定のライン上に位置させることができ、測定位置の再現性を保証できる。また、プローブ位置合わせに際しては、チャンバに固定された絶縁管に対して同軸ケーブルを軸方向に移動させればよく、絶縁管が支持部と擦りあうことがないため、支持部が損傷劣化するおそれはない。したがって、プローブ機構の安定性が向上し、消耗品コストも改善する。さらに、プローブ機構のプラズマに与える影響(擾乱)は測定位置に関係なく一定であるため、測定精度の信頼性も高い。また、同軸ケーブルのプローブ部から見た絶縁管はどの測定位置でも一定または一様の同軸管構造であり、プローブ部から発振される電磁波とプラズマとの結合は一定しているので、共振周波数のノイズが発生し難く、プラズマ吸収周波数のピークのみが得られ、高精度な計測が可能となる。
【0021】
本発明において、好ましくは、絶縁管がプラズマの中またはその付近を径方向にまっすぐ横断するように設けられてよい。装置的には、チャンバ壁面における第1の支持部と第2の支持部とを相対向させる構成としてよい。かかる方法または構成によれば、プラズマの一端から他端まで安定かつ高精度なモニタリングを行うことができる。
【0022】
別の態様として、絶縁管がプラズマの中またはその付近でUターンするように設けられてもよい。装置的には、チャンバの壁面に形成した開口に着脱可能かつ気密に取付される壁面部材を有し、この壁面部材に設けた第1の支持部と第2の支持部との間に絶縁管を中間部で屈曲または彎曲させて架け渡してなる構成としてよい。かかる方法または構成によれば、チャンバに対するプローブの着脱可能な取付けを容易に行うことができる。
【0023】
また、好ましくは、第1および第2の支持部の少なくとも一方が貫通孔によって形成されてよい。この場合、Oリングによって絶縁管を貫通孔に気密に固定取付してよい。
【0024】
また、好ましくは、同軸ケーブルの外部導体がチャンバを介してグランド電位に電気的に接続されてよい。装置的には、一端部がチャンバのグランド電位部分に接続され、他端部が同軸ケーブルの外部導体と接続するアース用導体を設けてよい。かかる方法または構成によれば、大気や測定器へのRFノイズのリークを効果的に防止して、人体や計測機器の安全性を確保するとともに、ガス検知機等の周囲の電子機器の誤動作を回避できる。
【0025】
また、好ましくは、チャンバのグランド電位部分から延びるアース用導体と同軸ケーブルの外部導体とが接触する位置よりもプローブ部側の位置で外部導体を伝播する定在波のノイズ信号を電磁誘導を通じて電磁波吸収体に吸収させるようにしてよい。電磁波吸収体の好適な形態は、同軸ケーブルに軸方向に沿って直列に遊動可能に装着される1個または複数個のビーズ形フェライト部材である。かかる方法または構成によれば、たとえ同軸ケーブルの外部導体(グランド部)に共振周波数のノイズが発生したとしても、電磁波吸収体によりノイズを効果的に吸収して除去することができる。
【0026】
また、好ましくは、絶縁管の他方の端に形成した開口を介して絶縁管の中に冷却用のガスを流すようにしてよい。装置的には、絶縁管の他方の端を開口して、この開口に冷却用のガスを流すための冷却機構を接続してよい。かかる方法または構成によれば、絶縁管内の同軸ケーブルを効果的に冷却できるため、プローブ部回りの熱膨張や熱損傷を防止し、耐久性を向上させることができる。
【0027】
本発明では、プラズマ吸収周波数の測定値からプラズマ中の電子密度を算出してよい。すなわち、本発明のプラズマモニタリング装置において、測定回路が、プラズマ吸収周波数の測定値に基づいて所与のプラズマ中の電子密度を算出する電子密度演算手段を含む構成としてよい。
【0028】
また、好ましくは、測定回路が、周波数を掃引しながら、各周波数の電磁波信号を同軸ケーブルのプローブ部に一定電力で送って周囲の空間に放出させ、プローブ部を介して反射してくる信号のレベルから各周波数毎の反射率を測定して、反射率のピーク点に対応する周波数を吸収周波数と決定する構成としてよい。
【0029】
本発明のプラズマ処理装置は、被処理体を出し入れ可能に収容するチャンバと、前記チャンバ内に所定の原料ガスを供給するガス供給手段と、前記チャンバ内で前記原料ガスを放電させて前記被処理体に所望の処理を施すためのプラズマを生成するプラズマ発生手段と、前記チャンバ内を減圧して所望の圧力に維持するための排気手段と、本発明のプラズマモニタリング装置とを有する。かかる構成によれば、本発明のプラズマモニタリング装置を用いることで、基板の被処理面に対してプラズマ密度の均一性ひいてはプラズマ処理の均一性を保証し、歩留まりを向上させることができる。
【0030】
【発明の実施の形態】
以下、図1〜図11を参照して本発明の好適な実施形態を説明する。
【0031】
図1および図2に、本発明の一実施形態によるプラズマモニタリング方法および装置を適用したプラズマ処理装置の構成を示す。このプラズマ処理装置は、容量結合型の平行平板プラズマ処理装置である。
【0032】
このプラズマ処理装置のチャンバ10は、たとえばアルミニウムからなり、円筒形状の密閉可能な処理室として構成されている。チャンバ10の底部には絶縁板12を介してたとえばアルミニウムからなる支持台14が配置され、この支持台14の上にサセプタ16が設けられている。サセプタ16は下部電極を構成し、この上に被処理基板たとえば半導体ウエハWが載置される。
【0033】
サセプタ16には、イオン引き込み用の所定周波数の高周波電力を供給する高周波電源18が整合器20を介して電気的に接続されるとともに、後述する上部電極側からの高周波を通すためのハイパスフィルタ(HPF)22が電気的に接続されている。サセプタ16の上面には、たとえば静電吸着力で基板Wを固定保持するための静電チャック(図示せず)が被着されていてよい。また、サセプタ16または支持台14の内部に温度制御用の冷却または加熱手段(図示せず)が設けられてよい。
【0034】
サセプタ16の上方には、このサセプタと平行に対向して上部電極24が設けられている。この上部電極24は、絶縁材25を介してチャンバ10に支持されており、多数の吐出孔26を有するたとえばアルミナなどのセラミックからなる下面の電極板28と、この電極板28を支持する導電材料たとえば表面がアルマイト処理されたアルミニウムからなる電極支持体30とを有する。電極板28と電極支持体30との内側にはバッファ室が形成され、このバッファ室の上面中心部にガス導入口32が設けられている。ガス導入口32には処理ガス供給部34からのガス供給配管36が接続されている。上部電極24には、プラズマ生成用の所定周波数の高周波電力を供給する高周波電源38が整合器40を介して電気的に接続されるとともに、サセプタ(下部電極)16側からの高周波を通すためのハイパスフィルタ(HPF)42が電気的に接続されている。
【0035】
チャンバ10の底部には排気口44が設けられ、この排気口44に排気管46を介して排気装置(図示せず)が接続されている。該排気装置は、ターボ分子ポンプなどの真空ポンプを有しており、チャンバ10内の処理空間を所望の真空度まで減圧できるようになっている。また、チャンバ10の側壁には基板Wを出し入れするための開閉機構たとえばゲートバルブ付きの基板出入り口(図示せず)が設けられている。チャンバ10はアース線などで接地されている。
【0036】
このプラズマ処理装置において、サセプタ16上の基板Wに処理を施すときは、処理ガス供給部34より所望の処理ガスを所定の流量でチャンバ10内に導入し、排気装置によりチャンバ10内の圧力を設定値にする。さらに、高周波電源18,34より高周波をそれぞれ所定のパワーでサセプタ(下部電極)16、上部電極24に印加する。上部電極24の多孔付き電極板またはシャワーヘッド28より吐出された処理ガスは電極間のグロー放電中でプラズマ化し、このプラズマPZで生成されるラジカルやイオンなどによって基板Wがプラズマ処理される。なお、サセプタ(下部電極)16と上部電極24との距離間隔は、たとえば10〜60mm程度に設定されている。
【0037】
この実施形態におけるプラズマモニタリング装置は、チャンバ10に固定取付される絶縁管50と、先端部の芯線を露出させたプローブ部を有し、絶縁管50の一方の端より管内に摺動可能に挿入される同軸ケーブル52と、この同軸ケーブル50を介してチャンバ10内のプラズマPZ中の電子により吸収される電磁波周波数を測定する測定回路54とを有する。
【0038】
絶縁管50は、たとえば石英管からなり、チャンバ10の外形寸法よりも幾らか長く、まっすぐ(直線状)に形成されており、両端が開口している。図1に示すように、サセプタ(下部電極)16と上部電極24との中間の高さ位置でチャンバ10の側壁には相対向する一対の貫通孔10aが支持部または計測ポートとして形成され、絶縁管50はこれらの貫通孔10aを貫通してチャンバ10の室内にほぼ水平に架け渡される。各貫通孔10aには、絶縁管50を気密に、つまり真空封止して固定するためのOリング56が取り付けられている。
【0039】
同軸ケーブル52は、図2に示すように、たとえばアルミニウムからなる芯線(内部導体)52aと、銅パイプからなる外部導体52bと、テフロン(登録商標)からなる絶縁材52cとを有するセミリジッドケーブルでよく、先端部の芯線52aを数mm程度露出させてプローブ部としている。同軸ケーブル52の計測器側はSMAプラグ58の形態で測定回路54に接続されている。また、同軸ケーブル52の絶縁管50からはみ出た部分の外部導体(GND部)52bはアース用導体60を介してグランド電位のチャンバ10の側壁に電気的に接続される。
【0040】
アース用導体60は、図2に示すように、たとえば絶縁管50を取付固定するための導電性のフランジ部材62に基端部が固着され、先端部が同軸ケーブル52の外部導体52bに摺動可能に接触する複数個の導電性バネ部材からなり、それらの導電性バネ部材が上下または左右あるいは四方から同軸ケーブル52を一定位置Aで弾撥的に挟持するような構成であってよい。あるいは、バネ部材に代えて導電線を使用し、同軸ケーブル52の外部導体52bに導電線の先端をクリップ等で接続する構成であってもよい。
【0041】
アース用導体60に近接してその内側つまりプローブ部52a側の位置には、同軸ケーブル52の外部導体52a上に発生する定在波のノイズ信号を吸収するための電磁波吸収体が設けられる。この実施形態では、図2に示すように、軸方向に沿って直列に1個または複数個のビーズ形フェライト部材64を同軸ケーブル52に遊動可能に装着している。
【0042】
図1において、測定回路54は、計測器本体であるネットワークアナライザ66と、SMAインタフェース用のパワーリミッタ68およびハイパスフィルタ(HPF)70とを有している。ネットワークアナライザ66は、周波数掃引方式の高周波発振器を有している。計測用の制御および演算処理を行う演算制御部もネットワークアナライザ66に内蔵または接続されている。
【0043】
絶縁管50の他端(図1の左端)には冷却ガス供給部72からの配管74が接続されている。冷却ガス供給部72は、たとえば送風機またはポンプを有し、冷却用のガスたとえばエアーを配管74を介して絶縁管50に流し込む。絶縁管50の左端より導入されたエアーは反対側(右側)に向って管内を流れ、アース用導体60の隙間から大気へ抜ける。このように、絶縁管50の中をエアーが軸方向に貫通して流れることによって、同軸ケーブル52、特にプローブ部52a回りが効果的に冷却されるようになっている。より好ましくは、冷却ガス供給部72が温調された冷却ガスを供給するようにしてもよい。あるいは、冷却ガス供給部72をエアー吸引型に構成して、絶縁管50の中を右側から左側にエアーを流すようにすることも可能である。
【0044】
この実施形態のプラズマモニタリング装置において、チャンバ10内で生成されるプラズマPZの吸収周波数ないし電子密度を測定する場合は、絶縁管50に対する同軸ケーブル52の挿入長さを調整して先端のプローブ部52aを径方向の所望の測定位置に合わせる。たとえば、プラズマPZの中心部における吸収周波数ないし電子密度を測定するときは、プローブ部52aをその付近に位置合わせすればよい。このプローブ位置合わせに際して、絶縁管50はチャンバ10の側壁に固定されたままであり、Oリング56を擦ることはない。
【0045】
先ず、チャンバ10内にプラズマPMを発生させない状態で、ネットワークアナライザ66よりたとえば数百MHzから数GHzの帯域で周波数掃引しながらたとえば1mW程度の電磁波信号(検査電波)を同軸ケーブル52のプローブ部52aへ送って周囲の空間に(主としてプローブ部52aから見て半径方向に)放出させ、ネットワークアナライザ66に返ってきた反射信号のレベルから反射率を求め、ネットワークアナライザ66に表示される反射率の周波数特性Γ0(S11パラメータ)をメモリに記憶する。
【0046】
次に、チャンバ10内にプラズマPZを発生させた状態で、ネットワークアナライザ66において上記と同様の手順および信号処理により反射率の周波数特性を求め、先の(プラズマ無しの)反射率の周波数特性Γ0との比Γ(Γp/Γ0)を演算する。プラズマを発生させる前の比Γ(Γp/Γ0)は常に1.00である。この比Γ(Γp/Γ0)は真空状態を基準としてプラズマによるエネルギー吸収の周波数特性を意味する。厳密にはプローブ部52aから放出された電磁波が周りの絶縁管50の表面を伝播し、その表面波の周波数がプラズマの電子振動数fpと一致したときに電磁波吸収が起こって反射率が極端に低くなる。したがって、比Γ(Γp/Γ0)が極小になるところの周波数をプラズマ吸収周波数とする。さらに、プラズマ吸収周波数の測定値を基に上式(1)を演算して電子密度Neの測定値を求める。
【0047】
さらに、絶縁管50内でプローブ部52aの位置(測定位置)をプラズマPZの一端から中心部を通って他端まで径方向にスキャニング移動させ、各測定位置で求めた電子密度Neの測定値をグラフ上にプロットすることで、プラズマPZの径方向における電子密度Neの空間分布を調べることもできる。
【0048】
この実施形態のプラズマモニタリング装置では、同軸ケーブル52のプローブ部52aを収容する絶縁管50がプラズマ処理空間をまっすぐ横断するように、チャンバ10の側壁の相対向する位置に設けた一対の支持部(貫通孔10a,10a)の間に絶縁管50を水平に架け渡し、かつOリング56で気密に固定している。プローブの位置合わせまたは測定位置の変更調整は、チャンバ10の側壁に水平に固定された絶縁管50の中で同軸ケーブル52のみを軸方向に移動させるので、プローブ部52aを常に一定の水平線上に位置させることができ、測定位置の再現性を保証できる。また、絶縁管50がOリング56と擦りあうことがないため、Oリング56が損傷劣化するおそれはなく、プローブ機構の安定性が向上するとともに消耗品コスト性(COC)も向上する。しかも、プローブ機構のプラズマに与える影響(擾乱)は測定位置に関係なく一定であるため、測定精度の信頼性も向上する。
【0049】
また、同軸ケーブル52のプローブ部52aから見た絶縁管50はどの測定位置でも一定または一様の同軸管構造であり、プローブ部52aから発振される電磁波とプラズマとの結合は一定しているので、共振周波数のノイズが発生し難く、プラズマ吸収周波数のピークのみが得られ、高精度な計測を行うことができる。さらに、同軸ケーブル52に電磁波吸収体としてビーズ形フェライト部材64を嵌装しているので、たとえ同軸ケーブル52の外部導体(GND部)52bに共振周波数のノイズつまり定在波ノイズが発生したとしても、ビーズ形フェライト部材64により定在波ノイズを効果的に吸収して十全に除去できる。
【0050】
また、このプラズマモニタリング装置では、同軸ケーブル52の外部導体(GND部)52bをアース用導体60およびチャンバ10を介して接地している。このチャンバ10を利用したRFシールド機能により、大気や測定器54へのRFノイズのリークを効果的に防止して、人体や計測機器の安全性を確保するとともに、ガス検知機等の周囲の電子機器の誤動作を回避できる。
【0051】
なお、このRFシールド機能によれば、同軸ケーブル52の外部導体(GND部)52b上にはアース用導体60との接続位置または短絡点Aよりも内側(プローブ部52a側)でノイズ信号が伝播するため、定在波ノイズ吸収用のビーズ形フェライト部材64も短絡点Aより内側に配置するのが好ましく、さらに好ましくはこの実施形態のように定在波ノイズの波腹部である短絡点Aに可及的に近接させる配置構成としてよい。
【0052】
さらに、この実施形態では、絶縁管50の先端側つまりプローブ部52aの対向側を開口して、この開口部より冷却ガス供給部74からのエアーを流し込んで同軸ケーブル52を効果的に冷却するため、プローブ部52a回りの熱膨張や熱損傷を防止し、耐久性を向上させることができる。
【0053】
この実施形態のプラズマモニタリング装置によれば、大口径チャンバの300mm装置やFPD装置でも信頼性の高いプラズマモニタリングを容易に行うことができる。
【0054】
なお、実際の製造プロセスにおいて当該プラズマ処理装置が加工処理を行うときは、プローブ機構(絶縁管、同軸ケーブル)は処理装置から取外すのが好ましい。本実施形態では、絶縁管50を抜き取ると開口するチャンバ10の貫通孔10aを栓等のシーリング部材で閉塞して真空封止してよい。
【0055】
【実施例】
以下、上記実施形態の具体例を説明する。
【0056】
上記プラズマ処理装置において、上部および下部高周波電力(高周波電源38,18)のRF周波数をそれぞれ60MHz、2MHzとし、上部電極24と下部電極(サセプタ)16との距離間隔(ギャップ)を25mmに設定した。
【0057】
上記実施形態のプラズマモニタリング装置において、絶縁管50は、全長550mm、外径3mm、内径1.5mmの透明石英管を使用し、チャンバ10側壁の両貫通孔10a間に架け渡される高さ位置(測定高さ位置)を上部電極24から10mm、下部電極16から15mmの位置に設定した。同軸ケーブル52は、芯線(内部導体)52aの外径0.20mm、外部導体52bの外径0.86mm、特性インピーダンス50ΩのセミリジッドケーブルSC-086/50(コアックス社製)を使用し、先端部のテフロン(登録商標)付芯線52aを10mm露出させてプローブ部とした。測定回路54において、ハイパスフィルタ70は日本高周波社のHPF150、パワーリミッタ68はアジレントテクノロジー社の11930B、ネットワークアナライザ66はアジレントテクノロジー社のHP8753ETを用いた。ネットワークアナライザ66においては、150MHzから2500MHzまでの高周波信号(0dBm:1mW)を600msec毎にスイープして出力させるようにした。
【0058】
S/Nの向上
上記実施形態による改良型プラズマ吸収プローブ法では、RFリーク対策のため同軸ケーブル52のGNDライン52bをチャンバ10の筐体(側壁)に短絡させて接地しているが、ビーズ形フェライト部材64を具備しない構成において同軸ケーブル52のチャンバ10内への挿入長さL(短絡点Aからプローブ部52a先端までの距離)を変えると、周期的にプラズマ吸収周波数以外のノイズと思われるピークが現れる現象が見られた。
【0059】
このノイズ発生のメカニズムを明らかにするために、同軸ケーブル52の挿入長さL(図2)を変化させて、このとき得られるノイズピークの周波数特性を調べた。図3にこの周波数特性を示す。図3から、ノイズピークは同軸ケーブル52の挿入長さLに応じて1500MHz以上の帯域で周期的に現れることがわかる。なお、プラズマ周波数fpは1000MHz〜1500MHzの範囲内に存在している。
【0060】
図4に、ノイズピークの周波数(実測値)をプロットで示し、挿入長さLで決まる共振周波数(ピーク周波数計算値)を曲線で示す。図4において、λは同軸ケーブル52の外部導体52bを伝播するノイズ信号の波長を示し,λgは芯線(内部導体52a)を伝播するノイズ信号の波長を示す。図4のグラフから、ノイズピークの測定値と計算値(半波長の整数倍)とがほぼ完全に一致していることがわかる。
【0061】
この結果から、挿入した同軸ケーブル52のGNDライン52bで定在波が発生し、この定在波がプローブに信号として認識され、本来のプラズマによる吸収ピーク以外の定在波ノイズピークが発生していると考えられる。定在波ノイズが発生すると、プラズマ吸収の周波数特性のS/Nが悪くなるばかりか、プラズマ吸収ピークと誤認してしまうおそれがある。
【0062】
そこで、上記実施形態のように、電磁波吸収体64による定在波ノイズの吸収を実験で試みた。電磁波吸収体64には、TDK社のビーズフェライトHF70BB3.5×5×1.3を用いた。また、プラズマ処理装置におけるプラズマ生成条件として、処理ガスにC58/Ar/O2混合ガス(流量:15/380/19sccm)を使用し、チャンバ10内の圧力を2.0Pa(15mTorr)、上部/下部高周波電力を2.17/1.55kW、上部電極/チャンバ側壁/下部電極の温度を60/50/20゜Cにそれぞれ設定した。測定位置をウエハ中心位置からの半径方向の距離をRとして、R=0(ウエハ中心)、R=160mmの2箇所を選んだ。
【0063】
図5に、この実験結果を示す。図5からわかるように、電磁波吸収体64を同軸ケーブル52に装着することで、本来のプラズマ吸収ピークに影響を与えることなく定在波ノイズを効果的に除去できることがはっきりと確認された。
【0064】
ノイズ低減効果の評価
次に、プラズマクリーニング条件でのノイズ低減効果を実験で評価した。目的はプラズマクリーニングのように電子密度が低くなり、S/Nが悪くなる条件でも、電磁波吸収体64により定在波ノイズを効果的に低減できるかを確認するためである。なお、プラズマクリーニングとは、周知のように、チャンバ内壁等に付着した反応残渣をプラズマを用いて除去するクリーニング方法である。
【0065】
プラズマ生成条件として、処理ガスにO2ガスを使用し、供給流量を200sccm、圧力を2.0Pa(15mTorr)、下部電力を200W、上部電極/チャンバ側壁/下部電極の温度を30/50/20゜Cにそれぞれ設定し、下部電力を1500Wから200Wまで変化させた。測定位置はR=0(ウエハ中心)とした。
【0066】
図6に、この実験結果を示す。やはり定在波ノイズのみを選択的に除去できるため、プラズマ吸収ピークの弱いシグナルでも容易にピーク検出を行えることがわかった。
【0067】
フェライト増強によるシグナル増加
さらに、同軸ケーブル52に装着する電磁波吸収体64を増強させたときのシグナルの変化を評価した。具体的には、同軸ケーブル52に直列に装着するビーズフェライト(HF70BB3.5×5×1.3)を5個、10個、15個としたときのプラズマ吸収ピークのシグナル(深さ)を比較した。結果は図7に示すように、ビーズフェライトの装着数を増やすことでシグナルを増加できることがわかった。これはフェライトがシグナルの中に含まれるノイズ成分を電磁誘導を介して除去するからであると考えられる。もっとも、電磁波がフェライトに吸収されると熱エネルギーに変換されるので、フェライト自体が加熱され、その温度がキュリー点(Tc:約100゜C)を超えるとフェライトは電磁波吸収の特性を失う。したがって、ビーズフェライトを冷却するのが好ましい。本実施形態では、冷却ガス供給部72の働きにより電磁波吸収体64も空冷されるようになっている。
【0068】
各種プロセスプラズマの計測
(1) 高アスペクト比の接続孔を形成するためのエッチング用のプラズマについて圧力をパラメータにしてチャンバ径方向における電子密度の空間分布を調べた。図8に計測結果を示す。主要なプラズマ生成条件(レシピ)は以下のとおりである。
ウエハ口径:200mm
エッチングガス:C58/Ar/O2 混合ガス
ガス流量:C58/Ar/O2 =15/380/19sccm
ガス圧力:2.0〜26.6Pa(15〜200mTorr)
RF電力:上部/下部=2.17/1.55kW
設定温度:上部電極/側壁/下部電極=60/50/20゜C
下部RF電圧の振幅:1385V(2.0Pa)、1345V(4.0Pa)、1355V(10.6Pa)、1370V(16.0Pa)、1380V(26.6Pa)
【0069】
図8に示すように、このプラズマ生成条件では、圧力が16.0Pa(120mTorr)を超えると、ウエハ中心部付近の位置で電子密度Neが下がり、均一性が失われることがわかる。なお、図8において、E+N(Nは整数)は10Nを意味する。したがって、たとえば1.0E+11は、1.0×1011を意味する。後述する図9および図10においても同様である。
【0070】
(2) Si基板に配線用の溝(トレンチ)を形成するためのエッチング用のプラズマについて圧力をパラメータにしてチャンバ径方向における電子密度の空間分布を調べた。図9に計測結果を示す。主要なプラズマ生成条件(レシピ)は以下のとおりである。
ウエハ口径:200mm
エッチングガス:CF4/O2混合ガス
ガス流量:CF4/O2=40/3sccm
ガス圧力:6.7〜66.5Pa(50〜500mTorr)
RF電力:上部/下部=1.0/1.2kW
設定温度:上部電極/側壁/下部電極=60/50/20゜C
下部RF電圧の振幅:1530V(6.7Pa)、1690V(20.0Pa)、1400V(39.9Pa)、1180V(66.5Pa)
【0071】
図9からわかるように、このプラズマ生成条件では、ガス圧力が6.7Paや20.0Pa程度では電子密度Neは不均一な分布になるが、39.9Pa以上では平坦(均一)な分布特性となることがわかる。
【0072】
(3) 基板上の層間絶縁膜にビアホールを形成するためのエッチング用のプラズマについて圧力をパラメータにしてチャンバ径方向における電子密度の空間分布を調べた。図10に計測結果を示す。主要なプラズマ生成条件(レシピ)は以下のとおりである。
ウエハ口径:200mm
エッチングガス:N2ガス
ガス流量:300sccm
ガス圧力:53.2〜106.4Pa(400〜800mTorr)
RF電力:上部/下部=1.5/1.0kW
設定温度:上部電極/側壁/下部電極=30/50/20゜C
下部RF電圧の振幅:1015V(53.2Pa)、938V(106.4Pa)
【0073】
図10に示すように、このプラズマ生成条件では、ガス圧力を106.4Paまで上げても電子密度Neの均一性が維持されることがわかる。
【0074】
上記のように、本実施形態のプラズマモニタリング装置によれば、低圧から高圧までの広い圧力領域にわたって高精度の電子密度計測を行うことができる。したがって、本実施形態のプラズマ処理装置においては、所望のプロセス条件の下で処理空間にプラズマ密度すなわち電子密度を均一な状態で分布するようにレシピを最適化して、プラズマ処理の面内均一性を保証し、歩留まりを向上させることができる。
【0075】
変形例
図11に、本実施形態におけるプローブ機構の一変形例を示す。この構成例では、チャンバ10の一側壁に計測ポートとして開口部10bを形成し、この開口部10bにプローブユニット用の壁面部材80をたとえばボルト82により着脱可能に、かつたとえばOリング84により気密に取付ける。この壁面部材84には、支持部として一対の貫通孔10aを近接させて好ましくは同じ高さ位置に形成し、それらの貫通孔10aで絶縁管50の両端部を支持する。したがって、絶縁管50は管の中間部で屈曲または彎曲させた構造を有し、チャンバ10内の処理空間(プラズマPZ)の中またはその付近でUターンする形で両支持部(貫通孔10a)の間に水平に架け渡される。この構成例によれば、チャンバ10に対する着脱式のプローブ取付構造を容易に実現することできる。
【0076】
本発明は上記した実施形態に限定されるものではなく、他にも種々の変形が可能である。たとえば、上記実施形態のプラズマモニタリング装置において各構成要素を同様の機能を有する代替物に変更可能であることはもちろんである。プラズマ処理装置においても同様であり、特に上記実施形態のような容量結合型平行平板タイプのプラズマ発生方式は一例であり、他の任意の方式たとえばマグネトロン方式やECR(Electron Cyclotron Resonance)方式などにも本発明は適用可能である。また、プラズマプロセスの種類もエッチングに限定されず、CVD(Chemical Vapor Deposition)、酸化、スパッタリングなど任意のプラズマプロセスに本発明は適用可能である。さらに、プラズマプロセスにより処理される被処理体も半導体ウエハに限るものではなく、たとえばガラス基板またはLCD(Liquid Crystal Display)基板などにも適用可能である。
【0077】
【発明の効果】
以上説明したように、本発明のプラズマモニタリング方法または装置によれば、測定位置の再現性を保証し、プローブ構造の安定性および耐久性を向上させ、プラズマに与える影響を安定化させ、S/N特性を改善し、プラズマ吸収周波数や電子密度について安定かつ高精度の測定を可能とすることができる。さらには、大気中または測定器へのRFノイズのリークを効果的に防止して、人体や測定機器の安全性を確保することもできる。
【0078】
また、本発明のプラズマ処理装置によれば、基板の被処理面に対してプラズマ密度の均一性ひいてはプラズマ処理の均一性を保証し、歩留まりを向上させることができる。
【図面の簡単な説明】
【図1】本発明の一実施形態によるプラズマモニタリング方法および装置を適用したプラズマ処理装置の構成を示す図である。
【図2】実施形態のプローブ構造の要部を示す部分拡大断面図である。
【図3】一実施例におけるノイズピークの周波数特性を示すグラフ図である。
【図4】一実施例におけるプローブ挿入長さに依存する定在波ノイズのピーク周波数の実測値と計算値を示すグラフ図である。
【図5】一実施例における電磁波吸収体のノイズ吸収効果を示す周波数特性のグラフ図である。
【図6】一実施例における電磁波吸収体のノイズ吸収効果を示す反射の周波数特性のグラフ図である。
【図7】一実施例における電磁波吸収体の増強によるシグナル増加の効果を示す周波数特性のグラフ図である。
【図8】一実施例における電子密度の空間分布特性を示すグラフ図である。
【図9】一実施例における電子密度の空間分布特性を示すグラフ図である。
【図10】一実施例における電子密度の空間分布特性を示すグラフ図である。
【図11】一変形例によるプローブ構造を示す部分拡大断面図である。
【図12】従来のプラズマ吸収プローブ法を説明するための図である。
【符号の説明】
10 チャンバ
10a 貫通孔(支持部)
16 サセプタ(下部電極)
18,38 高周波電源
24 上部電極
34 処理ガス供給部
50 絶縁管
52 同軸ケーブル
52a 芯線(プローブ部)
52b 外部導体(グランド部)
54 測定回路
56 Oリング
60 アース用導体
64 電磁波吸収体
66 ネットワークアナライザ
72 冷却ガス供給部
74 ガス管
80 側壁部材
82 ボルト
84 Oリング[0001]
BACKGROUND OF THE INVENTION
The present invention relates to a technique for monitoring plasma in a plasma processing apparatus, and more particularly to a monitoring method and apparatus for measuring plasma absorption frequency and electron density using a plasma absorption probe method.
[0002]
[Prior art]
In processes such as etching, deposition, oxidation, sputtering and the like in the manufacturing process of semiconductor devices and FPDs (Flat Panel Displays), plasma is often used in order to allow a process gas to react well at a relatively low temperature. In general, in a plasma processing apparatus, it is necessary to uniformly perform plasma processing over a surface to be processed of a substrate in order to obtain a high yield. For this purpose, a plasma density, that is, an electron density is distributed uniformly in a processing space. It is necessary to generate plasma. From this, it is possible to accurately measure the electron density in the plasma in order to grasp what kind of electron density distribution is generated in the processing space in the chamber at the design stage or start-up stage of the plasma processing apparatus. Technology is indispensable.
[0003]
In recent years, a plasma absorption probe (PAP) method has attracted attention as this type of monitoring technique. In this monitoring method, since the probe is covered with an insulating tube, the plasma potential is not disturbed unlike the Langmuir probe method, and metal contamination is not caused in the chamber. Therefore, even a reactive gas plasma can be measured. In addition, since the measurement is in the gigahertz band, there is a merit that even if an inductive deposited film is attached to the surface of the insulating tube, it is hardly affected, and it is possible to measure even with plasma of a depositing gas.
[0004]
In the conventional plasma absorption probe method, as shown in FIG. 12, an insulating tube 102 having a closed tip is slidably attached to a through-hole 100a provided on one side wall of a chamber 100, and the core wire at the tip is exposed about several millimeters. The coaxial cable 104 having the probe portion 104 a is inserted into the insulating tube 102, and the other end of the coaxial cable 104 is connected to the network analyzer 106. In the chamber 100, for example, a parallel plate type upper electrode 108 and a lower electrode 110 connected to a high frequency power source (not shown) as a plasma generation mechanism are arranged, and plasma pz is generated in a space between the electrodes 108 and 110. Generated. In the illustrated example, the substrate to be processed W is placed on the lower electrode 110. An O-ring 112 that supports the insulating tube 102 and vacuum seals is provided in the through-hole 100a for attaching the insulating tube on the side wall of the chamber.
[0005]
The network analyzer 106 sends an electromagnetic wave signal (inspection radio wave) of, for example, about 1 mW to the probe unit 104a of the coaxial cable 104 while sweeping the frequency in a band of several hundred MHz to several GHz, for example, and measures the absorption frequency by plasma. More specifically, the reflectance when the plasma pz is not present in the chamber 100 is Γ0, the reflectance when the plasma pz is present is Γp, and the frequency at which the ratio Γ (Γp / Γ0) is minimized is shown. The absorption frequency. Furthermore, this absorption frequency is the electron frequency f in the plasma.p(= 1 / 2π * √ (e2* Ne/ Me* Ε0), The electron density can be calculated from the following equation (1).
Figure 0004022902
Where meIs the electron density, ε0Is the vacuum dielectric constant, εrIs the dielectric constant of the insulating tube, and e is the elementary charge.
[0006]
In order to examine the spatial distribution of the electron density in the plasma pz, the position of the probe portion 104a is changed by pushing or pulling the insulating tube 102 in the axial direction (longitudinal direction). More specifically, the position of the probe portion 104a, that is, the measurement position is changed from one end of the plasma pz to the other end by changing the insertion stroke of the insulating tube 102 in the chamber at a minute pitch in the radial direction of the plasma pz. The measured value of the electron density obtained at each measurement position may be plotted on a graph by scanning.
[0007]
The conventional plasma absorption probe method as described above is disclosed in Japanese Patent Laid-Open No. 2000-100598, Japanese Patent Laid-Open No. 2000-100599, and Japanese Patent Laid-Open No. 2001-196199.
[0008]
[Problems to be solved by the invention]
However, the conventional plasma absorption probe method as described above has various problems as follows.
[0009]
(1) Since the insulating tube 102 has a cantilever structure with an O-ring 112 attached to the side wall of the chamber 100 as a fulcrum, the position of the distal end of the insulating tube 102, i. The position (particularly the height position) of the probe unit 104a is likely to fluctuate, the measurement position is not stable, and the reproducibility is low. In particular, a 300 mm wafer having a large-diameter chamber or a processing apparatus for FPD is a serious problem because the chamber insertion stroke becomes considerably long.
[0010]
(2) Since the insulating tube 102 is slid, the O-ring 112 in sliding contact with the insulating tube 102 is likely to be damaged and deteriorated. In particular, when a corrosive deposit adheres to the outer surface of the insulating tube 102, it is rubbed against the O-ring 112, so that the O-ring 112 is rapidly deteriorated and COC (Cost Of Consumables) is deteriorated. Of course, the operability of the probe alignment also deteriorates due to the deterioration of the O-ring 112.
[0011]
(3) Since the volume of the insulating tube 102 occupied in the plasma pz varies depending on the measurement position, the influence (disturbance) of the plasma pz on the probe is not uniform. This is a factor that lowers the reliability of measurement accuracy.
[0012]
(4) There are a plurality of resonance frequencies determined by the insulating tube 102 having the closed tip and the probe structure (particularly, the distance from the tip of the insulating tube 102 to the probe portion 104a), and it is difficult to distinguish the absorption frequency from the plasma pz. .
[0013]
(5) RF noise leaks through the GND line (outer conductor) of the coaxial cable 104 exposed to the plasma pz. This RF noise may be radiated into the atmosphere and affect the human body. Further, there is a risk of malfunction of surrounding electronic equipment such as a gas detector due to RF noise. Even if the entire measurement system is shielded to the measuring instrument (network analyzer 106) side as a countermeasure, the S / N of the absorption peak may be deteriorated due to RF noise, and the measuring instrument may be damaged.
[0014]
(6) Since the tip of the insulating tube 102 is closed, it is practically impossible or very difficult to cool the coaxial cable 104 in the insulating tube 102, particularly the vicinity of the probe portion 104a. For this reason, the insulating part of the coaxial cable 104 is likely to thermally expand due to the heat of the plasma pz. In particular, thermal damage is likely to occur when measuring the electron density in the high pressure region.
[0015]
The present invention has been made in view of the problems of the prior art, guaranteeing the reproducibility of the measurement position, improving the stability and durability of the probe structure, stabilizing the influence on the plasma, It is an object of the present invention to provide a plasma monitoring method and apparatus that improve N characteristics and enable stable and highly accurate measurement of plasma absorption frequency and electron density.
[0016]
Another object of the present invention is to provide a plasma monitoring method and apparatus for effectively preventing leakage of RF noise to the atmosphere or a measuring instrument and ensuring the safety of a human body or a measuring instrument.
[0017]
Another object of the present invention is to provide a plasma processing apparatus capable of guaranteeing the uniformity of plasma density and hence the uniformity of plasma processing with respect to the surface to be processed of the substrate.
[0018]
[Means for Solving the Problems]
In order to achieve the above object, the plasma monitoring method of the present invention is characterized in that an insulating tube is provided between a first support portion and a second support portion provided on a wall surface of a chamber in which plasma is generated indoors. A coaxial cable having a probe part with a core wire exposed at the front end part is inserted into the pipe from one end of the insulating pipe, and is passed through the coaxial cable into the plasma. The frequency of electromagnetic waves absorbed by the electrons is measured.
[0019]
In addition, the plasma monitoring apparatus of the present invention passes between or in the vicinity of the plasma between the first support portion and the second support portion provided on the wall surface of the chamber where plasma is generated indoors. An insulating tube that spans the wire, a probe portion that exposes the core wire at the tip, a coaxial cable that is inserted into the tube from one end of the insulating tube, and an electron in the plasma via the coaxial cable And a measurement circuit for measuring the electromagnetic wave frequency absorbed by the sensor.
[0020]
In the present invention, since the insulating tube that accommodates the coaxial cable with the probe portion is supported at two locations (first and second support portions) on the wall surface of the chamber, it is shaken or bent by its own weight during the probe alignment operation. There is nothing to do. Thereby, a probe part can be located on a fixed line and the reproducibility of a measurement position can be guaranteed. When aligning the probe, the coaxial cable may be moved in the axial direction with respect to the insulating tube fixed to the chamber, and the insulating tube will not rub against the supporting portion. It is not. Therefore, the stability of the probe mechanism is improved and the consumables cost is also improved. Furthermore, since the influence (disturbance) on the plasma of the probe mechanism is constant regardless of the measurement position, the reliability of measurement accuracy is high. In addition, the insulation tube viewed from the probe part of the coaxial cable has a constant or uniform coaxial tube structure at any measurement position, and the coupling between the electromagnetic wave oscillated from the probe part and the plasma is constant. Noise hardly occurs, only the peak of the plasma absorption frequency is obtained, and high-precision measurement is possible.
[0021]
In the present invention, preferably, an insulating tube may be provided so as to traverse the plasma in the vicinity of the plasma in the radial direction. In terms of apparatus, the first support portion and the second support portion on the chamber wall surface may be opposed to each other. According to such a method or configuration, stable and highly accurate monitoring can be performed from one end of the plasma to the other end.
[0022]
Alternatively, an insulating tube may be provided to make a U-turn in or near the plasma. The apparatus has a wall surface member that is detachably and airtightly attached to an opening formed in the wall surface of the chamber, and an insulating tube is provided between the first support portion and the second support portion provided on the wall surface member. It is good also as a structure formed by bending or bending at the intermediate part. According to this method or configuration, it is possible to easily attach and detach the probe to the chamber.
[0023]
Preferably, at least one of the first and second support portions may be formed by a through hole. In this case, the insulating pipe may be fixedly attached to the through hole by an O-ring.
[0024]
Preferably, the outer conductor of the coaxial cable may be electrically connected to the ground potential via the chamber. In terms of the apparatus, a grounding conductor may be provided in which one end is connected to the ground potential portion of the chamber and the other end is connected to the outer conductor of the coaxial cable. According to such a method or configuration, it is possible to effectively prevent leakage of RF noise to the atmosphere or a measuring instrument, to ensure the safety of a human body or a measuring instrument, and to prevent malfunction of surrounding electronic equipment such as a gas detector. Can be avoided.
[0025]
Preferably, a standing wave noise signal propagating through the external conductor at a position closer to the probe portion than the position where the grounding conductor extending from the ground potential portion of the chamber contacts the external conductor of the coaxial cable is electromagnetically transmitted through electromagnetic induction. You may make it make an absorber absorb. A preferred form of the electromagnetic wave absorber is one or a plurality of bead-shaped ferrite members that are movably mounted in series along the axial direction on the coaxial cable. According to this method or configuration, even if noise at the resonance frequency is generated in the outer conductor (ground portion) of the coaxial cable, the noise can be effectively absorbed and removed by the electromagnetic wave absorber.
[0026]
Preferably, a cooling gas may be allowed to flow through the insulating tube through an opening formed at the other end of the insulating tube. In terms of apparatus, the other end of the insulating tube may be opened, and a cooling mechanism for flowing a cooling gas may be connected to the opening. According to this method or configuration, since the coaxial cable in the insulating tube can be effectively cooled, thermal expansion and thermal damage around the probe portion can be prevented, and durability can be improved.
[0027]
In the present invention, the electron density in the plasma may be calculated from the measured value of the plasma absorption frequency. That is, in the plasma monitoring apparatus of the present invention, the measurement circuit may include an electron density calculating means for calculating the electron density in a given plasma based on the measured value of the plasma absorption frequency.
[0028]
Preferably, the measurement circuit sends an electromagnetic wave signal of each frequency to the probe portion of the coaxial cable with a constant power while sweeping the frequency, emits it to the surrounding space, and reflects the signal reflected through the probe portion. It is good also as a structure which measures the reflectance for every frequency from a level, and determines the frequency corresponding to the peak point of a reflectance as an absorption frequency.
[0029]
The plasma processing apparatus of the present invention includes a chamber that accommodates an object to be processed in a removable manner, a gas supply unit that supplies a predetermined source gas into the chamber, and discharges the source gas in the chamber. Plasma generating means for generating plasma for performing a desired treatment on the body, exhaust means for reducing the pressure in the chamber to maintain a desired pressure, and the plasma monitoring apparatus of the present invention. According to such a configuration, by using the plasma monitoring apparatus of the present invention, it is possible to guarantee the uniformity of the plasma density with respect to the surface to be processed of the substrate, and thus the uniformity of the plasma processing, and improve the yield.
[0030]
DETAILED DESCRIPTION OF THE INVENTION
Hereinafter, a preferred embodiment of the present invention will be described with reference to FIGS.
[0031]
1 and 2 show the configuration of a plasma processing apparatus to which a plasma monitoring method and apparatus according to an embodiment of the present invention is applied. This plasma processing apparatus is a capacitively coupled parallel plate plasma processing apparatus.
[0032]
The chamber 10 of this plasma processing apparatus is made of, for example, aluminum and is configured as a cylindrical processing chamber that can be sealed. A support base 14 made of, for example, aluminum is disposed on the bottom of the chamber 10 via an insulating plate 12, and a susceptor 16 is provided on the support base 14. The susceptor 16 constitutes a lower electrode, on which a substrate to be processed, for example, a semiconductor wafer W is placed.
[0033]
The susceptor 16 is electrically connected to a high-frequency power source 18 for supplying high-frequency power of a predetermined frequency for ion attraction through a matching unit 20 and a high-pass filter for passing a high-frequency from the upper electrode side described later ( HPF) 22 is electrically connected. On the upper surface of the susceptor 16, for example, an electrostatic chuck (not shown) for fixing and holding the substrate W by electrostatic adsorption force may be attached. Further, cooling or heating means (not shown) for temperature control may be provided inside the susceptor 16 or the support base 14.
[0034]
An upper electrode 24 is provided above the susceptor 16 so as to face the susceptor in parallel. The upper electrode 24 is supported by the chamber 10 via an insulating material 25, and has a lower electrode plate 28 made of ceramic such as alumina having a number of discharge holes 26, and a conductive material that supports the electrode plate 28. For example, it has the electrode support body 30 which consists of aluminum by which the surface was anodized. A buffer chamber is formed inside the electrode plate 28 and the electrode support 30, and a gas inlet 32 is provided at the center of the upper surface of the buffer chamber. A gas supply pipe 36 from the processing gas supply unit 34 is connected to the gas inlet 32. A high-frequency power source 38 that supplies high-frequency power of a predetermined frequency for plasma generation is electrically connected to the upper electrode 24 via a matching unit 40 and allows high-frequency power from the susceptor (lower electrode) 16 side to pass therethrough. A high pass filter (HPF) 42 is electrically connected.
[0035]
An exhaust port 44 is provided at the bottom of the chamber 10, and an exhaust device (not shown) is connected to the exhaust port 44 via an exhaust pipe 46. The exhaust device has a vacuum pump such as a turbo molecular pump, and can reduce the processing space in the chamber 10 to a desired degree of vacuum. In addition, an opening / closing mechanism for taking in and out the substrate W, for example, a substrate entrance / exit with a gate valve (not shown) is provided on the sidewall of the chamber 10. The chamber 10 is grounded by a ground wire or the like.
[0036]
In this plasma processing apparatus, when processing the substrate W on the susceptor 16, a desired processing gas is introduced into the chamber 10 from the processing gas supply unit 34 at a predetermined flow rate, and the pressure in the chamber 10 is reduced by an exhaust device. Set value. Further, a high frequency is applied to the susceptor (lower electrode) 16 and the upper electrode 24 from the high frequency power sources 18 and 34 at a predetermined power, respectively. The processing gas discharged from the perforated electrode plate of the upper electrode 24 or the shower head 28 is turned into plasma during glow discharge between the electrodes, and the substrate W is subjected to plasma processing by radicals or ions generated by the plasma PZ. The distance between the susceptor (lower electrode) 16 and the upper electrode 24 is set to about 10 to 60 mm, for example.
[0037]
The plasma monitoring apparatus in this embodiment has an insulating tube 50 fixedly attached to the chamber 10 and a probe portion exposing the core wire at the tip, and is slidably inserted into the tube from one end of the insulating tube 50. And a measurement circuit 54 that measures the electromagnetic wave frequency absorbed by the electrons in the plasma PZ in the chamber 10 through the coaxial cable 50.
[0038]
The insulating tube 50 is made of, for example, a quartz tube, is somewhat longer than the outer dimension of the chamber 10 and is straight (straight), and both ends are open. As shown in FIG. 1, a pair of opposing through holes 10a are formed as support portions or measurement ports on the side wall of the chamber 10 at a height intermediate between the susceptor (lower electrode) 16 and the upper electrode 24, and are insulated. The pipe 50 passes through these through-holes 10 a and is stretched almost horizontally in the chamber 10. An O-ring 56 for fixing the insulating tube 50 in an airtight manner, that is, by vacuum sealing, is attached to each through hole 10a.
[0039]
As shown in FIG. 2, the coaxial cable 52 may be a semi-rigid cable having a core wire (inner conductor) 52a made of aluminum, an outer conductor 52b made of copper pipe, and an insulating material 52c made of Teflon (registered trademark), for example. The core wire 52a at the distal end is exposed about several mm to form a probe portion. The measuring instrument side of the coaxial cable 52 is connected to the measuring circuit 54 in the form of an SMA plug 58. The portion of the outer conductor (GND portion) 52 b that protrudes from the insulating tube 50 of the coaxial cable 52 is electrically connected to the side wall of the chamber 10 having the ground potential via the grounding conductor 60.
[0040]
As shown in FIG. 2, the grounding conductor 60 has a proximal end portion fixed to a conductive flange member 62 for mounting and fixing the insulating tube 50, for example, and a distal end portion that slides on the outer conductor 52 b of the coaxial cable 52. A plurality of conductive spring members that come into contact with each other may be formed, and the conductive spring members may be configured to elastically hold the coaxial cable 52 at a fixed position A from the top, bottom, left, or right. Alternatively, a configuration may be used in which a conductive wire is used instead of the spring member, and the tip of the conductive wire is connected to the outer conductor 52b of the coaxial cable 52 with a clip or the like.
[0041]
An electromagnetic wave absorber for absorbing a standing wave noise signal generated on the outer conductor 52a of the coaxial cable 52 is provided in the vicinity of the grounding conductor 60, that is, at a position on the probe section 52a side. In this embodiment, as shown in FIG. 2, one or a plurality of bead-shaped ferrite members 64 are movably attached to the coaxial cable 52 in series along the axial direction.
[0042]
In FIG. 1, the measurement circuit 54 includes a network analyzer 66 that is a measuring instrument body, a power limiter 68 for an SMA interface, and a high-pass filter (HPF) 70. The network analyzer 66 has a frequency sweep type high frequency oscillator. An arithmetic control unit that performs measurement control and arithmetic processing is also built in or connected to the network analyzer 66.
[0043]
A pipe 74 from the cooling gas supply unit 72 is connected to the other end (left end in FIG. 1) of the insulating tube 50. The cooling gas supply unit 72 includes, for example, a blower or a pump, and flows a cooling gas, such as air, into the insulating tube 50 via the pipe 74. The air introduced from the left end of the insulating tube 50 flows in the tube toward the opposite side (right side) and escapes to the atmosphere through the gap between the grounding conductors 60. As described above, the air passes through the insulating tube 50 in the axial direction, so that the coaxial cable 52, particularly around the probe portion 52a, is effectively cooled. More preferably, the cooling gas supply unit 72 may supply a temperature-controlled cooling gas. Alternatively, the cooling gas supply unit 72 may be configured as an air suction type so that air flows through the insulating tube 50 from the right side to the left side.
[0044]
In the plasma monitoring apparatus of this embodiment, when measuring the absorption frequency or electron density of the plasma PZ generated in the chamber 10, the insertion length of the coaxial cable 52 into the insulating tube 50 is adjusted to adjust the probe portion 52a at the tip. To the desired measurement position in the radial direction. For example, when measuring the absorption frequency or electron density in the central part of the plasma PZ, the probe part 52a may be positioned in the vicinity thereof. In this probe alignment, the insulating tube 50 remains fixed to the side wall of the chamber 10 and does not rub the O-ring 56.
[0045]
First, in a state where plasma PM is not generated in the chamber 10, for example, an electromagnetic wave signal (inspection radio wave) of about 1 mW is swept from the network analyzer 66 in a band of, for example, several hundred MHz to several GHz, for example, a probe portion 52 a of the coaxial cable 52 To the surrounding space (mainly in the radial direction when viewed from the probe portion 52a), the reflectance is obtained from the level of the reflected signal returned to the network analyzer 66, and the frequency of the reflectance displayed on the network analyzer 66 is obtained. The characteristic Γ0 (S11 parameter) is stored in the memory.
[0046]
Next, in the state where the plasma PZ is generated in the chamber 10, the network analyzer 66 obtains the frequency characteristic of the reflectance by the same procedure and signal processing as described above, and the previous frequency characteristic of the reflectance (without plasma) Γ0. The ratio Γ (Γp / Γ0) is calculated. The ratio Γ (Γp / Γ0) before plasma generation is always 1.00. This ratio Γ (Γp / Γ0) means the frequency characteristic of energy absorption by plasma with reference to the vacuum state. Strictly speaking, an electromagnetic wave emitted from the probe portion 52a propagates on the surface of the surrounding insulating tube 50, and the frequency of the surface wave is the electron frequency f of the plasma.pElectromagnetic wave absorption occurs and the reflectance becomes extremely low. Therefore, the frequency at which the ratio Γ (Γp / Γ0) is minimized is defined as the plasma absorption frequency. Further, the above equation (1) is calculated based on the measured value of the plasma absorption frequency to calculate the electron density NeObtain the measured value.
[0047]
Further, the position (measurement position) of the probe 52a is scanned and moved in the radial direction from one end of the plasma PZ to the other end in the insulating tube 50, and the electron density N determined at each measurement position.eIs plotted on the graph, and the electron density N in the radial direction of the plasma PZ is plotted.eThe spatial distribution of can also be examined.
[0048]
In the plasma monitoring apparatus of this embodiment, a pair of support portions (in a position opposite to each other on the side wall of the chamber 10) so that the insulating tube 50 that houses the probe portion 52a of the coaxial cable 52 crosses the plasma processing space straight ( An insulating tube 50 is horizontally stretched between the through holes 10a and 10a) and is airtightly fixed by an O-ring 56. In the probe alignment or measurement position change adjustment, only the coaxial cable 52 is moved in the axial direction in the insulating tube 50 fixed horizontally to the side wall of the chamber 10, so that the probe 52a is always on a certain horizontal line. It can be positioned, and the reproducibility of the measurement position can be guaranteed. Further, since the insulating tube 50 does not rub against the O-ring 56, the O-ring 56 is not likely to be damaged and deteriorated, and the stability of the probe mechanism is improved and the consumables cost (COC) is also improved. In addition, since the influence (disturbance) on the plasma of the probe mechanism is constant regardless of the measurement position, the reliability of measurement accuracy is also improved.
[0049]
Further, the insulating tube 50 viewed from the probe portion 52a of the coaxial cable 52 has a constant or uniform coaxial tube structure at any measurement position, and the coupling between the electromagnetic wave oscillated from the probe portion 52a and the plasma is constant. Resonance frequency noise hardly occurs, only the peak of the plasma absorption frequency is obtained, and highly accurate measurement can be performed. Further, since the bead-shaped ferrite member 64 is fitted as an electromagnetic wave absorber to the coaxial cable 52, even if resonance frequency noise, that is, standing wave noise is generated in the outer conductor (GND portion) 52b of the coaxial cable 52. The standing-wave noise can be effectively absorbed by the bead-shaped ferrite member 64 and removed sufficiently.
[0050]
In this plasma monitoring apparatus, the outer conductor (GND part) 52 b of the coaxial cable 52 is grounded via the grounding conductor 60 and the chamber 10. The RF shield function using the chamber 10 effectively prevents leakage of RF noise to the atmosphere and the measuring instrument 54 to ensure the safety of the human body and the measuring device, and to make the surrounding electrons such as the gas detector. Device malfunction can be avoided.
[0051]
According to this RF shield function, a noise signal propagates on the outer conductor (GND portion) 52b of the coaxial cable 52 at the position where it is connected to the grounding conductor 60 or inside the short-circuit point A (probe portion 52a side). Therefore, the bead-shaped ferrite member 64 for absorbing standing wave noise is also preferably arranged inside the short-circuit point A, and more preferably at the short-circuit point A that is the antinode of standing wave noise as in this embodiment. The arrangement configuration may be as close as possible.
[0052]
Furthermore, in this embodiment, in order to effectively cool the coaxial cable 52 by opening the distal end side of the insulating tube 50, that is, the opposite side of the probe portion 52a, and flowing air from the cooling gas supply portion 74 through this opening portion. In addition, thermal expansion and thermal damage around the probe portion 52a can be prevented, and durability can be improved.
[0053]
According to the plasma monitoring apparatus of this embodiment, highly reliable plasma monitoring can be easily performed even with a 300 mm apparatus or an FPD apparatus having a large-diameter chamber.
[0054]
In the actual manufacturing process, when the plasma processing apparatus performs processing, it is preferable to remove the probe mechanism (insulating tube, coaxial cable) from the processing apparatus. In the present embodiment, the through-hole 10a of the chamber 10 that opens when the insulating tube 50 is extracted may be closed and sealed with a sealing member such as a stopper.
[0055]
【Example】
Hereinafter, a specific example of the above embodiment will be described.
[0056]
In the above plasma processing apparatus, the RF frequencies of the upper and lower high-frequency power (high-frequency power supplies 38 and 18) were set to 60 MHz and 2 MHz, respectively, and the distance interval (gap) between the upper electrode 24 and the lower electrode (susceptor) 16 was set to 25 mm. .
[0057]
In the plasma monitoring apparatus of the above-described embodiment, the insulating tube 50 uses a transparent quartz tube having a total length of 550 mm, an outer diameter of 3 mm, and an inner diameter of 1.5 mm, and a height position (between the two through holes 10a on the side wall of the chamber 10 ( The measurement height position) was set at a position 10 mm from the upper electrode 24 and 15 mm from the lower electrode 16. The coaxial cable 52 uses a semi-rigid cable SC-086 / 50 (manufactured by Coax) having a core wire (inner conductor) 52a outer diameter of 0.20 mm, an outer conductor 52b outer diameter of 0.86 mm, and a characteristic impedance of 50Ω. The Teflon (registered trademark) core wire 52a was exposed 10 mm to form a probe portion. In the measurement circuit 54, the high-pass filter 70 was HPF150 manufactured by Nippon High Frequency Co., Ltd., the power limiter 68 was 11930B manufactured by Agilent Technologies, and the network analyzer 66 was HP8753ET manufactured by Agilent Technologies. In the network analyzer 66, a high frequency signal (0 dBm: 1 mW) from 150 MHz to 2500 MHz is swept every 600 msec and output.
[0058]
Improvement of S / N
In the improved plasma absorption probe method according to the above-described embodiment, the GND line 52b of the coaxial cable 52 is short-circuited to the casing (side wall) of the chamber 10 for grounding against RF leakage, but includes a bead-shaped ferrite member 64. If the insertion length L of the coaxial cable 52 into the chamber 10 (the distance from the short-circuit point A to the tip of the probe portion 52a) is changed in a configuration that does not, a peak that appears to be noise other than the plasma absorption frequency periodically appears. It was seen.
[0059]
In order to clarify the mechanism of this noise generation, the insertion length L (FIG. 2) of the coaxial cable 52 was changed, and the frequency characteristics of the noise peak obtained at this time were examined. FIG. 3 shows this frequency characteristic. From FIG. 3, it can be seen that noise peaks appear periodically in a band of 1500 MHz or more according to the insertion length L of the coaxial cable 52. Plasma frequency fpExists in the range of 1000 MHz to 1500 MHz.
[0060]
In FIG. 4, the frequency (actual value) of the noise peak is shown as a plot, and the resonance frequency (peak frequency calculation value) determined by the insertion length L is shown as a curve. In FIG. 4, λ represents the wavelength of the noise signal propagating through the outer conductor 52b of the coaxial cable 52, and λg represents the wavelength of the noise signal propagating through the core wire (inner conductor 52a). From the graph of FIG. 4, it can be seen that the measured value of the noise peak and the calculated value (an integral multiple of a half wavelength) almost completely match.
[0061]
From this result, a standing wave is generated in the GND line 52b of the inserted coaxial cable 52, and this standing wave is recognized as a signal by the probe, and a standing wave noise peak other than the absorption peak due to the original plasma is generated. It is thought that there is. When standing wave noise occurs, not only the S / N of the frequency characteristic of plasma absorption is deteriorated, but there is a possibility that it is mistaken for a plasma absorption peak.
[0062]
Therefore, as in the above embodiment, an attempt was made to absorb standing wave noise by the electromagnetic wave absorber 64 through experiments. As the electromagnetic wave absorber 64, bead ferrite HF70BB3.5 × 5 × 1.3 manufactured by TDK Corporation was used. In addition, as a plasma generation condition in the plasma processing apparatus, the processing gas is CFiveF8/ Ar / O2Using mixed gas (flow rate: 15/380/19 sccm), pressure in chamber 10 is 2.0 Pa (15 mTorr), upper / lower high-frequency power is 2.17 / 1.55 kW, upper electrode / chamber sidewall / lower electrode Was set to 60/50/20 ° C. Two locations of R = 0 (wafer center) and R = 160 mm were selected, where R is the distance in the radial direction from the wafer center position.
[0063]
FIG. 5 shows the results of this experiment. As can be seen from FIG. 5, it was clearly confirmed that the standing wave noise can be effectively removed without affecting the original plasma absorption peak by attaching the electromagnetic wave absorber 64 to the coaxial cable 52.
[0064]
Evaluation of noise reduction effect
Next, the noise reduction effect under plasma cleaning conditions was evaluated experimentally. The purpose is to confirm whether the standing wave noise can be effectively reduced by the electromagnetic wave absorber 64 even under the condition that the electron density becomes low and the S / N becomes worse like plasma cleaning. As is well known, plasma cleaning is a cleaning method that removes reaction residues adhering to the inner wall of a chamber using plasma.
[0065]
As a plasma generation condition, O is used as the processing gas2Using gas, supply flow rate is set to 200 sccm, pressure is set to 2.0 Pa (15 mTorr), lower power is set to 200 W, upper electrode / chamber side wall / lower electrode temperature is set to 30/50/20 ° C., and lower power is set. It was changed from 1500W to 200W. The measurement position was R = 0 (wafer center).
[0066]
FIG. 6 shows the results of this experiment. It was also found that only standing wave noise can be selectively removed, so that peak detection can be easily performed even with a signal having a weak plasma absorption peak.
[0067]
Increased signal due to ferrite enhancement
Furthermore, the change in signal when the electromagnetic wave absorber 64 attached to the coaxial cable 52 was enhanced was evaluated. Specifically, the signal (depth) of the plasma absorption peak when the number of bead ferrites (HF70BB3.5 × 5 × 1.3) attached in series to the coaxial cable 52 was 5, 10, and 15 was compared. As shown in FIG. 7, it was found that the signal could be increased by increasing the number of beads ferrite mounted. This is presumably because ferrite removes noise components contained in the signal through electromagnetic induction. However, when electromagnetic waves are absorbed by ferrite, they are converted into thermal energy, so the ferrite itself is heated and its temperature is set to the Curie point (Tc: When the temperature exceeds about 100 ° C, the ferrite loses the electromagnetic wave absorption characteristics. Therefore, it is preferable to cool the bead ferrite. In the present embodiment, the electromagnetic wave absorber 64 is also air-cooled by the action of the cooling gas supply unit 72.
[0068]
Measurement of various process plasmas
(1) The spatial distribution of the electron density in the chamber radial direction was investigated using the pressure as a parameter for etching plasma to form high aspect ratio connection holes. FIG. 8 shows the measurement results. The main plasma generation conditions (recipe) are as follows.
Wafer diameter: 200 mm
Etching gas: CFiveF8/ Ar / O2Mixed gas
Gas flow rate: CFiveF8/ Ar / O2= 15/380 / 19sccm
Gas pressure: 2.0 to 26.6 Pa (15 to 200 mTorr)
RF power: Upper / Lower = 2.17 / 1.55 kW
Setting temperature: upper electrode / side wall / lower electrode = 60/50/20 ° C.
Amplitude of lower RF voltage: 1385V (2.0Pa), 1345V (4.0Pa), 1355V (10.6Pa), 1370V (16.0Pa), 1380V (26.6Pa)
[0069]
As shown in FIG. 8, under this plasma generation condition, when the pressure exceeds 16.0 Pa (120 mTorr), the electron density N at a position near the center of the wafer.eIt can be seen that uniformity is lost. In FIG. 8, E + N (N is an integer) is 10NMeans. Thus, for example, 1.0E + 11 is 1.0 × 1011Means. The same applies to FIGS. 9 and 10 described later.
[0070]
(2) The spatial distribution of the electron density in the chamber radial direction was investigated using the pressure as a parameter for etching plasma for forming wiring trenches (trench) in the Si substrate. FIG. 9 shows the measurement results. The main plasma generation conditions (recipe) are as follows.
Wafer diameter: 200 mm
Etching gas: CFFour/ O2Mixed gas
Gas flow rate: CFFour/ O2= 40 / 3sccm
Gas pressure: 6.7-66.5 Pa (50-500 mTorr)
RF power: Upper / Lower = 1.0 / 1.2kW
Setting temperature: upper electrode / side wall / lower electrode = 60/50/20 ° C.
Lower RF voltage amplitude: 1530 V (6.7 Pa), 1690 V (20.0 Pa), 1400 V (39.9 Pa), 1180 V (66.5 Pa)
[0071]
As can be seen from FIG. 9, under this plasma generation condition, the electron density N is obtained when the gas pressure is about 6.7 Pa or 20.0 Pa.eAlthough the distribution is non-uniform, it can be seen that flat (uniform) distribution characteristics are obtained at 39.9 Pa or higher.
[0072]
(3) The spatial distribution of electron density in the chamber radial direction was investigated using the pressure as a parameter for etching plasma to form via holes in the interlayer insulating film on the substrate. FIG. 10 shows the measurement results. The main plasma generation conditions (recipe) are as follows.
Wafer diameter: 200 mm
Etching gas: N2gas
Gas flow rate: 300sccm
Gas pressure: 53.2-106.4 Pa (400-800 mTorr)
RF power: Upper / lower = 1.5 / 1.0 kW
Setting temperature: upper electrode / side wall / lower electrode = 30/50/20 ° C.
Lower RF voltage amplitude: 1015 V (53.2 Pa), 938 V (106.4 Pa)
[0073]
As shown in FIG. 10, under this plasma generation condition, even if the gas pressure is increased to 106.4 Pa, the electron density NeIt can be seen that the uniformity of is maintained.
[0074]
As described above, according to the plasma monitoring apparatus of this embodiment, it is possible to perform highly accurate electron density measurement over a wide pressure region from low pressure to high pressure. Therefore, in the plasma processing apparatus of this embodiment, the recipe is optimized so that the plasma density, that is, the electron density, is uniformly distributed in the processing space under the desired process conditions, thereby improving the in-plane uniformity of the plasma processing. Guarantee and improve yield.
[0075]
Modified example
FIG. 11 shows a modification of the probe mechanism in the present embodiment. In this configuration example, an opening 10b is formed as a measurement port on one side wall of the chamber 10, and a wall surface member 80 for the probe unit is detachably attached to the opening 10b by, for example, a bolt 82 and airtight by, for example, an O-ring 84. Install. The wall member 84 is formed with a pair of through holes 10a as support portions, preferably at the same height, and both end portions of the insulating tube 50 are supported by the through holes 10a. Therefore, the insulating tube 50 has a structure bent or bent at an intermediate portion of the tube, and both support portions (through holes 10a) are U-turned in or near the processing space (plasma PZ) in the chamber 10. Between them. According to this configuration example, a detachable probe mounting structure for the chamber 10 can be easily realized.
[0076]
The present invention is not limited to the above-described embodiment, and various other modifications are possible. For example, in the plasma monitoring apparatus of the above embodiment, it is a matter of course that each component can be changed to an alternative having the same function. The same applies to the plasma processing apparatus. In particular, the capacitively coupled parallel plate type plasma generation method as in the above embodiment is an example, and other arbitrary methods such as a magnetron method and an ECR (Electron Cyclotron Resonance) method can be used. The present invention is applicable. The type of plasma process is not limited to etching, and the present invention can be applied to any plasma process such as CVD (Chemical Vapor Deposition), oxidation, and sputtering. Furthermore, the target object to be processed by the plasma process is not limited to a semiconductor wafer, and can be applied to, for example, a glass substrate or an LCD (Liquid Crystal Display) substrate.
[0077]
【The invention's effect】
As described above, according to the plasma monitoring method or apparatus of the present invention, the reproducibility of the measurement position is guaranteed, the stability and durability of the probe structure are improved, the influence on the plasma is stabilized, and the S / S The N characteristic can be improved, and the plasma absorption frequency and the electron density can be measured stably and with high accuracy. Furthermore, it is possible to effectively prevent the leakage of RF noise to the atmosphere or the measuring instrument, and to ensure the safety of the human body and the measuring instrument.
[0078]
Further, according to the plasma processing apparatus of the present invention, it is possible to guarantee the uniformity of the plasma density with respect to the surface to be processed of the substrate, and thus the uniformity of the plasma processing, and improve the yield.
[Brief description of the drawings]
FIG. 1 is a diagram showing a configuration of a plasma processing apparatus to which a plasma monitoring method and apparatus according to an embodiment of the present invention is applied.
FIG. 2 is a partially enlarged cross-sectional view showing a main part of the probe structure of the embodiment.
FIG. 3 is a graph showing frequency characteristics of noise peaks in one embodiment.
FIG. 4 is a graph showing measured values and calculated values of the peak frequency of standing wave noise depending on the probe insertion length in one embodiment.
FIG. 5 is a graph of frequency characteristics showing the noise absorption effect of the electromagnetic wave absorber in one embodiment.
FIG. 6 is a graph of reflection frequency characteristics showing the noise absorption effect of the electromagnetic wave absorber in one embodiment.
FIG. 7 is a graph of frequency characteristics showing the effect of signal increase due to enhancement of the electromagnetic wave absorber in one embodiment.
FIG. 8 is a graph showing the spatial distribution characteristics of electron density in one embodiment.
FIG. 9 is a graph showing a spatial distribution characteristic of electron density in one embodiment.
FIG. 10 is a graph showing the spatial distribution characteristics of electron density in one example.
FIG. 11 is a partially enlarged sectional view showing a probe structure according to a modification.
FIG. 12 is a diagram for explaining a conventional plasma absorption probe method.
[Explanation of symbols]
10 chambers
10a Through hole (support part)
16 Susceptor (lower electrode)
18,38 High frequency power supply
24 Upper electrode
34 Processing gas supply unit
50 Insulation tube
52 Coaxial cable
52a Core wire (probe part)
52b Outer conductor (ground part)
54 Measurement circuit
56 O-ring
60 Grounding conductor
64 Electromagnetic wave absorber
66 Network Analyzer
72 Cooling gas supply unit
74 Gas pipe
80 Side wall member
82 volts
84 O-ring

Claims (22)

室内でプラズマが生成されるチャンバの壁面に設けた第1の支持部と第2の支持部との間に絶縁管を前記プラズマの中またはその付近を通過するように架け渡し、
先端部の芯線を露出させたプローブ部を有する同軸ケーブルを前記絶縁管の一方の端より管内に挿入し、
前記同軸ケーブルを介して前記プラズマ中の電子により吸収される電磁波周波数を測定するプラズマモニタリング方法。
Spanning an insulating tube between the first support and the second support provided on the wall of the chamber where plasma is generated in the room so as to pass through or near the plasma;
A coaxial cable having a probe part with the core wire of the tip part exposed is inserted into the pipe from one end of the insulating pipe,
A plasma monitoring method for measuring an electromagnetic wave frequency absorbed by electrons in the plasma via the coaxial cable.
前記絶縁管が前記プラズマの中またはその付近をまっすぐ横断するように設けられる請求項1に記載のプラズマモニタリング方法。  The plasma monitoring method according to claim 1, wherein the insulating tube is provided so as to traverse in or near the plasma. 前記絶縁管が前記プラズマの中またはその付近でUターンするように設けられる請求項1に記載のプラズマモニタリング方法。  The plasma monitoring method according to claim 1, wherein the insulating tube is provided so as to make a U-turn in or near the plasma. 前記プラズマに対する前記プローブ部の測定位置を変えるために前記絶縁管に対して前記同軸ケーブルを軸方向に移動させる請求項1〜3のいずれか一項に記載のプラズマモニタリング方法。  The plasma monitoring method according to any one of claims 1 to 3, wherein the coaxial cable is moved in an axial direction with respect to the insulating tube in order to change a measurement position of the probe unit with respect to the plasma. 前記同軸ケーブルの外部導体を前記チャンバを介してグランド電位に電気的に接続する請求項1〜4のいずれか一項に記載のプラズマモニタリング方法。  The plasma monitoring method according to any one of claims 1 to 4, wherein an outer conductor of the coaxial cable is electrically connected to a ground potential through the chamber. 前記チャンバのグランド電位部分から延びるアース用導体と前記同軸ケーブルの外部導体とが接触する位置よりも前記プローブ部側の位置で前記外部導体を伝播する定在波のノイズ信号を電磁誘導を通じて電磁波吸収体に吸収させる請求項5に記載のプラズマモニタリング方法。  Absorbing electromagnetic waves through electromagnetic induction of standing wave noise signals propagating through the outer conductor at positions closer to the probe section than positions where the ground conductor extending from the ground potential portion of the chamber contacts the outer conductor of the coaxial cable The plasma monitoring method according to claim 5, wherein the plasma is absorbed by a body. 前記絶縁管の他方の端に形成した開口を介して前記絶縁管の中に冷却用のガスを流す請求項1〜6のいずれか一項に記載のプラズマモニタリング方法。  The plasma monitoring method according to any one of claims 1 to 6, wherein a cooling gas is allowed to flow into the insulating pipe through an opening formed at the other end of the insulating pipe. 前記プラズマ吸収周波数の測定値から前記プラズマ中の電子密度を算出する請求項1〜7のいずれか一項に記載のプラズマモニタリング方法。  The plasma monitoring method according to any one of claims 1 to 7, wherein an electron density in the plasma is calculated from a measured value of the plasma absorption frequency. 前記絶縁管内の前記プローブ部の位置を変えて、前記プラズマ中の電子密度の空間分布特性を求める請求項8に記載のプラズマモニタリング方法。  The plasma monitoring method according to claim 8, wherein a spatial distribution characteristic of an electron density in the plasma is obtained by changing a position of the probe portion in the insulating tube. 室内でプラズマが生成されるチャンバの壁面に設けられた第1の支持部と第2の支持部との間で前記プラズマの中またはその付近を通過するように架け渡される絶縁管と、
先端部の芯線を露出させたプローブ部を有し、前記絶縁管の一方の端より管内に挿入される同軸ケーブルと、
前記同軸ケーブルを介して前記プラズマ中の電子により吸収される電磁波周波数を測定する測定回路と
を有するプラズマモニタリング装置。
An insulating tube that is spanned so as to pass through or in the vicinity of the plasma between a first support and a second support provided on the wall of a chamber in which plasma is generated indoors;
A coaxial cable inserted into the tube from one end of the insulating tube, having a probe portion exposing the core wire of the tip portion;
And a measurement circuit for measuring an electromagnetic wave frequency absorbed by electrons in the plasma via the coaxial cable.
前記絶縁管が前記プラズマの中またはその付近をまっすぐ横断するように、前記チャンバ壁面における前記第1の支持部と前記第2の支持部とを相対向させる請求項10に記載のプラズマモニタリング装置。  The plasma monitoring apparatus according to claim 10, wherein the first support portion and the second support portion on the wall surface of the chamber are opposed to each other so that the insulating tube passes straight in or near the plasma. 前記チャンバの壁面に形成した開口に着脱可能かつ気密に取付される壁面部材を有し、前記壁面部材に設けた前記第1の支持部と前記第2の支持部との間に前記絶縁管を中間部で屈曲または彎曲させて架け渡してなる請求項10に記載のプラズマモニタリング装置。  A wall surface member that is detachably and airtightly attached to an opening formed in the wall surface of the chamber, and the insulating tube is provided between the first support portion and the second support portion provided on the wall surface member. The plasma monitoring device according to claim 10, wherein the plasma monitoring device is bent or bent at an intermediate portion and bridged. 前記第1および第2の支持部の少なくとも一方が貫通孔によって形成される請求項10に記載のプラズマモニタリング装置。  The plasma monitoring apparatus according to claim 10, wherein at least one of the first and second support portions is formed by a through hole. 前記絶縁管を前記貫通孔に気密に固定取付するOリングを有する請求項13に記載のプラズマモニタリング装置。  The plasma monitoring device according to claim 13, further comprising an O-ring that hermetically fixes and attaches the insulating tube to the through hole. 一端部が前記チャンバのグランド電位部分に接続され、他端部が前記同軸ケーブルの外部導体と接続するアース用導体を有する請求項10〜14のいずれか一項に記載のプラズマモニタリング装置。  The plasma monitoring apparatus according to any one of claims 10 to 14, wherein one end portion is connected to a ground potential portion of the chamber, and the other end portion includes a grounding conductor connected to an outer conductor of the coaxial cable. 前記アース用導体と前記同軸ケーブルの外部導体とが接触する位置よりも前記プローブ部側の位置に前記外部導体を伝播する定在波のノイズ信号を電磁誘導を通じて吸収するための電磁波吸収体を設ける請求項10〜15のいずれか一項に記載のプラズマモニタリング装置An electromagnetic wave absorber for absorbing a standing wave noise signal propagating through the outer conductor through electromagnetic induction is provided at a position closer to the probe portion than a position where the grounding conductor and the outer conductor of the coaxial cable are in contact with each other. The plasma monitoring apparatus as described in any one of Claims 10-15. 前記電磁波吸収体が、前記同軸ケーブルに軸方向に沿って直列に遊動可能に装着される1個または複数個のビーズ形フェライト部材である請求項16に記載のプラズマモニタリング装置The plasma monitoring apparatus according to claim 16, wherein the electromagnetic wave absorber is one or a plurality of bead-shaped ferrite members that are mounted on the coaxial cable so as to be freely movable in series along an axial direction. 前記測定回路が、周波数を掃引しながら、各周波数の電磁波信号を前記同軸ケーブルのプローブ部に一定電力で送って周囲の空間に放出させ、前記プローブ部を介して反射してくる信号のレベルから各周波数毎の反射率を測定して、反射率のピーク点に対応する周波数を吸収周波数と決定する請求項10〜17のいずれか一項に記載のプラズマモニタリング装置。  While the measurement circuit sweeps the frequency, the electromagnetic wave signal of each frequency is sent to the probe portion of the coaxial cable at a constant power to be emitted to the surrounding space, and from the level of the signal reflected through the probe portion The plasma monitoring apparatus according to any one of claims 10 to 17, wherein the reflectance for each frequency is measured, and the frequency corresponding to the peak point of the reflectance is determined as the absorption frequency. 前記測定回路が、前記プラズマ吸収周波数の測定値に基づいて所与のプラズマ中の電子密度を算出する電子密度演算手段を含む請求項10〜18のいずれか一項にプラズマモニタリング装置。  The plasma monitoring apparatus according to any one of claims 10 to 18, wherein the measurement circuit includes an electron density calculation unit that calculates an electron density in a given plasma based on a measurement value of the plasma absorption frequency. 前記絶縁管の中に冷却用のガスを流すために前記絶縁管の他方の端に接続された冷却機構を有する請求項10〜19のいずれか一項に記載のプラズマモニタリング装置。  The plasma monitoring apparatus according to any one of claims 10 to 19, further comprising a cooling mechanism connected to the other end of the insulating tube for flowing a cooling gas into the insulating tube. 前記絶縁管内の前記プローブ部の位置を変えて、前記プラズマ中の電子密度の空間分布特性を求める請求項20に記載のプラズマモニタリング装置。  21. The plasma monitoring apparatus according to claim 20, wherein the position of the probe portion in the insulating tube is changed to obtain the spatial distribution characteristic of the electron density in the plasma. 被処理体を出し入れ可能に収容するチャンバと、
前記チャンバ内に所定の原料ガスを供給するガス供給手段と、
前記チャンバ内で前記原料ガスを放電させて前記被処理体に所望の処理を施すためのプラズマを生成するプラズマ発生手段と、
前記チャンバ内を減圧して所望の圧力に維持するための排気手段と、
請求項10〜21のいずれか一項に記載のプラズマモニタリング装置と
を有するプラズマ処理装置。
A chamber for receiving and removing a workpiece, and
Gas supply means for supplying a predetermined source gas into the chamber;
Plasma generating means for generating plasma for discharging the source gas in the chamber to perform a desired process on the object;
An evacuation means for reducing the pressure in the chamber to maintain a desired pressure;
A plasma processing apparatus comprising: the plasma monitoring apparatus according to any one of claims 10 to 21.
JP2002259444A 2002-09-04 2002-09-04 Plasma monitoring method, plasma monitoring apparatus, and plasma processing apparatus Expired - Fee Related JP4022902B2 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2002259444A JP4022902B2 (en) 2002-09-04 2002-09-04 Plasma monitoring method, plasma monitoring apparatus, and plasma processing apparatus

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2002259444A JP4022902B2 (en) 2002-09-04 2002-09-04 Plasma monitoring method, plasma monitoring apparatus, and plasma processing apparatus

Publications (2)

Publication Number Publication Date
JP2004103264A JP2004103264A (en) 2004-04-02
JP4022902B2 true JP4022902B2 (en) 2007-12-19

Family

ID=32260444

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2002259444A Expired - Fee Related JP4022902B2 (en) 2002-09-04 2002-09-04 Plasma monitoring method, plasma monitoring apparatus, and plasma processing apparatus

Country Status (1)

Country Link
JP (1) JP4022902B2 (en)

Families Citing this family (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
GB2459858A (en) * 2008-05-07 2009-11-11 Univ Dublin City System for analysing plasma
JP5546757B2 (en) * 2008-11-20 2014-07-09 芝浦メカトロニクス株式会社 Plasma density gauge, plasma density measuring device, plasma processing apparatus, and plasma density measuring method
US20130309785A1 (en) * 2012-05-18 2013-11-21 Applied Materials, Inc. Rotational absorption spectra for semiconductor manufacturing process monitoring and control
JP6214906B2 (en) * 2013-04-12 2017-10-18 株式会社東芝 Laser ion source, ion accelerator and heavy ion beam therapy system
JP6067483B2 (en) * 2013-05-27 2017-01-25 住友重機械工業株式会社 Plasma measuring apparatus and film forming apparatus
CN104809286B (en) * 2015-04-23 2018-09-14 西安理工大学 The implementation method for exactly matching absorbing boundary of coordinate is extended in a kind of plasma
CN112820618B (en) * 2020-12-14 2023-04-07 兰州空间技术物理研究所 Plasma diagnosis device and method for micro sputtering ion pump

Also Published As

Publication number Publication date
JP2004103264A (en) 2004-04-02

Similar Documents

Publication Publication Date Title
KR100586386B1 (en) Method and apparatus for measuring electron density of plasma and plasma processing apparatus
JP4773079B2 (en) Control method of plasma processing apparatus
JP5631088B2 (en) Plasma processing apparatus and plasma processing method
KR100237587B1 (en) Microwave plasma processing method and apparatus
WO2007020810A1 (en) Plasma processing apparatus
KR20000011784A (en) Plasma density information measuring method, probe used for measuring plasma density information, and plasma density information measuring apparatus
JP2006507662A (en) Arc suppression method and system in plasma processing system
TWI469696B (en) Plasma processing device
KR102111206B1 (en) Plasma probe device and plasma processing apparatus
JP4022902B2 (en) Plasma monitoring method, plasma monitoring apparatus, and plasma processing apparatus
JP4619468B2 (en) Plasma processing method, plasma processing apparatus, and plasma monitoring apparatus
JP5686996B2 (en) Plasma processing equipment
JP4546303B2 (en) Plasma processing equipment
JP5198616B2 (en) Plasma processing equipment
JP4165946B2 (en) Microwave plasma processing equipment
JP4052735B2 (en) Plasma processing equipment
JP3934559B2 (en) Plasma generator
JP4059570B2 (en) Plasma etching apparatus, plasma etching method, and plasma generation method
JP2001135620A (en) Plasma processor and plasma processing method
JP2001068457A (en) Dry etching method
JP2002016046A (en) Microwave plasma processing apparatus

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20050509

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20070126

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20070227

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20070710

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20070803

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20070918

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20070921

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20101012

Year of fee payment: 3

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20131012

Year of fee payment: 6

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

LAPS Cancellation because of no payment of annual fees