JP4002412B2 - 基本セル、集積回路レイアウトセクション、集積回路レイアウト、集積回路デバイスおよび集積回路の信号線を設計する方法 - Google Patents

基本セル、集積回路レイアウトセクション、集積回路レイアウト、集積回路デバイスおよび集積回路の信号線を設計する方法

Info

Publication number
JP4002412B2
JP4002412B2 JP2001227972A JP2001227972A JP4002412B2 JP 4002412 B2 JP4002412 B2 JP 4002412B2 JP 2001227972 A JP2001227972 A JP 2001227972A JP 2001227972 A JP2001227972 A JP 2001227972A JP 4002412 B2 JP4002412 B2 JP 4002412B2
Authority
JP
Japan
Prior art keywords
integrated circuit
clock
row
circuit layout
regions
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
JP2001227972A
Other languages
English (en)
Other versions
JP2002118172A (ja
Inventor
ローレンス・エル・アルドリッチ
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Sony Corp
Original Assignee
Sony Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Sony Corp filed Critical Sony Corp
Publication of JP2002118172A publication Critical patent/JP2002118172A/ja
Application granted granted Critical
Publication of JP4002412B2 publication Critical patent/JP4002412B2/ja
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/10Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including a plurality of individual components in a repetitive configuration
    • H01L27/118Masterslice integrated circuits
    • H01L27/11803Masterslice integrated circuits using field effect technology
    • H01L27/11807CMOS gate arrays

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Design And Manufacture Of Integrated Circuits (AREA)
  • Semiconductor Integrated Circuits (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)

Description

【0001】
[関連する特許出願との相互参照]
この発明は、2000年10月5日に出願された米国仮特許出願連続番号第60/238,228号からの優先権を主張し、その開示がこの引用によりここに特に援用される。
【0002】
【発明の分野】
この発明は、スタンダードセルおよびマスクプログラムゲートアレイ集積回路設計の分野に関する。特に、この発明は、クロック線入力配線の減じられた寄生容量を可能にするCMOSゲートアレイ集積回路設計で用いるためのセル設計に関する。
【0003】
【発明の背景】
クロック線ルーティング遅延は、集積回路設計の技術分野における長年の問題である。一般的なクロックドインバータまたはマルチプレクサベースの「フリップフロップ」設計が、ゆっくりとしたかつ遅延したクロックエッジの間に「クロウバー」電流を導通し得るため、クロック線のゆっくりとした立上がりおよび立下がり時間により過度の電力ドレインが生じ得ることは公知である。信号は、チップの論理設計が求めるものとは異なるクロックサイクルで伝播することがあるため、チップの異なるフリップフロップに対するクロックスキューまたはクロック遅延における過度の差が論理の誤作動を引起し得ることも公知である。
【0004】
集積回路上での、クロックルーティング遅延を含む信号ルーティング遅延は、主に、信号を分布する配線の分布抵抗(R)と、信号が駆動する分布負荷容量(C)との関数(F)である。関数Fは、RおよびCの構成のされ方の複雑な関数であり、主に、RおよびCのさまざまな成分の積の関数でありかつ、関数Fのその部分はルーティングのR−C遅延である。
【0005】
容量Cは、負荷デバイスのゲート容量だけでなく、隣接する信号ルーティング、他の層の上の金属配線、集積回路基板、デバイスのソースおよびドレイン領域ならびにチップ上の他の特徴に信号ルーティングを結合する寄生容量を含む。抵抗Rは、チップの層の間の接続の抵抗と、配線の面積抵抗率から生じる抵抗とを含む。金属配線の面積抵抗率はスクエア当り0.05Ωの低さであり得るが、0.05Ωの材料のわずか4分の1インチ長さの0.25ミクロン幅の金属線が約1250Ωの抵抗を示し、これは十分に大きいものである。
【0006】
集積回路上のクロック線ルーティングでの高いR−C遅延は、ゆっくりとしたかつ遅延した立上がりおよび立下がり時間を引起し得ることが公知である。さらに、クロック線ルーティングにおける高いR−C遅延は、過度のクロックスキューを引起す可能性がある。したがって、クロック線のR−C遅延を低く保ち、チップが十分に働くのを確実にすることが望ましい。
【0007】
集積回路上のクロック線R−C遅延の量および影響を減じるため、多くの技術が用いられてきた。許容可能な結果をもたらすため、いくつかの技術を組合せなければならないことがしばしばである。一般的な技術は以下のものを含む。
1. ドライバサイズおよび配線格子またはツリーレイアウトのバランスをとって、結果として生じる多数の格子およびツリーの間の遅延を等化しながら、チップ上に多数のクロックドライバを分布させる。
2. クロック分布用に最小よりも幅広の金属を用いてRを減じる。
3. クロック分布線を格子またはツリー構造に接続してRを減じる。
4. 長く、ゆっくりとしたまたは重く負荷をかけられたクロック線を多数の点で駆動する。
5. 絶縁体上シリコン技術を用いて寄生容量を減じる。
6. フリップフロップ設計に故意に遅延を加えてスキュー感度を減じる。
7. フリップフロップでまたはその内部でクロック線のローカルのバッファリングを行ない、クロック線が駆動するデバイスの数を減じ、それによりクロック線上の負荷Cを減じる。
8. チップの他の場所で用いられる最小の間隔よりも、他の回路構成から遠くにクロック線の間隔をとる。
【0008】
これらの技術には、実質的な設計の労力、回路構成、プロセスの費用、レイアウト空間または潜在的な性能の犠牲が必要である。したがって、他のまたはさらなる方法でクロック線のR−C遅延の影響を減じることが望ましい。
【0009】
今日用いられる多くのフリップフロップ設計は、多数のクロックドインバータまたは、同等には、クロック制御されたトランスミッションゲートを介して駆動する標準インバータを有する。これらの構造はしばしば対に結合され、対の第2のインバータが高いインピーダンス状態にある間に第1のクロックドインバータが駆動する。クロックが反対の値を有するときは、第1のインバータが高いインピーダンスにあり、第2のインバータが駆動する。典型的なD型エッジトリガフリップフロップ設計は、2つのそのようなクロックドインバータの対を組入れる。クロックドNAND、NOR、AND−OR−INVERTおよびOR−AND−INVERTゲートなどの他のクロックドゲートは、しばしばクロックドインバータと対にされ、リセット入力を有する一般的なタイプのD型エッジトリガフリップフロップを含む、他のフリップフロップを構成する。
【0010】
マスクプログラムゲートアレイ集積回路は典型的には、PおよびN型トランジスタの規則的なアレイを有するマスタスライスの上に構成される。そのようなアレイのための基本セルは、回路レイアウトの中に複製されてアレイのより大きな部分を形成し得るような規則的なアレイの小さな部分である。ラッチアップを考慮すると、隣接するNおよびP型トランジスタ間の間隔が必要なため、これらのトランジスタは典型的に行に構成され、ここでは同じ行のデバイスは同じタイプのものである。これにより、単位面積当り、他の設計で可能であるよりも多くのデバイスの実装が可能になる。「シーオブゲート」ルータとともに用いるための一般的な設計は、N型デバイスの行の対をP型デバイスの行の対と交互にする。同様に、チャネルルータとともに用いるための一般的な設計は、ルーティングチャネルで分離されたデバイスストリップを有する。各々のデバイスストリップは、P型デバイスの行に隣接してN型デバイスの行を含む。
【0011】
スタンダードセル集積回路設計は、典型的な論理機能を実行するために標準化された設計のライブラリをまず設計することによって作られるのが典型的であり、各々のそのような標準化された設計が「セル」として公知である。ライブラリのセルを起動する、集積回路またはその一部の論理設計が作られ、セルのタイプおよびセル間の配線を明示する。必要なセルをチップレイアウト中に置くことにより、次に回路の論理設計が求めるようにセルおよびいずれの周囲の論理も配線することにより、レイアウトが行なわれる。
【0012】
スタンダードセルライブラリのセルは、同じライブラリの他のセルに隣接して置かれることがしばしばである。したがって、ライブラリのどの他のセルの隣に置くことによっても、(間隔の違反などの)不測の設計ルール違反または隣接するセル部分の間の短絡による機能的な問題を引起さないように、各セルを設計しなければならない。これは、1組のセル−エッジルールに従ってセルを設計することにより実施される。確実にセル−エッジルールを満たすため、各セルのトランジスタが規則的なパターンで行に作成され、より高レベルの配線がトランジスタの接続を規定するところにセルライブラリを設計した。規則的なパターンのそのようなデバイスのセクションも基本セルとして説明することができる。
【0013】
モダンなダイナミックメモリデバイスはしばしばそれらの中に論理を有することも公知である。たとえば、メモリデバイスは、2倍データ速度変換またはオンチップのキャッシング機能を行なうデータレジスタを含んでもよい。同様に、内部リフレッシュアドレスカウンタおよびマルチプレクサに加えて、多重化されたアドレスを受取りかつバーストモードの読出または書込動作を行なうためのアドレスラッチおよびカウンタを有するメモリデバイスが公知である。
【0014】
【発明の概要】
Pウェル中のNチャネルデバイスおよびNウェル中のPチャネルデバイスを基本セルの各行に組入れる基本セルレイアウトが考案された。この基本セルは、ゲートアレイまたはスタンダードセルのいずれかの設計方法に好適である。基本セルは2つの行を有し、第2の行のNウェル中のPチャネルデバイスの領域は、第1の行のPウェル中のNチャネルデバイスの領域に隣接する。
【0015】
基本セルは、そっくり再現されかつフリップされたセルのコピーとともに、ゲートアレイまたはスタンダードセル設計レイアウト中にコピーされかつアレイにされるため、ウェルマスクはチェッカー盤の外観を有する。このアレイレイアウトは、Nウェル、Pウェルまたはツインウェル型のものであり得る、接合絶縁された(絶縁体上シリコンではない)集積回路作製プロセスでの作製に意図される。
【0016】
これは、典型的な行ベースのゲートアレイよりも、単位面積当りより少ないデバイス合計を有しながら、クロックドゲートの対およびマルチプレクサ回路のレイアウトにより好都合なゲートアレイを生じる。クロックドインバータの対を含む大量のクロックドゲートを有する回路は、典型的なレイアウト上で達成されるよりも少ない、クロックおよびノット(クロック)線上の配線抵抗および容量を備えるゲートアレイにレイアウト可能である。
【0017】
特に、この発明の基本セルレイアウトは、オンチップのクロック線を実質的に真直ぐな線形の配置にレイアウトできるようにしているが、これはデバイスの全体的な性能および設計時間を補助するところが大きく、必要なオンチップ面積または「不動産」(real estate)をごくわずかしか増加させずに市場に出る。
【0018】
この発明の以上のおよび他の特徴、有用性および利点は、添付の図面に図示されるように、この発明の好ましい実施例の以下のより特定的な説明から明らかになるであろう。
【0019】
【詳細な説明】
CMOS集積回路設計の技術分野で一般的に用いられるようなラッチは、第1(100)(図1)および第2(102)のプルダウンデバイスならびに第1(106)および第2(104)のプルアップデバイスを含む第1のクロックドインバータを有する。ラッチは、第3(108)および第4(110)のプルダウンデバイスならびに第3(112)および第4(114)のプルアップデバイスを含む第2のクロックドインバータも有する。ラッチは、出力Qを生成するインバータ段116も有し、3つの入力すなわちIN、クロックおよびノット(クロック)を受ける。
【0020】
このタイプの2つのラッチが、逆転されたクロックおよびノット(クロック)入力と組合されて、エッジトリガD型フリップフロップを形成し得ることは公知である。エッジトリガD型フリップフロップの1つまたはそれ以上のラッチの、1つまたはそれ以上のクロックドインバータを、他のタイプの1つまたはそれ以上のクロックドゲートと置き換えて、フリップフロップに、リセットまたはセット入力などのさらなる入力を加えてもよいことも公知である。
【0021】
本願については、「クロックドゲート」という用語は、クロックドインバータ、クロックドNORゲート、クロックドNANDゲート、クロックドAND−ORゲートおよび他のタイプの複雑なクロックドゲートを含む。1つまたはそれ以上のラッチのインバータも、必要に応じて、NAND、NORまたは他のゲートで置き換えて、フリップフロップに適切な論理機能を与えてもよい。いくつかのフリップフロップ設計はクロックインバータも含み、クロックの1つの位相をローカルに発生する。
【0022】
モダンな集積回路は、数百または数千すらの、このタイプのラッチおよびフリップフロップを組入れてもよい。
【0023】
すべてのそのようなラッチにおいて、ラッチのクロックおよびノット(クロック)線上に、容量118および120などの寄生ルーティング容量が存在することは避けられない。配線抵抗も不可避である。この寄生ルーティング容量は、デバイス104、102、110および112のゲート容量を含む他の容量ならびに配線抵抗を含む抵抗と組合さって、デバイス104、102、110および112などのクロックデバイスとクロックドライバとの間に有効なRC遅延を発生させる。
【0024】
各々が図1のラッチの対から構成される、1対のD型フリップフロップ200(図2)および202を考察する。クロックおよびノット(クロック)線上に、潜在的に4つの異なるRC遅延204、206、208および210が存在する。第2のフリップフロップ202のクロックおよびノット(クロック)線上の遅延208および210が第1のフリップフロップ200のクロックおよびノット(クロック)線上の遅延204および206よりもある量だけ大きければ、第1のフリップフロップ200がそれをサンプリングする同じクロックサイクル中に、データが第2のフリップフロップ202を通ってスキップし得ることは公知である。これは、過度のクロックスキューとして公知の、クロック遅延における過度な差が引起し得るいくつかのタイプの誤動作の1つである。
【0025】
ルーティング容量118(図1)および120を最小限に保持することを含むいくつかの方法で有効なRC遅延を減じ得ることが公知である。
【0026】
CMOS集積回路レイアウトのための伝統的な基本セルは、P型デバイスのストリップを有する。モダンなプロセスでは、これらをNウェル316(図3)に形成してもよく、ここでは、ポリシリコンゲート「ドッグボーン」300、302、304、306、308、310、312および314がP型拡散領域320、322および324と交差する。これらのポリシリコン領域はドッグボーンとして公知である。というのも、それらはしばしば、コンタクトのために十分に広くなければならないそれらの端よりも、それらの中央部でより狭く作られるからである。
【0027】
同様に、Pウェル326に形成された、N型デバイスの平行なストリップが存在し、ここでは、ポリシリコンゲート「ドッグボーン」330、332、334、336、338、340、342および344がN型拡散領域350、352および354と交差する。デバイスへのゲート接続用のいずれかの端のコンタクト(図示せず)によって各々のドッグボーンに接触してもよく、潜在的なコンタクト場所360および362などのコンタクト場所で、拡散領域へのソースまたはドレイン接続がもたらされてもよい。各々の基本セルには、技術分野で公知のようなラッチアップの防止のために、ウェルと基板とのタイも存在する。
【0028】
これらの基本セルから形成される典型的なCMOS集積回路は、そっくり再現された形でアレイにされた多数の基本セルを有するため、隣接する基本セルの同じ極性のウェルは可能な限り最大限に併合される。これは典型的に、ウェルからウェルへの間隔設計ルールと関連するチップ面積のコストを回避するために行なわれる。その結果、Pウェル402中のN型デバイスの行の特徴的な対400(図4)およびNウェル406中のP型デバイスの行の対404を、典型的なアレイに見ることができる。これらの行は、各チップを横切る大きな距離にわたって延びてもよい。カスタマイズされたコンタクト、金属およびバイア(金属から金属への接続)の層を用いて、基本セルのデバイスを、多数のクロックドインバータまたはフリップフロップを形成する回路構成を含む回路構成に接続してもよいことが公知である。同様に、同様の基本セルを組入れて、スタンダードセルを設計することができる。スタンダードセルは、集積回路を形成するように配線される。
【0029】
この発明の基本セルも2つの行を有する。第1の行に、それはP型デバイスの短いストリップを有し、ここでは、ポリシリコンゲートドッグボーン500(図5)は、Nウェル504であり得る比較的深いN型領域中のP型拡散領域502と交差する。P型デバイスの短いストリップに隣接しておよびそれと同じ行にN型デバイスのストリップがあり、ここではポリシリコンゲートドッグボーン506は、Pウェル510であり得る比較的深いP型領域中のN型拡散領域508と交差する。深いP領域516は、第1の端縁に沿って深いN領域524におよび第2の端縁に沿って比較的深いN領域504に隣接し、ここでは第1および第2の端縁は垂直である。同様に、比較的深いN領域504は、第1の端縁に沿って比較的深いP領域516におよび第2の端縁に沿って比較的深いP領域510に隣接し、ここでは第1および第2の端縁は垂直である。
【0030】
第2の行には、第1の行のP型デバイスの短いストリップに隣接して、ポリシリコンゲートドッグボーン512が形成するN型デバイスの短いストリップがあり、ポリシリコンゲートドッグボーンは、Pウェル516であり得る比較的深いP型領域中のN型拡散領域514と交差する。同様に、第1の行のN型デバイスのストリップに隣接して、ポリシリコンゲートドッグボーン520が形成するP型デバイスのストリップがあり、ポリシリコンゲートドッグボーンは、Nウェル524であり得る比較的深いN型領域中のP型拡散領域522と交差する。各々の基本セルには、技術分野で公知のような、ラッチアップの防止のための、ウェルおよび/または基板のタイ530も存在する。
【0031】
基本セルの比較的深い領域またはウェルの4つが頂点532の付近に編成される。
【0032】
基本セルは、デバイスの中央タップスタックに2段および4段のパターンを与える。CMOS集積回路設計の技術分野で公知の態様で、これらをさまざまな論理ゲートに結合することができる。
【0033】
この発明の典型的なCMOS設計レイアウトは、そっくり再現された形でアレイにされた多数の基本セルを有するため、隣接する基本セルのウェルはかなりの程度併合される。その結果、Nウェル606中のP型デバイス604の短いストリップと交互の、Pウェル602中のN型デバイス600(図6)の短いストリップのチェッカー盤パターンをアレイの各行の対に見ることができる。これらの行の対は、各々の第1の行の対が第2の行の対に隣接し、それにより第1の行の対のPウェル中のN型デバイスのストリップが第2の行の対のNウェル中のP型デバイスのストリップに隣接するように作製される。したがってこのアレイは、交互のウェルタイプ中に、交互のデバイスタイプのチェッカー盤パターンを示す。
【0034】
この発明のCMOS基本セルは、図1のものと同様のラッチに、コンタクトおよび金属層で接続されるのに向いている。そのようなラッチは、入力IN(図7)、出力Q、電力レール700、接地レール702、クロック入力704およびノット(クロック)入力706を有する。ラッチの2つのクロックデバイス708および709ならびに2つのノット(クロック)デバイス710および711は、各々の対が1つの列にあるところにレイアウト可能であり、それにより最小のクロックおよびノット(クロック)ルーティングが可能になる。クロックおよびノット(クロック)デバイスは、NウェルおよびPウェルのチェッカー盤状のパターンの頂点712の付近にさらにレイアウトされる。これにより、クロックおよびノット(クロック)線上の小さな寄生負荷が可能になる。
【0035】
図示されたようなラッチは、クロック704、ノット(クロック)706、電力レール700および接地レール702を含む、第1のレベルの金属ならびにIN入力およびQ出力を有する第2のレベルの金属を用いる。金属層は、バイア716とともに、技術分野で公知のようなコンタクト718により、ポリシリコンドッグボーンおよび拡散領域に接続される。これらの信号の各々に用いられる金属層は設計者の選択であるが、この発明のアレイの上に構成されるラッチは、図7に図示されたものとは異なる実際のレイアウトを有してもよい。
【0036】
すべてのクロックおよびノット(クロック)デバイスがパターンの頂点にある必要はなく、たとえば、しかし制限の目的のためではなく、この発明の原則から不当に逸脱することなく、図7のラッチでデバイス711と714とを切換えることができたであろう。しかしながら、クロックおよびノット(クロック)線の寄生容量は、それらをラッチまたはフリップフロップ中のどこに設けるとしても、これらの線をそれらの関連するトランジスタに接続するのに用いる配線の長さを最小化することにより、低く保持されることが望ましい。配線の長さを最小化し、それらのトランジスタにこれらの線を接続することは、関連するクロックまたはノット(クロック)線の近くにトランジスタを置くことによって行なわれる。
【0037】
同様に、リセットまたはプリセット入力を備えるD型フリップフロップおよびDフリップフロップを含む他のレジスタタイプも、各構成要素のラッチがそのクロックおよびノット(クロック)線上に寄生配線負荷をほとんど有しないように、レイアウト可能である。
【0038】
この発明は、ラッチへのクロックおよびノット(クロック)入力信号を参照して説明されたが、フリップフロップはラッチから構成されるため、この発明はフリップフロップに等しく適用可能である。この発明は、高速マルチプレクサを含む、他の形の論理にも適用可能である。2つの相補的なセレクト入力SELおよびSELXを有するマルチプレクサの場合、SEL線がクロックと同等であり、SELX線が、前述のように、ノット(クロック)線と同等である。
【0039】
図8および図9は、この発明の特定の実現例を示し、アレイにされた、単純化されたクロック回路を示しかつ、交互の、逆転されたPch2<−>Nch2およびPch4<−>Nch4ワイヤアップを備える外部clkおよびclkbを有するものである。
【0040】
この発明の基本セル設計は、4つのデバイスの対にされたスタックとして実現された2対1マルチプレクサなどのマルチプレクサのセレクト線上の負荷を最小化するのにも役立つ。そのようなマルチプレクサの各スタックはクロックドインバータと類似しており、クロックドインバータのクロックおよびノット(クロック)デバイスが、代わりに、マルチプレクサのセレクトおよびノット(セレクト)入力に接続するという点において異なっている。
【0041】
図示された2段ウェルの接合絶縁されたプロセスに加えて、PウェルおよびNウェルの接合絶縁されたプロセスが公知である。Pウェルプロセスでは、Nウェルの代わりに基板が用いられる。同様に、Nウェルプロセスでは、N型拡散デバイス領域に対して深いP拡散領域として、Pウェルの代わりに基板が用いられる。したがって、述べられたものと同等の基本セルをPウェルプロセスまたはNウェルプロセスで作製可能である。
【0042】
この発明は、すべてのトランジスタがすべての設計に存在する基本セルを参照して説明された。この発明は、特定のセル設計に必要ではない特定の「ドッグボーン」をレイアウトから省略するスタンダードセル設計にも適用可能である。不必要なデバイスを省略することは、セル−エッジルールを依然として守りながら、寄生容量および抵抗を減じるという点において利点を有する。
【0043】
この発明の特定の群の実施例では、本明細書中に記載されたようなレイアウトを有するラッチセルのアレイが、ダイナミックRAM回路に一体化されたデータレジスタのアレイに結合される。これらの実施例のうちあるものでは、本明細書中に記載されたようなデータレジスタを用いて、2倍データ速度および4倍データ速度データ出力レジスタおよび内部キャッシュレジスタを形成する。
【0044】
この発明は、その好ましい実施例を参照して特に示されかつ説明されたが、この発明の精神および範囲から逸脱することなく、形および詳細のさまざまな他の変更を行ない得ることが当業者には理解されるであろう。
【図面の簡単な説明】
【図1】 CMOS集積回路の設計で一般的に用いられるような、ラッチ設計の概略図である。
【図2】 クロック線のRC遅延が過剰であれば、クロックスキューが引起すレーススルー(racethrough)の例の図である。
【図3】 技術分野で公知のようなゲートアレイ基本セルのレイアウトの図である。
【図4】 トランジスタが、PおよびN型ウェル中のNおよびP型トランジスタの行にそれぞれ存在する、技術分野で公知のような基本セル中のトランジスタのアレイの例示的なレイアウトの図である。
【図5】 この発明の基本セルレイアウトに従うトランジスタのアレイの図である。
【図6】 ウェルが「チェッカー盤」の態様に編成された、この発明の基本セルのトランジスタのアレイの例示的なレイアウトの図である。
【図7】 この発明の基本セル上に実現されるラッチの例の図である。
【図8】 アレイにされた、単純化されたクロック回路を示しかつ、交互の、逆転されたPch2<−>Nch2およびPch4<−>Nch4ワイヤアップを備える外部clkおよびclkb線を有する、この発明の特定の実現例の図である。
【図9】 アレイにされた、単純化されたクロック回路を示しかつ、交互の、逆転されたPch2<−>Nch2およびPch4<−>Nch4ワイヤアップを備える外部clkおよびclkb線を有する、この発明の特定の実現例の図である。
【符号の説明】
500、506、512、520 ポリシリコンゲートドッグボーン、504、524 Nウェル、510、516 Pウェル。

Claims (5)

  1. 集積回路レイアウトセクションであって、
    複数のP領域と、
    領域の各々の中の複数のNチャネルデバイスと、
    複数のN領域と、
    領域の各々の中の複数のPチャネルデバイスとを含み、
    領域およびP領域は、少なくとも第1の行および第2の行を有するチェッカー盤パターンに編成され、各々の行は、2つのP領域と交互の、少なくとも2つのN領域を有し、それにより第1の行の各々のN領域は、第2の行のP領域に隣接し、
    集積回路レイアウトセクションはさらに、
    第1の行に隣接する第1のクロック線と、
    第2の行に隣接する第2のクロック線とを含み、
    第1および第2のクロック線が交差して重ね合わさることなく、第1の行におけるゲート素子は第1のクロック線にのみ結合され、第2の行におけるゲート素子は第2のクロック線にのみ結合される、集積回路レイアウトセクション。
  2. チェッカー盤パターンに編成された複数のN領域およびP領域は第3の行をさらに含み、それにより第3の行の各々のN領域は、第2の行のP領域に隣接する、請求項に記載の集積回路レイアウトセクション。
  3. NチャネルデバイスおよびPチャネルデバイスは、ラッチのアレイを含む、請求項に記載の集積回路レイアウトセクション。
  4. レイアウトは、ラッチのアレイを含む第1のレイアウトセクションをさらに含み、集積回路レイアウトは、メモリセルアレイを含む第2のレイアウトセクションをさらに含む、請求項に記載の集積回路レイアウト。
  5. 第2のレイアウトセクションは、ダイナミックランダムアクセスメモリ(DRAM)セルアレイを含む、請求項に記載の集積回路レイアウト。
JP2001227972A 2000-10-05 2001-07-27 基本セル、集積回路レイアウトセクション、集積回路レイアウト、集積回路デバイスおよび集積回路の信号線を設計する方法 Expired - Lifetime JP4002412B2 (ja)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US23822800P 2000-10-05 2000-10-05
US09/794367 2000-10-05
US09/794,367 US6566720B2 (en) 2000-10-05 2001-02-27 Base cell layout permitting rapid layout with minimum clock line capacitance on CMOS standard-cell and gate-array integrated circuits
US60/238228 2001-05-04

Publications (2)

Publication Number Publication Date
JP2002118172A JP2002118172A (ja) 2002-04-19
JP4002412B2 true JP4002412B2 (ja) 2007-10-31

Family

ID=26931446

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2001227972A Expired - Lifetime JP4002412B2 (ja) 2000-10-05 2001-07-27 基本セル、集積回路レイアウトセクション、集積回路レイアウト、集積回路デバイスおよび集積回路の信号線を設計する方法

Country Status (2)

Country Link
US (1) US6566720B2 (ja)
JP (1) JP4002412B2 (ja)

Families Citing this family (45)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6864558B2 (en) * 2001-05-17 2005-03-08 Broadcom Corporation Layout technique for C3MOS inductive broadbanding
DE10128580B4 (de) * 2001-06-13 2006-04-13 Infineon Technologies Ag Schaltungsanordnung mit einer Mehrzahl von Transistoren zweier unterschiedlicher Leitfähigkeitstypen
US6936898B2 (en) * 2002-12-31 2005-08-30 Transmeta Corporation Diagonal deep well region for routing body-bias voltage for MOSFETS in surface well regions
US7091574B2 (en) 2003-03-13 2006-08-15 International Business Machines Corporation Voltage island circuit placement
US6917084B2 (en) * 2003-09-05 2005-07-12 Texas Instruments Incorporated Interdigitated layout methodology for amplifier and H-bridge output stages
US7174528B1 (en) 2003-10-10 2007-02-06 Transmeta Corporation Method and apparatus for optimizing body bias connections in CMOS circuits using a deep n-well grid structure
US7089520B2 (en) * 2003-11-19 2006-08-08 International Business Machines Corporation Methodology for placement based on circuit function and latchup sensitivity
US7645673B1 (en) 2004-02-03 2010-01-12 Michael Pelham Method for generating a deep N-well pattern for an integrated circuit design
US7388260B1 (en) 2004-03-31 2008-06-17 Transmeta Corporation Structure for spanning gap in body-bias voltage routing structure
JP4783022B2 (ja) * 2005-01-17 2011-09-28 株式会社東芝 半導体集積回路装置
US7244975B2 (en) * 2005-07-05 2007-07-17 United Microelectronics Corp. High-voltage device structure
US7305647B1 (en) 2005-07-28 2007-12-04 Transmeta Corporation Using standard pattern tiles and custom pattern tiles to generate a semiconductor design layout having a deep well structure for routing body-bias voltage
US7598811B2 (en) * 2005-07-29 2009-10-06 Broadcom Corporation Current-controlled CMOS (C3MOS) fully differential integrated wideband amplifier/equalizer with adjustable gain and frequency response without additional power or loading
US7362174B2 (en) * 2005-07-29 2008-04-22 Broadcom Corporation Current-controlled CMOS (C3MOS) wideband input data amplifier for reduced differential and common-mode reflection
US7598788B2 (en) * 2005-09-06 2009-10-06 Broadcom Corporation Current-controlled CMOS (C3MOS) fully differential integrated delay cell with variable delay and high bandwidth
US7956421B2 (en) 2008-03-13 2011-06-07 Tela Innovations, Inc. Cross-coupled transistor layouts in restricted gate level layout architecture
US8448102B2 (en) 2006-03-09 2013-05-21 Tela Innovations, Inc. Optimizing layout of irregular structures in regular layout context
US9563733B2 (en) 2009-05-06 2017-02-07 Tela Innovations, Inc. Cell circuit and layout with linear finfet structures
US8839175B2 (en) 2006-03-09 2014-09-16 Tela Innovations, Inc. Scalable meta-data objects
US8653857B2 (en) 2006-03-09 2014-02-18 Tela Innovations, Inc. Circuitry and layouts for XOR and XNOR logic
US7917879B2 (en) 2007-08-02 2011-03-29 Tela Innovations, Inc. Semiconductor device with dynamic array section
US8541879B2 (en) 2007-12-13 2013-09-24 Tela Innovations, Inc. Super-self-aligned contacts and method for making the same
US7763534B2 (en) 2007-10-26 2010-07-27 Tela Innovations, Inc. Methods, structures and designs for self-aligning local interconnects used in integrated circuits
US8658542B2 (en) 2006-03-09 2014-02-25 Tela Innovations, Inc. Coarse grid design methods and structures
US9009641B2 (en) 2006-03-09 2015-04-14 Tela Innovations, Inc. Circuits with linear finfet structures
US9230910B2 (en) 2006-03-09 2016-01-05 Tela Innovations, Inc. Oversized contacts and vias in layout defined by linearly constrained topology
US7446352B2 (en) 2006-03-09 2008-11-04 Tela Innovations, Inc. Dynamic array architecture
US9035359B2 (en) 2006-03-09 2015-05-19 Tela Innovations, Inc. Semiconductor chip including region including linear-shaped conductive structures forming gate electrodes and having electrical connection areas arranged relative to inner region between transistors of different types and associated methods
US8667443B2 (en) 2007-03-05 2014-03-04 Tela Innovations, Inc. Integrated circuit cell library for multiple patterning
US20090167394A1 (en) * 2007-12-31 2009-07-02 Texas Instruments Incorporated Integrated circuits having devices in adjacent standard cells coupled by the gate electrode layer
US8453094B2 (en) 2008-01-31 2013-05-28 Tela Innovations, Inc. Enforcement of semiconductor structure regularity for localized transistors and interconnect
US7939443B2 (en) 2008-03-27 2011-05-10 Tela Innovations, Inc. Methods for multi-wire routing and apparatus implementing same
KR101761530B1 (ko) 2008-07-16 2017-07-25 텔라 이노베이션스, 인코포레이티드 동적 어레이 아키텍쳐에서의 셀 페이징과 배치를 위한 방법 및 그 구현
US9122832B2 (en) 2008-08-01 2015-09-01 Tela Innovations, Inc. Methods for controlling microloading variation in semiconductor wafer layout and fabrication
US8661392B2 (en) 2009-10-13 2014-02-25 Tela Innovations, Inc. Methods for cell boundary encroachment and layouts implementing the Same
KR101691568B1 (ko) * 2009-12-11 2016-12-30 삼성전자주식회사 플립-플롭 회로
US9159627B2 (en) 2010-11-12 2015-10-13 Tela Innovations, Inc. Methods for linewidth modification and apparatus implementing the same
WO2012070821A2 (ko) * 2010-11-22 2012-05-31 한양대학교 산학협력단 플립플롭 회로의 레이아웃 라이브러리
US8482314B2 (en) * 2011-11-08 2013-07-09 Taiwan Semiconductor Manufacturing Co., Ltd. Method and apparatus for improved multiplexing using tri-state inverter
JP2013181837A (ja) * 2012-03-01 2013-09-12 Canon Inc 撮像装置
US9053773B2 (en) 2012-12-26 2015-06-09 Qualcomm Incorporated Method and apparatus for clock power saving in multiport latch arrays
US20150109025A1 (en) * 2013-10-18 2015-04-23 Qualcomm Incorporated Area saving in latch arrays
US10490542B2 (en) 2015-06-24 2019-11-26 Intel Corporation Integrated circuit layout using library cells with alternating conductive lines
KR102599048B1 (ko) * 2018-08-16 2023-11-06 삼성전자주식회사 표준 셀을 포함하는 집적 회로 및 이를 제조하기 위한 방법
KR20210017309A (ko) * 2019-08-07 2021-02-17 삼성전자주식회사 멀티-하이트 스탠다드 셀로 구현되는 세미-다이나믹 플립-플롭 및 이를 포함하는 집적 회로의 설계 방법

Family Cites Families (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0831578B2 (ja) * 1986-06-19 1996-03-27 日本電気株式会社 マスタ−スライス方式のゲ−トアレ−半導体集積回路装置
US5760449A (en) * 1994-05-31 1998-06-02 Welch; James D. Regenerative switching CMOS system

Also Published As

Publication number Publication date
JP2002118172A (ja) 2002-04-19
US20020040985A1 (en) 2002-04-11
US6566720B2 (en) 2003-05-20

Similar Documents

Publication Publication Date Title
JP4002412B2 (ja) 基本セル、集積回路レイアウトセクション、集積回路レイアウト、集積回路デバイスおよび集積回路の信号線を設計する方法
CN109314110B (zh) 用于基于鳍片计数的扩散的标准单元架构
US6765245B2 (en) Gate array core cell for VLSI ASIC devices
US6617621B1 (en) Gate array architecture using elevated metal levels for customization
US7761831B2 (en) ASIC design using clock and power grid standard cell
US8159268B1 (en) Interconnect structures for metal configurable integrated circuits
JPH02303066A (ja) マスタ・スライス集積回路
US6321371B1 (en) Insertion of spare logic gates into the unused spaces between individual gates in standard cell artwork
KR100314973B1 (ko) 다중 프로그램가능 메모리 장치 및 메모리 셀 상호 접속 방법
JP2015536574A (ja) 改善された放射線特性を有する集積回路
US5754468A (en) Compact multiport static random access memory cell
US8159266B1 (en) Metal configurable integrated circuits
US20120119782A1 (en) Logic for Metal Configurable Integrated Circuits
US5635737A (en) Symmetrical multi-layer metal logic array with extension portions for increased gate density and a testability area
JP3115787B2 (ja) ポリセル集積回路
US11392743B2 (en) Multiplexer
KR100267433B1 (ko) 복합 집적 회로를 형성하는데 이용되는 셀 및 그의 형성 방법
US8406028B1 (en) Word line layout for semiconductor memory
JP3996735B2 (ja) 半導体装置
JP2004040081A (ja) プログラマブル・ゲートアレイ部を備えたマスクプログラマブル論理装置
US5958075A (en) Efficient on-pitch scannable sense amplifier
JP2014029903A (ja) 半導体装置および設計装置
JP4471776B2 (ja) 半導体装置、半導体装置の製造方法
JP3410811B2 (ja) フィールドプログラマブルゲートアレイ及び半導体集積回路
JP3268690B2 (ja) 半導体集積回路装置

Legal Events

Date Code Title Description
A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20050803

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20051213

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20060309

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20060314

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20060609

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20070724

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20070817

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20100824

Year of fee payment: 3

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

Ref document number: 4002412

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20110824

Year of fee payment: 4

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

S111 Request for change of ownership or part of ownership

Free format text: JAPANESE INTERMEDIATE CODE: R313117

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20110824

Year of fee payment: 4

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20120824

Year of fee payment: 5

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130824

Year of fee payment: 6

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

EXPY Cancellation because of completion of term