JP3974295B2 - Pattern formation method - Google Patents

Pattern formation method Download PDF

Info

Publication number
JP3974295B2
JP3974295B2 JP27125699A JP27125699A JP3974295B2 JP 3974295 B2 JP3974295 B2 JP 3974295B2 JP 27125699 A JP27125699 A JP 27125699A JP 27125699 A JP27125699 A JP 27125699A JP 3974295 B2 JP3974295 B2 JP 3974295B2
Authority
JP
Japan
Prior art keywords
resist
resist pattern
pattern
organic silicon
film
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP27125699A
Other languages
Japanese (ja)
Other versions
JP2001092154A (en
Inventor
英志 塩原
康彦 佐藤
昌史 浅野
靖郎 三吉
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Toshiba Corp
Original Assignee
Toshiba Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Toshiba Corp filed Critical Toshiba Corp
Priority to JP27125699A priority Critical patent/JP3974295B2/en
Publication of JP2001092154A publication Critical patent/JP2001092154A/en
Application granted granted Critical
Publication of JP3974295B2 publication Critical patent/JP3974295B2/en
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Landscapes

  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Photosensitive Polymer And Photoresist Processing (AREA)
  • Weting (AREA)
  • Formation Of Insulating Films (AREA)

Description

【0001】
【発明の属する技術分野】
本発明はパターン形成方法に係り、特に、半導体装置の製造において、有機シリコン膜をマスクとして用いたパターン形成方法に関する。
【0002】
【従来の技術】
半導体装置の製造方法においては、多くの絶縁膜の加工工程が存在する。通常、これらの加工工程では、絶縁膜上にレジスト膜と呼ばれる感光性樹脂膜を形成し、これにパターン露光を行なった後、現像工程を経てレジストパターンを形成し、さらにこのレジストパターンをエッチングマスクとして用いて絶縁膜をドライエッチングすることがなされる。
【0003】
しかしながら、パターン露光時に必要な解像性、露光量裕度、或はフォーカス裕度をもたせるために、レジスト膜の膜厚を薄くする必要が生じており、そのため、絶縁膜のエッチングに必要な膜厚を確保できなくなってきている。
【0004】
この問題を解決するために、絶縁膜上にレジスト膜よりもエッチング耐性があるマスク材を形成し、レジストパターンをマスク材に、次いで被加工膜にと、順次パターン転写する方法がとられている。
【0005】
この方法に用いるマスク材として、従来から、ポリシラン等の有機シリコン膜が用いられてきた。
【0006】
【発明が解決しようとする課題】
しかしながら、有機シリコン膜をマスク材として用いる場合、レジストをマスクとして用いて有機シリコン膜をRIE法によりパターニングする際に、有機シリコン膜の側壁より侵入したラジカルにより、有機シリコン膜の劣化がおこり、被加工膜に対するマスク性が劣化するという問題があった。
【0007】
【発明が解決しようとする課題】
本発明は、上記事情の下になされ、被加工膜に対するマスク性が劣化することのない有機シリコンマスクを形成することを可能とする、パターン形成方法を提供することを目的とする。
【0008】
【課題を解決するための手段】
上記課題を解決するため、本発明は、被処理基板上に形成されたレジストパターン間に、被処理基板の加工に対して耐性を有する膜を埋め込み、次いで、レジストパターンを除去することで、被処理基板上にマスクパターンを形成するパターン形成方法を提供する。
【0009】
かかるパターン形成方法において、レジストパターンの除去方法に対応して、以下の3つの発明がある。
【0010】
第1の発明は、被処理基板上にレジストパターンを形成する工程と、前記レジストパターンの開口部に、シリコンとシリコンの結合を主鎖に有する有機シリコン化合物を埋め込む工程と、前記レジストパターンを、レジスト中の溶解抑止基または溶解抑止剤の分解する温度以上に加熱する工程と、前記レジストパターンを、レジストを溶解する溶液に浸漬して、前記レジストパターンを除去し、有機シリコン膜パターンを形成する工程とを具備することを特徴とするパターン形成方法を提供する。
【0011】
第2の発明は、被処理基板上にレジストパターンを形成する工程と、前記レジストパターンの開口部に、シリコンとシリコンの結合を主鎖に有する有機シリコン化合物を埋め込む工程と、前記レジストパターンに対し、放射線照射、加熱、またはそれらの組み合わせを行う工程と、前記レジストパターンを、レジストを溶解する溶液に浸漬して、前記レジストパターンを除去し、有機シリコン膜パターンを形成する工程とを具備することを特徴とするパターン形成方法を提供する。
【0012】
第3の発明は、被処理基板上にレジストパターンを形成する工程と、前記レジストパターンの開口部に、シリコンとシリコンの結合を主鎖に有する有機シリコン化合物を埋め込む工程と、(a)レジストに含まれる溶剤の少なくとも1種、(b)界面活性剤、及び(c)0.20規定より高濃度のアルカリ水溶液からなる群から選ばれた少なくとも1種を含む溶液に、前記レジストパターンを浸漬することにより、前記レジストパターンを除去し、有機シリコン膜パターンを形成する工程とを具備することを特徴とするパターン形成方法を提供する。
【0013】
以上のように、本発明のパターン形成方法は、レジストパターンの開口部に有機シリコン化合物を埋め込み、次いで、種々の方法でレジストパターンを除去して有機シリコン膜パターンを形成することを特徴とする。
【0014】
レジストパターンの開口部への有機シリコン膜パターンの形成は、レジストパターンが形成された被処理基板前面にポリシランなどの塗布型マスク材をスピンコーティング法で塗布し、次いで、これをエッチバックし、レジストパターンの開口部に有機シリコン膜パターンを残すことにより行われる。
【0015】
以上のように構成される本発明のパターン形成方法によると、有機シリコン膜が劣化することなく、レジストパターンのみを効率的に除去することができ、それによって、マスク性の優れた有機シリコン化合物からなるマスクパターンを得ることが可能である。
【0016】
次に、以上のように構成される第1〜第3の発明に係るパターン形成方法について、より詳細に説明する。
【0017】
第1〜第3の発明に係るパターン形成方法において、まず、被加工基板上にレジストパターンを形成する。被加工基板としては、表面に酸化シリコン膜、窒化シリコン膜、酸窒化シリコン膜、或はスピンオングラス等が形成されている基板が挙げられる。
【0018】
被加工基板上にレジストパターンを形成するには、まず、被加工基板上にレジスト溶液を塗布して、加熱処理を行い、レジスト膜を形成する。レジスト膜の膜厚は、100〜500nmの範囲が好ましい。
【0019】
レジストの種類は、特に限定されることはなく、目的に応じて、ポジ型またはネガ型を選択して使用することができる。具体的には、ポジ型レジストとしては、例えば、ナフトキノンジアジドとノボラック樹脂とからなるレジスト(IX−770、日本合成ゴム社製)、t−BOCで保護したポリビニルフェノール樹脂と酸発生剤とからなる化学増幅型レジスト(APEX−E、シップレー社製)などが挙げられる。また、ネガ型のレジストとしては、例えば、ポリビニルフェノールとメラミン樹脂および光酸発生材からなる化学増幅型レジスト(SNR200、シップレー社製)、ポリビニルフェノールとビスアジド化合物とからなるレジスト(RD−2000N、日立化成社製)などが挙げられるが、これらに限定されることはない。
【0020】
なお、レジストの選定にあたっては、後述するレジストパターンの除去の方法に対応して選定する必要がある。例えば、一般に、架橋型のネガレジストは、パターン形成後、アルカリ現像液に溶解するのは困難である。そのため、アルカリ現像液によるレジストパターンの除去方法を採用する場合には、架橋型のネガレジストの使用は適切ではない。
【0021】
これらのレジスト溶液を被加工基板上に、例えばスピンコーテング法、ディップ法などで塗布した後、加熱して溶媒を気化させることで、レジスト膜を作成する。
【0022】
次いで、レジスト膜表面を所定のパターンに露光する。露光光源については限定されることはなく、例えば紫外光、X線、電子ビーム、イオンビームなどが挙げられる。紫外光としては、水銀灯のg線(436nm)、i線(365nm)、或はXeF(波長=351nm)、XeCl(波長=308nm)、KrF(波長=248nm)、KrCl(波長=222nm)、ArF(波長=193nm)、F2 (波長=151nm)等のエキシマレーザーを挙げることができる。
【0023】
そして、露光されたレジスト膜に対し、TMAH、コリンなどのアルカリ現像液で現像処理を行うことにより、レジストパターンを形成する。
【0024】
なお、必要に応じて、光露光を行った場合に生じる露光光の被加工基板からの反射を防止するため、被加工基板とレジスト膜との間に反射防止膜を介在させることが好ましい。
【0025】
次に、全面にシリコンとシリコンの結合を主鎖に有する有機シリコン膜を塗布法により成膜する。以下、塗布法による有機シリコン膜の成膜方法について説明する。
【0026】
最初に、有機シリコン化合物を有機溶剤に溶解して、溶液材料を作成する。シリコンとシリコンの結合を主鎖に有する有機シリコン化合物としては、例えば一般式(SiR1112)で表わすことができるポリシランが挙げられる(ここで、R11およびR12は、水素原子または炭素数1〜20の置換もしくは非置換の脂肪族炭化水素または芳香族炭化水素などを示す)。
【0027】
ポリシランは単独重合体でも共重合体でもよく、2種以上のポリシランが酸素原子、窒素原子、脂肪族基、芳香族基を解して互いに結合した構造を有するものでもよい。有機シリコン化合物の具体例を下記式[1−1]〜[1−114]に示す。なお、式中、m、nは正の整数を表わす。
【0028】
これらの化合物の重量平均分子量は、特に限定されることはないが、200〜100,000が好ましい。その理由は、分子量が200未満では、レジストの溶剤に有機シリコン膜が溶解してしまい、一方、100,000を超えると、有機溶剤に溶解しにくく、溶液材料を作成しにくくなるためである。
【0029】
【化1】

Figure 0003974295
【0030】
【化2】
Figure 0003974295
【0031】
【化3】
Figure 0003974295
【0032】
【化4】
Figure 0003974295
【0033】
【化5】
Figure 0003974295
【0034】
【化6】
Figure 0003974295
【0035】
【化7】
Figure 0003974295
【0036】
【化8】
Figure 0003974295
【0037】
【化9】
Figure 0003974295
【0038】
【化10】
Figure 0003974295
【0039】
【化11】
Figure 0003974295
【0040】
【化12】
Figure 0003974295
【0041】
【化13】
Figure 0003974295
【0042】
【化14】
Figure 0003974295
【0043】
【化15】
Figure 0003974295
【0044】
有機シリコン化合物は、一種類に限ることはなく、数種類の化合物を混合してもよい。また、必要に応じて、貯蔵安定性をはかるための熱重合防止剤、シリコン系絶縁膜への密着性を向上させるための密着性向上剤、導電性物質、光、熱により導電性が生じる物質、あるいは有機シリコン化合物を架橋し得る架橋剤を添加してもよい。
【0045】
導電性物質としては、例えば、有機スルフォン酸、有機カルボン酸、多価アルコール、多価チオール(例えばヨウ素、臭素)、SbF5 、PF5 、BF5 、SnF5 などが挙げられる。
【0046】
光、熱などのエネルギーで導電性が生じる物質としては、炭素クラスタ(C60、C70)、シアノアントラセン、ジシアノアントラセン、トリフェニルピリウム、テトラフルオロボレート、テトラシアノキノジメタン、テトラシアノエチレン、フタルイミドトリフレート、パークロロペンタシクロドデカン、ジシアノベンゼン、ベンゾニトリル、トリクロロメチルトリアジン、ベンゾイルペルオキシド、ベンゾフェノンテトラカルボン酸、t−ブチルペルオキシドなどが挙げられる。
【0047】
より具体的には、下記式[2−1]〜[2−106]に示す化合物を挙げることができる。
【0048】
【化16】
Figure 0003974295
【0049】
【化17】
Figure 0003974295
【0050】
【化18】
Figure 0003974295
【0051】
【化19】
Figure 0003974295
【0052】
【化20】
Figure 0003974295
【0053】
【化21】
Figure 0003974295
【0054】
【化22】
Figure 0003974295
【0055】
【化23】
Figure 0003974295
【0056】
【化24】
Figure 0003974295
【0057】
【化25】
Figure 0003974295
【0058】
架橋剤としては、例えば多重結合を有する有機ケイ素化合物や、アクリル系の不飽和化合物が挙げられる。
【0059】
溶剤としては、極性の有機溶剤でも、無極性の有機溶剤でもよく、具体的には、乳酸エチル(EL)、エチル−3−エトキシプロピオネート(EEP)、プロピレングリコールモノメチルエーテルアセテート(PGMEA)、プロピレングリコールモノメチルエーテル(PGME)等や、シクロヘキサン、2−ヘプタノン、3−ヘプタノン、アセチルアセトン、シクロペンタノンなどのケトン類、プロピレングリコールモノエチルエーテルアセテート、エチルセロソルブアセテート、メチルセロソルブアセテート、メチル−3−メトキシプロピオネート、エチル−3−メトキシプロピオネート、メチル−3−エトキシプロピオネート、ピルビン酸メチル、ピルビン酸エチルなどのエステル類、ジエチレングリコールジメチルエーテル、プロピレングリコールジメチルエーテルなどのエーテル類、乳酸メチル、グリコール酸エチルなどのグリコール酸エチル誘導体などが挙げられるが、それらに限定されるものではない。
【0060】
以上の方法で塗布材料を作成し、レジストパターンが形成されえた被加工基板上に、例えばスピンコーテング法などで溶液材料を塗布した後、加熱して溶剤を気化することにより、有機シリコン膜を作成する。
【0061】
次に、以上のようにして成膜された有機シリコン膜をエッチバックする。
【0062】
エッチバックする方法としては、有機シリコン膜の希釈溶剤に浸漬する方法、酸素やハロゲン系ガスのプラズマに曝す方法、化学的機械研磨(CMP法)などが有る。
【0063】
有機シリコン膜の希釈溶剤としては、上述の溶剤を挙げることが出来る。また、、ハロゲン系ガスとしては、 Cl2 、HBr、Br2 、HClなどや、CH22 、CHF3 、CF4 、C4などのフロロカーボンガスなどを挙げることが出来る。
【0064】
その後にレジストパターンのみを除去する。レジストパターンを除去する方法として、以下の3つの方法がある。
【0065】
(1)レジスト膜をレジスト中の溶解抑止基または溶解抑止剤を分解する温度以上の温度に加熱する。その後、前記レジスト膜を、レジストを溶解する溶液に対して浸漬して前記レジスト膜を除去する。
【0066】
即ち、化学増幅型ポジレジストを剥離する際、まず溶解抑止基又は溶解抑止剤が分解する温度以上で加熱し、続いてアルカリ溶液で溶解する。化学増幅型ポジレジストの溶解抑止基又は溶解抑止剤は、酸による触媒反応の他に、加熱等により分解することが知られている。そこで、加熱によりレジスト中の溶解抑止基又は溶解抑止剤を分解してアルカリ溶液に浸せば、レジストのみを剥離することが可能となる。
【0067】
図3は、Si基板上に形成された膜厚6000オングストロームの化学増幅型ポジレジスト(溶解抑止基としてt−ブトキシカルボニルメチル基を使用)について、0.21規定のTMAH水溶液に60秒間浸漬した後の、レジスト残膜量の加熱温度依存性(加熱温度60秒)を示す。
【0068】
図6のグラフからわかるように、レジスト膜を、溶解抑止基であるt−ブトキシカルボニルメチル基の分解温度である155℃以上に加熱することで、レジストの現像後の残膜は0となる。この結果は、155℃で溶解抑止基が充分に分解されていることを示している。即ち、レジストを剥離するためには、この溶解抑止基が分解される温度より高い温度で加熱すればよい。
【0069】
ただし、あまり温度を上げ過ぎると、レジストの種類によっては高分子樹脂間で架橋反応が起こり、現像液に対する溶解性が落ちる可能性がある。そのため、予め加熱温度に対する溶解特性の変化を調べておくことが必要である。
【0070】
(2)レジストパターンに対して放射線照射、加熱、またはこれらを組み合わせた工程を行った後、レジストパターンを、レジストを溶解する溶液に対して浸漬してレジストパターンを除去する。
【0071】
この方法において、放射線の照射は、KrFエキシマレーザー(248nm)、ArFエキシマレーザー(193nm)、水銀ランプのi線(365nm)、電子線、X線などの高エネルギー線を用いることができる。放射線の照射量は、通常の露光における照射量よりも多くする必要がある。
【0072】
また、加熱温度は、レジストの種類により相違するが、一般に、80〜200℃程度が適当である。
【0073】
上記(1)および(2)の方法において用いられる、レジストを溶解する溶液としては、アルカリ溶液を用いることが出来る。アルカリとしては、水酸化ナトリウム、水酸化カリウム、水酸化アンモニウム、ケイ酸ナトリウム等の無機アルカリ類、エチルアミン、n−プロピルアミン等の第一級アミン類、ジエチルアミン等の第二級アミン類、トリエチルアミン、メチルジエチルアミン等の第三級アミン類、テトラメチルアンモニウムヒドロキシド(TMAH)、トリメチルヒドロキシエチルアンモニウムヒドロキシド等の第4級アンモニウム塩などが挙げられる。
【0074】
(3)レジストパターンを有機シリコン膜に対して選択性を有する有機溶剤を用いて除去する。かかる有機溶剤は、(a)レジスト溶液に含まれる溶剤の少なくとも一種、(b)界面活性剤、、(c)0.20規定より高濃度のアルカリ水溶液のいずれかを含む溶液である。レジストパターンをこの有機溶剤に含浸させることにより、有機シリコン膜パターンは除去せずに、レジストのみを除去することが出来る。
【0075】
より具体的には、以下の方法である。
1)前記レジスト溶液に含まれる溶剤のうち1種類以上を含む溶液にレジストパターンを含浸し、レジストパターンを除去する。またこの溶液中には、下記2)で示すような界面活性剤、あるいは下記3)で示すようなアルカリ溶液を含むことができる。
【0076】
2)界面活性剤を含む溶液にレジストパターンを含浸する。この時の溶媒は、例えば水溶性有機溶媒が使用できる。水溶性有機溶媒として、例えば疎水性アルキル基の炭素総数が3以上のジメチルスルホキシドなどのスルホキシド類、ジメチルスルホン等のスルホン類、N,N−ジメチルホルムアミド、N,N−ジメチルアセトアミド等のアミド類、N−メチル−2−ピロリドン等のラクタム類、エチレングリコール、エチレングリコールモノメチルエーテル、エチレングリコールモノメチルエーテルアセテート等の多価アルコール及びその誘導体等が挙げられる。
【0077】
含まれる界面活性剤としては、通常知られているアニオン系、カチオン系、ノニオン系のどれを使用してもよい。界面活性剤の具体例としては、例えば次のものが挙げられる。まずアニオン系として、アルキルベンゼンスルフォン酸類、アルキルナフタレンスルフォン酸類等がある。カチオン系としては、炭素総数6個以上の第4級アンモニウム塩がある。またノニオン系としては、ポリオキシエチレン脂肪酸エステル、ポリオキシエチレンアルキルエーテル等が挙げられる。溶液中には、下記3)で示すようなアルカリ水溶液を含むことができる。
【0078】
3)高濃度のアルカリ水溶液にレジストパターンを含浸する。アルカリとしては、水酸化ナトリウム、水酸化カリウム、アンモニア、ケイ酸ナトリウム等の無機アルカリ類、エチルアミン、n−プロピルアミン等の第一級アミン類、ジエチルアミン等の第二級アミン類、トリエチルアミン、メチルジエチルアミン等の第三級アミン類、テトラメチルアンモニウムヒドロキシド(TMAH)、トリメチルヒドロキシエチルアンモニウムヒドロキシド等の第4級アンモニウム塩などが挙げられる。アルカリ水溶液の濃度は濃い方が望ましく、例えばTMAHの場合、0.3規定以上が好ましい。
【0079】
上記の各溶液の温度は室温でも良いが、含浸時間を短くする等の目的で、150℃を越えない温度に加熱してもよい。
【0080】
【発明の実施の形態】
以下、図面を参照して、本発明の実施の形態としての種々の実施例について説明する。
【0081】
実施例1
図1は、本実施例に係るパターン形成方法を工程順に示す断面図である。
まず、図1(a)に示すように、被加工基板1上にスパッタ法で、3000オングストロームの厚さのシリコン酸化膜(図示せず)を形成した後、塗布型有機反射防止膜2(商品名CD9:ブリューワサイエンス社製)を900オングストロームの厚さに塗布した。
【0082】
次いで、溶解抑止基としてt−プトキシカルボニル基を有する化学増幅型ポジレジストを塗布し、ホットプレート上で100℃で90秒間のプレベークを行い、4000オングストロームの厚さのレジスト膜を形成した。
【0083】
次に、エキシマレーザー露光装置NSR−S201A(ニコン社製)を用い、KrFエキシマレーザー光により、ラインアンドスペースパターンをマスクを介してレジスト膜に照射した。
【0084】
その後、ホットプレート上で100℃で90秒間の露光後ベーク(PEB)を行い、0.21Nのテトラアンモニウムヒドロキシド(TMAH)水溶液により現像し、レジストパターン3を形成した。
【0085】
その後、図1(b)に示すように、反射防止膜2およびレジストパターン3が形成された被加工基板1上に、上記式[1−84]に示す平均分子量12,000の有機シリコン化合物(m/n=4/1)10gをトルエン50gに溶解して作成した溶液材料を塗布し、ホットプレート上で200℃で90秒間加熱し、溶剤を気化乾燥させて、平坦部分での膜厚が6000オングストロームとなる有機シリコン膜4を形成した。
【0086】
また、この工程において、レジスト中の溶解抑止基または溶解抑止剤は分解している。
【0087】
次いで、図1(c)に示すように、上記有機シリコン膜をRIE装置を用いて、塩素ガスプラズマ5によるエッチングを行い、2000オングストロームの厚さをエッチバックした。
【0088】
その後、上記レジストパターン3を0.21Nのテトラメチルアンモニウムヒドロキシド水溶液に室温で90秒間浸漬し、レジストパターン3を除去した。このとき、有機シリコン膜が劣化することはなかった。
【0089】
続いて、反射防止膜2の加工をRIE装置を用いて、CFおよび酸素を用いたプラズマによりエッチング除去し、図1(d)に示すように、マスクパターン6を得た。
【0090】
実施例2
図2は、本実施例に係るパターン形成方法を工程順に示す断面図である。
まず、図2(a)に示すように、被加工基板11上にスパッタ法で、3000オングストロームの厚さのシリコン酸化膜(図示せず)を形成した後、塗布型有機反射防止膜12(商品名CD9:ブリューワサイエンス社製)を900オングストロームの厚さに塗布した。
【0091】
次いで、溶解抑止基としてt−プトキシカルボニル基を有する化学増幅型ポジレジストを塗布し、ホットプレート上で130℃で90秒間のプレベークを行い、4000オングストロームの厚さのレジスト膜を形成した。
【0092】
次に、エキシマレーザー露光装置NSR−S201A(ニコン社製)を用い、KrFエキシマレーザー光により、ラインアンドスペースパターンをマスクを介してレジスト膜に照射した。
【0093】
その後、ホットプレート上で100℃で90秒間の露光後ベーク(PEB)を行い、0.21Nのテトラアンモニウムヒドロキシド(TMAH)水溶液により現像し、レジストパターン13を形成した。
【0094】
そして、図2(b)に示すように、反射防止膜2およびレジストパターン3が形成された被加工基板1上に、上記式[1−84]に示す平均分子量12,000の有機シリコン化合物(m/n=4/1)10gをトルエン50gに溶解して作成した溶液材料を塗布し、ホットプレート上で、レジスト中の溶解抑止基または溶解抑止剤が分解する温度以下である130℃で90秒間加熱し、溶剤を気化乾燥させて、平坦部分での膜厚が6000オングストロームとなる有機シリコン膜14を形成した。
【0095】
次いで、図2(c)に示すように、上記有機シリコン膜14をRIE装置を用いて、塩素ガスプラズマ15によるエッチングを行い、2000オングストロームの厚さをエッチバックした。
【0096】
その後、図2(d)に示すように、レジストパターン13にKrFエキシマ露光装置により、KrFエキシマレーザー16の全面露光を行った。ここで、露光量は、通常の露光時の2倍の50mJ/cmとした。なお、露光の環境は、出来るだけ酸素濃度の低いことが望ましい。
【0097】
次の、ホットプレート上で110℃で90秒間のベークを行い、0.21Nのテトラメチルアンモニウムヒドロキシド水溶液に室温で90秒間浸漬し、レジストパターン13を除去した。このとき、有機シリコン膜が劣化することはなかった。
【0098】
続いて、反射防止膜12の加工をRIE装置を用いて、CFおよび酸素を用いたプラズマによりエッチング除去し、図1(e)に示すように、有機シリコン化合物からなるマスクパターン17を得た。
【0099】
実施例3
図1に示す工程に従って、実施例1と同様の方法で、マスクパターンの形成を行った。
まず、図1(a)に示すように、被加工基板1上にスパッタ法で、3000オングストロームの厚さのシリコン酸化膜(図示せず)を形成した後、塗布型有機反射防止膜2(商品名CD9:ブリューワサイエンス社製)を900オングストロームの厚さに塗布した。
【0100】
次いで、下記の(A1)および(A2)の方法で4000オングストロームの厚さの2種類のレジスト膜を形成した。
【0101】
(A1):約40%をt−ブトキシカルボニル化した平均分子量7,000のポリビニルフェノール15g、トリフェニルフルフォニウムトリフレート1gを乳酸エチル(EL)84gに溶解し、孔径0.15μmのメンブレンフィルターでろ過してフォトレジスト溶液とした。次いで、反射防止膜12上に、フォトレジスト溶液を0.8μmの厚さに回転塗布し、ホットプレートで130℃で90秒間ベークして、レジスト膜を形成した。
【0102】
(A2):約50%をt−ブトキシカルボニル化した平均分子量10,000のポリビニルフェノール19g、トリフェニルフルフォニウムトリフレート1.3gをEL50gとエチル−3−エトキシプロピオネート(EEP)30gの混合溶液に溶解し、孔径0.15μmのメンブレンフィルターでろ過してフォトレジスト溶液とした。次いで、反射防止膜12上に、フォトレジスト溶液を0.85μmの厚さに回転塗布し、ホットプレートで100℃で90秒間ベークして、レジスト膜を形成した。
【0103】
次に、以上の(A1)および(A2)のレジスト膜に対し、エキシマレーザー露光装置NSR−S201A(ニコン社製)を用い、KrFエキシマレーザー光により、ラインアンドスペースパターンをマスクを介して照射した。
【0104】
その後、ホットプレート上で100℃で90秒間の露光後ベーク(PEB)を行い、0.21Nのテトラアンモニウムヒドロキシド(TMAH)水溶液により現像し、レジストパターン3を形成した。
【0105】
その後、図1(b)に示すように、反射防止膜2およびレジストパターン3が形成された被加工基板1上に、上記式[1−84]に示す平均分子量12,000の有機シリコン化合物(m/n=4/1)10gをクメン50gに溶解して作成した溶液材料を塗布し、ホットプレート上で、レジスト中の溶解抑止基または溶解抑止剤が分解する温度以下である130℃で90秒間加熱し、溶剤を気化乾燥させて、平坦部分での膜厚が6000オングストロームとなる有機シリコン膜4を形成した。
【0106】
次いで、図1(c)において、塩素カガスプラズマによりエッチバックする代わりに、上記有機シリコン膜4をクメン溶液に浸漬し、2000オングストロームの厚さをエッチバックした。
【0107】
その後、レジストパターン3を有機溶剤に室温で90秒間浸漬し、レジストパターン3を除去した。この時の有機溶剤の種類、温度、時間を下記表1および表2に示す。
【0108】
【表1】
Figure 0003974295
【0109】
【表2】
Figure 0003974295
【0110】
残存した有機シリコン膜パターンの表面を光学顕微鏡、電子顕微鏡で観察し、レジストの残渣の有無を調べた。含浸した溶液の組成、含浸条件、残渣の有無の評価結果を下記表2に示す。下記表2において、結果はすべて「○」であり、つまりレジストの残渣が無かった。
【0111】
また、有機シリコン膜の劣化、腐食はまったく見られなかった。
【0112】
【表3】
Figure 0003974295
【0113】
続いて、反射防止膜2の加工をRIE装置を用いて、CFおよび酸素のプラズマによりエッチング除去し、マスクパターン6を得た。
【0114】
比較例
レジストの除去をOアッシング、および硫酸+過酸化水素水溶液に浸漬する方法で行った。その結果、有機シリコン化合物の酸化が起り、有機シリコン化合物のシリコン酸化膜に対するエッチング耐性が劣化した。
【0115】
実施例4
実施例1において、図1(b)に示す構造を、室温でアニリン溶液中に約1分間浸漬し、有機シリコン膜4を表面から2000オングストロームだけ除去した。その結果、実施例1と同様のマスクパターンを得ることが出来た。
【0116】
実施例5
実施例1において、図1(b)に示す構造に対し、マグネトロンRIEにより、以下の条件でエッチングし、有機シリコン膜4を表面から2000オングストロームだけ除去した。その結果、実施例1と同様のマスクパターンを得ることが出来た。
【0117】
エッチング条件
塩素ガス流量:200SCCM
圧力:75mTorr
RFパワー:200W
温度:80℃
エッチング時間:30秒間
【0118】
【発明の効果】
以上、詳細に説明したように、本発明によると、紫外光、X線、電子ビーム、イオンビーム等の放射線照射や加熱処理、またはこれらの組み合わせにより、レジストの溶解抑止基を分解し、アルカリ現像液等で処理することにより、有機シリコン膜が劣化することなく、レジストパターンのみを効率的に除去することができ、それによって、マスク性の優れた有機シリコン化合物からなるマスクパターンを得ることが可能である。
【図面の簡単な説明】
【図1】本発明の一実施例に係るパターン形成方法を工程順に示す断面図。
【図2】本発明の他の実施例に係るパターン形成方法を工程順に示す断面図。
【図3】レジスト残膜量の加熱温度依存性を示す特性図。
【符号の説明】
1,11…被加工基板
2,12…反射防止膜
3,13…レジストパターン
4,14…有機シリコン膜
5,15…塩素ガスプラズマ
6,17…マスクパターン
16…KrFエキシマレーザー[0001]
BACKGROUND OF THE INVENTION
The present invention relates to a pattern forming method, and more particularly to a pattern forming method using an organic silicon film as a mask in the manufacture of a semiconductor device.
[0002]
[Prior art]
In a method for manufacturing a semiconductor device, there are many processes for processing an insulating film. Usually, in these processing steps, a photosensitive resin film called a resist film is formed on an insulating film, and after pattern exposure is performed on this, a resist pattern is formed through a development process, and this resist pattern is further etched into an etching mask. The insulating film is used for dry etching.
[0003]
However, it is necessary to reduce the thickness of the resist film in order to provide the resolution, exposure tolerance, or focus tolerance necessary for pattern exposure. Thickness cannot be secured.
[0004]
In order to solve this problem, a method is employed in which a mask material that is more resistant to etching than a resist film is formed on an insulating film, and the resist pattern is transferred to the mask material and then to the film to be processed. .
[0005]
Conventionally, an organic silicon film such as polysilane has been used as a mask material used in this method.
[0006]
[Problems to be solved by the invention]
However, when an organic silicon film is used as a mask material, when the organic silicon film is patterned by the RIE method using a resist as a mask, the organic silicon film deteriorates due to radicals that enter from the side wall of the organic silicon film. There was a problem that the masking property for the processed film deteriorated.
[0007]
[Problems to be solved by the invention]
The present invention has been made under the above circumstances, and an object of the present invention is to provide a pattern forming method that makes it possible to form an organic silicon mask that does not deteriorate the masking property of a film to be processed.
[0008]
[Means for Solving the Problems]
In order to solve the above-described problems, the present invention embeds a film having resistance to processing of a substrate to be processed between resist patterns formed on the substrate to be processed, and then removes the resist pattern. A pattern forming method for forming a mask pattern on a processing substrate is provided.
[0009]
In the pattern forming method, there are the following three inventions corresponding to the resist pattern removing method.
[0010]
The first invention includes a step of forming a resist pattern on a substrate to be processed, a step of embedding an organosilicon compound having a bond of silicon and silicon in the main chain in an opening of the resist pattern, and the resist pattern. A step of heating to a temperature above which the dissolution inhibitor or dissolution inhibitor in the resist decomposes, and the resist pattern is immersed in a solution for dissolving the resist to remove the resist pattern and form an organic silicon film pattern And providing a pattern forming method.
[0011]
The second invention includes a step of forming a resist pattern on a substrate to be processed, a step of filling an opening of the resist pattern with an organic silicon compound having a bond between silicon and silicon in the main chain, and the resist pattern , Radiation irradiation, heating, or a combination thereof, and a step of immersing the resist pattern in a solution for dissolving the resist to remove the resist pattern and form an organic silicon film pattern. A pattern forming method is provided.
[0012]
A third invention includes a step of forming a resist pattern on a substrate to be processed, a step of filling an opening of the resist pattern with an organic silicon compound having a bond between silicon and silicon in the main chain, and (a) a resist The resist pattern is immersed in a solution containing at least one selected from the group consisting of at least one solvent included, (b) a surfactant, and (c) an alkaline aqueous solution having a concentration higher than 0.20 N. And a step of forming an organic silicon film pattern by removing the resist pattern.
[0013]
As described above, the pattern forming method of the present invention is characterized in that an organic silicon compound is embedded in the opening of the resist pattern, and then the resist pattern is removed by various methods to form an organic silicon film pattern.
[0014]
The organic silicon film pattern is formed in the opening of the resist pattern by applying a coating mask material such as polysilane to the front surface of the substrate on which the resist pattern is formed by spin coating, and then etching back the resist. This is done by leaving an organic silicon film pattern in the opening of the pattern.
[0015]
According to the pattern forming method of the present invention configured as described above, it is possible to efficiently remove only the resist pattern without deteriorating the organic silicon film, and thereby, from the organic silicon compound having excellent masking properties. It is possible to obtain a mask pattern.
[0016]
Next, the pattern forming method according to the first to third inventions configured as described above will be described in more detail.
[0017]
In the pattern forming methods according to the first to third inventions, first, a resist pattern is formed on a substrate to be processed. As the substrate to be processed, a substrate on which a silicon oxide film, a silicon nitride film, a silicon oxynitride film, a spin-on glass, or the like is formed can be given.
[0018]
In order to form a resist pattern on a substrate to be processed, first, a resist solution is applied on the substrate to be processed, and heat treatment is performed to form a resist film. The thickness of the resist film is preferably in the range of 100 to 500 nm.
[0019]
The type of resist is not particularly limited, and a positive type or a negative type can be selected and used according to the purpose. Specifically, as a positive resist, for example, a resist (IX-770, manufactured by Nippon Synthetic Rubber Co.) consisting of naphthoquinone diazide and a novolac resin, a polyvinylphenol resin protected with t-BOC and an acid generator is used. Examples include chemically amplified resists (APEX-E, manufactured by Shipley). Moreover, as a negative resist, for example, a chemically amplified resist (SNR200, manufactured by Shipley) made of polyvinylphenol, a melamine resin, and a photoacid generator, a resist (RD-2000N, Hitachi) made of polyvinylphenol and a bisazide compound. But not limited to these.
[0020]
In selecting a resist, it is necessary to select it in accordance with a method for removing a resist pattern described later. For example, in general, a crosslinked negative resist is difficult to dissolve in an alkaline developer after pattern formation. For this reason, when a resist pattern removal method using an alkali developer is employed, the use of a crosslinked negative resist is not appropriate.
[0021]
These resist solutions are applied on a substrate to be processed by, for example, a spin coating method, a dip method, or the like, and then heated to vaporize the solvent, thereby forming a resist film.
[0022]
Next, the resist film surface is exposed to a predetermined pattern. The exposure light source is not limited, and examples include ultraviolet light, X-rays, electron beams, and ion beams. As ultraviolet light, mercury lamp g-line (436 nm), i-line (365 nm), or XeF (wavelength = 351 nm), XeCl (wavelength = 308 nm), KrF (wavelength = 248 nm), KrCl (wavelength = 222 nm), ArF (Wavelength = 193 nm), F 2 An excimer laser such as (wavelength = 151 nm) can be used.
[0023]
Then, the exposed resist film is developed with an alkali developer such as TMAH or choline to form a resist pattern.
[0024]
If necessary, an antireflection film is preferably interposed between the substrate to be processed and the resist film in order to prevent reflection of exposure light from the substrate to be processed that occurs when light exposure is performed.
[0025]
Next, an organic silicon film having a silicon-silicon bond in the main chain is formed on the entire surface by a coating method. Hereinafter, a method for forming an organic silicon film by a coating method will be described.
[0026]
First, an organic silicon compound is dissolved in an organic solvent to prepare a solution material. As an organic silicon compound having a bond between silicon and silicon in the main chain, for example, a general formula (SiR 11 R 12 ) (Wherein R) 11 And R 12 Represents a hydrogen atom or a substituted or unsubstituted aliphatic hydrocarbon or aromatic hydrocarbon having 1 to 20 carbon atoms).
[0027]
The polysilane may be a homopolymer or a copolymer, and may have a structure in which two or more kinds of polysilanes are bonded to each other through an oxygen atom, a nitrogen atom, an aliphatic group, or an aromatic group. Specific examples of the organosilicon compound are shown in the following formulas [1-1] to [1-114]. In the formula, m and n represent positive integers.
[0028]
The weight average molecular weight of these compounds is not particularly limited, but 200 to 100,000 is preferable. The reason is that when the molecular weight is less than 200, the organic silicon film is dissolved in the resist solvent. On the other hand, when it exceeds 100,000, it is difficult to dissolve in the organic solvent and it is difficult to prepare a solution material.
[0029]
[Chemical 1]
Figure 0003974295
[0030]
[Chemical formula 2]
Figure 0003974295
[0031]
[Chemical 3]
Figure 0003974295
[0032]
[Formula 4]
Figure 0003974295
[0033]
[Chemical formula 5]
Figure 0003974295
[0034]
[Chemical 6]
Figure 0003974295
[0035]
[Chemical 7]
Figure 0003974295
[0036]
[Chemical 8]
Figure 0003974295
[0037]
[Chemical 9]
Figure 0003974295
[0038]
[Chemical Formula 10]
Figure 0003974295
[0039]
Embedded image
Figure 0003974295
[0040]
Embedded image
Figure 0003974295
[0041]
Embedded image
Figure 0003974295
[0042]
Embedded image
Figure 0003974295
[0043]
Embedded image
Figure 0003974295
[0044]
The organic silicon compound is not limited to one type, and several types of compounds may be mixed. In addition, if necessary, a thermal polymerization inhibitor for achieving storage stability, an adhesion improver for improving adhesion to a silicon-based insulating film, a conductive substance, a substance that is made conductive by light and heat. Alternatively, a crosslinking agent capable of crosslinking the organic silicon compound may be added.
[0045]
Examples of the conductive substance include organic sulfonic acid, organic carboxylic acid, polyhydric alcohol, polyvalent thiol (for example, iodine, bromine), SbF. Five , PF Five , BF Five , SnF Five Etc.
[0046]
Examples of substances that generate conductivity by energy such as light and heat include carbon clusters (C60, C70), cyanoanthracene, dicyanoanthracene, triphenylpylium, tetrafluoroborate, tetracyanoquinodimethane, tetracyanoethylene, phthalimidotrif. Examples thereof include rate, perchloropentacyclododecane, dicyanobenzene, benzonitrile, trichloromethyltriazine, benzoyl peroxide, benzophenone tetracarboxylic acid, and t-butyl peroxide.
[0047]
More specifically, compounds represented by the following formulas [2-1] to [2-106] can be given.
[0048]
Embedded image
Figure 0003974295
[0049]
Embedded image
Figure 0003974295
[0050]
Embedded image
Figure 0003974295
[0051]
Embedded image
Figure 0003974295
[0052]
Embedded image
Figure 0003974295
[0053]
Embedded image
Figure 0003974295
[0054]
Embedded image
Figure 0003974295
[0055]
Embedded image
Figure 0003974295
[0056]
Embedded image
Figure 0003974295
[0057]
Embedded image
Figure 0003974295
[0058]
Examples of the crosslinking agent include organic silicon compounds having multiple bonds and acrylic unsaturated compounds.
[0059]
The solvent may be a polar organic solvent or a nonpolar organic solvent. Specifically, ethyl lactate (EL), ethyl-3-ethoxypropionate (EEP), propylene glycol monomethyl ether acetate (PGMEA), Propylene glycol monomethyl ether (PGME), etc., ketones such as cyclohexane, 2-heptanone, 3-heptanone, acetylacetone, cyclopentanone, propylene glycol monoethyl ether acetate, ethyl cellosolve acetate, methyl cellosolve acetate, methyl-3-methoxy Esters such as propionate, ethyl-3-methoxypropionate, methyl-3-ethoxypropionate, methyl pyruvate, ethyl pyruvate, diethylene glycol dimethyl ether, propionate Ethers such as glycol dimethyl ether, methyl lactate, and the like ethyl glycolate derivatives such ethyl glycolate and the like, but is not limited to them.
[0060]
Create a coating material by the above method, and apply an organic silicon film by applying the solution material on the substrate to be processed on which a resist pattern can be formed, for example, by spin coating, and then evaporating the solvent by heating. To do.
[0061]
Next, the organic silicon film formed as described above is etched back.
[0062]
Examples of the etch back method include a method of immersing in an organic silicon film in a diluting solvent, a method of exposing to plasma of oxygen or halogen gas, a chemical mechanical polishing (CMP method) and the like.
[0063]
Examples of the diluted solvent for the organic silicon film include the above-mentioned solvents. In addition, as halogen-based gas, Cl 2 , HBr, Br 2 , HCl, etc., CH 2 F 2 , CHF Three , CF Four , C Four F 8 Fluorocarbon gas etc. can be mentioned.
[0064]
Thereafter, only the resist pattern is removed. There are the following three methods for removing the resist pattern.
[0065]
(1) The resist film is heated to a temperature equal to or higher than the temperature at which the dissolution inhibiting group or dissolution inhibiting agent in the resist is decomposed. Thereafter, the resist film is immersed in a solution for dissolving the resist to remove the resist film.
[0066]
That is, when peeling off the chemically amplified positive resist, first, heating is performed at a temperature higher than the temperature at which the dissolution inhibiting group or dissolution inhibiting agent decomposes, followed by dissolution in an alkaline solution. It is known that the dissolution inhibiting group or dissolution inhibiting agent of a chemically amplified positive resist is decomposed by heating or the like in addition to the catalytic reaction with an acid. Therefore, if the dissolution inhibiting group or dissolution inhibiting agent in the resist is decomposed by heating and immersed in an alkaline solution, only the resist can be peeled off.
[0067]
FIG. 3 shows a 6000 Å thick chemically amplified positive resist (using a t-butoxycarbonylmethyl group as a dissolution inhibiting group) formed on a Si substrate after being immersed in a 0.21 N aqueous TMAH solution for 60 seconds. Shows the dependency of the resist residual film amount on the heating temperature (heating temperature 60 seconds).
[0068]
As can be seen from the graph of FIG. 6, the residual film after development of the resist becomes 0 by heating the resist film to 155 ° C. or higher which is the decomposition temperature of the t-butoxycarbonylmethyl group which is the dissolution inhibiting group. This result indicates that the dissolution inhibiting group is sufficiently decomposed at 155 ° C. That is, in order to remove the resist, the resist may be heated at a temperature higher than the temperature at which the dissolution inhibiting group is decomposed.
[0069]
However, if the temperature is raised too much, depending on the type of resist, a cross-linking reaction may occur between the polymer resins, which may reduce the solubility in the developer. Therefore, it is necessary to investigate the change of the dissolution characteristics with respect to the heating temperature in advance.
[0070]
(2) The resist pattern is removed by immersing the resist pattern in a solution in which the resist is dissolved, after performing radiation irradiation, heating, or a combination thereof.
[0071]
In this method, irradiation with radiation can be performed using KrF excimer laser (248 nm), ArF excimer laser (193 nm), i-line (365 nm) of a mercury lamp, electron beams, X-rays or the like. The radiation dose needs to be larger than the dose in normal exposure.
[0072]
The heating temperature differs depending on the type of resist, but generally about 80 to 200 ° C. is appropriate.
[0073]
As a solution for dissolving the resist used in the methods (1) and (2), an alkaline solution can be used. Examples of the alkali include inorganic alkalis such as sodium hydroxide, potassium hydroxide, ammonium hydroxide and sodium silicate, primary amines such as ethylamine and n-propylamine, secondary amines such as diethylamine, triethylamine, And tertiary amines such as methyldiethylamine, and quaternary ammonium salts such as tetramethylammonium hydroxide (TMAH) and trimethylhydroxyethylammonium hydroxide.
[0074]
(3) The resist pattern is removed using an organic solvent having selectivity with respect to the organic silicon film. Such an organic solvent is a solution containing any one of (a) at least one kind of solvent contained in the resist solution, (b) a surfactant, and (c) an alkaline aqueous solution having a concentration higher than 0.20 N. By impregnating the resist pattern with the organic solvent, it is possible to remove only the resist without removing the organic silicon film pattern.
[0075]
More specifically, the following method is used.
1) A resist pattern is impregnated with a solution containing at least one of the solvents contained in the resist solution, and the resist pattern is removed. Further, this solution may contain a surfactant as shown in 2) below or an alkaline solution as shown in 3) below.
[0076]
2) A resist pattern is impregnated in a solution containing a surfactant. As the solvent at this time, for example, a water-soluble organic solvent can be used. Examples of the water-soluble organic solvent include sulfoxides such as dimethyl sulfoxide having a hydrophobic alkyl group with 3 or more carbon atoms, sulfones such as dimethyl sulfone, amides such as N, N-dimethylformamide, N, N-dimethylacetamide, Examples include lactams such as N-methyl-2-pyrrolidone, polyhydric alcohols such as ethylene glycol, ethylene glycol monomethyl ether, and ethylene glycol monomethyl ether acetate, and derivatives thereof.
[0077]
As the surfactant to be contained, any of anion-based, cationic-based, and non-ionic surfactants that are generally known may be used. Specific examples of the surfactant include the following. First, examples of anionic compounds include alkylbenzene sulfonic acids and alkyl naphthalene sulfonic acids. As the cationic system, there is a quaternary ammonium salt having 6 or more carbon atoms in total. Examples of nonionic compounds include polyoxyethylene fatty acid esters and polyoxyethylene alkyl ethers. The solution can contain an alkaline aqueous solution as shown in 3) below.
[0078]
3) A resist pattern is impregnated in a high concentration aqueous alkali solution. Examples of the alkali include inorganic alkalis such as sodium hydroxide, potassium hydroxide, ammonia and sodium silicate, primary amines such as ethylamine and n-propylamine, secondary amines such as diethylamine, triethylamine and methyldiethylamine. And quaternary ammonium salts such as tetramethylammonium hydroxide (TMAH) and trimethylhydroxyethylammonium hydroxide. It is desirable that the concentration of the alkaline aqueous solution is high. For example, in the case of TMAH, 0.3 N or more is preferable.
[0079]
The temperature of each solution may be room temperature, but may be heated to a temperature not exceeding 150 ° C. for the purpose of shortening the impregnation time.
[0080]
DETAILED DESCRIPTION OF THE INVENTION
Hereinafter, various examples as embodiments of the present invention will be described with reference to the drawings.
[0081]
Example 1
FIG. 1 is a cross-sectional view showing a pattern forming method according to the present embodiment in the order of steps.
First, as shown in FIG. 1A, a silicon oxide film (not shown) having a thickness of 3000 angstroms is formed on a substrate 1 to be processed by sputtering, and then a coating type organic antireflection film 2 (product) No. CD9: manufactured by Brewer Science) was applied to a thickness of 900 Å.
[0082]
Next, a chemically amplified positive resist having a t-ptoxycarbonyl group as a dissolution inhibiting group was applied, and pre-baked at 100 ° C. for 90 seconds on a hot plate to form a resist film having a thickness of 4000 Å.
[0083]
Next, using an excimer laser exposure apparatus NSR-S201A (manufactured by Nikon Corporation), the resist film was irradiated with a line and space pattern through a mask with KrF excimer laser light.
[0084]
Thereafter, post-exposure baking (PEB) was performed on a hot plate at 100 ° C. for 90 seconds, and development was performed with a 0.21N tetraammonium hydroxide (TMAH) aqueous solution to form a resist pattern 3.
[0085]
Then, as shown in FIG.1 (b), on the to-be-processed substrate 1 in which the anti-reflective film 2 and the resist pattern 3 were formed, the organic silicon compound (12,000) of average molecular weight shown to said Formula [1-84] m / n = 4/1) A solution material prepared by dissolving 10 g in 50 g of toluene is applied, heated on a hot plate at 200 ° C. for 90 seconds, and the solvent is evaporated and dried. An organic silicon film 4 having a thickness of 6000 Å was formed.
[0086]
In this step, the dissolution inhibiting group or dissolution inhibiting agent in the resist is decomposed.
[0087]
Next, as shown in FIG. 1C, the organic silicon film was etched with chlorine gas plasma 5 using an RIE apparatus to etch back a thickness of 2000 angstroms.
[0088]
Thereafter, the resist pattern 3 was immersed in a 0.21N tetramethylammonium hydroxide aqueous solution at room temperature for 90 seconds to remove the resist pattern 3. At this time, the organic silicon film did not deteriorate.
[0089]
Subsequently, the processing of the antireflection film 2 is performed using an RIE apparatus and CF. 4 Etching was removed by plasma using oxygen and oxygen to obtain a mask pattern 6 as shown in FIG.
[0090]
Example 2
FIG. 2 is a cross-sectional view showing the pattern forming method according to this embodiment in the order of steps.
First, as shown in FIG. 2A, a silicon oxide film (not shown) having a thickness of 3000 angstroms is formed on a substrate 11 to be processed by sputtering, and then a coating type organic antireflection film 12 (product) No. CD9: manufactured by Brewer Science) was applied to a thickness of 900 Å.
[0091]
Next, a chemically amplified positive resist having a t-ptoxycarbonyl group as a dissolution inhibiting group was applied, and pre-baked at 130 ° C. for 90 seconds on a hot plate to form a resist film having a thickness of 4000 Å.
[0092]
Next, using an excimer laser exposure apparatus NSR-S201A (manufactured by Nikon Corporation), the resist film was irradiated with a line and space pattern through a mask with KrF excimer laser light.
[0093]
Thereafter, post-exposure baking (PEB) was performed on a hot plate at 100 ° C. for 90 seconds, and development was performed with a 0.21N tetraammonium hydroxide (TMAH) aqueous solution to form a resist pattern 13.
[0094]
And as shown in FIG.2 (b), on the to-be-processed substrate 1 in which the anti-reflective film 2 and the resist pattern 3 were formed, the organosilicon compound (average molecular weight 12,000 shown by said Formula [1-84]) ( m / n = 4/1) A solution material prepared by dissolving 10 g in 50 g of toluene was applied, and 90 ° C. at 130 ° C. below the temperature at which the dissolution inhibiting group or dissolution inhibiting agent in the resist decomposed on the hot plate. The organic silicon film 14 having a thickness of 6000 Å at the flat portion was formed by heating for 2 seconds and evaporating and drying the solvent.
[0095]
Next, as shown in FIG. 2C, the organic silicon film 14 was etched with a chlorine gas plasma 15 using an RIE apparatus to etch back a thickness of 2000 angstroms.
[0096]
Thereafter, as shown in FIG. 2D, the resist pattern 13 was exposed to the entire surface of the KrF excimer laser 16 by a KrF excimer exposure apparatus. Here, the exposure amount is 50 mJ / cm, which is twice that of normal exposure. 2 It was. The exposure environment is preferably as low as possible.
[0097]
Next, the substrate was baked on a hot plate at 110 ° C. for 90 seconds, and immersed in a 0.21N tetramethylammonium hydroxide aqueous solution at room temperature for 90 seconds to remove the resist pattern 13. At this time, the organic silicon film did not deteriorate.
[0098]
Subsequently, the processing of the antireflection film 12 is performed using a RIE apparatus and CF. 4 Etching was removed by plasma using oxygen and oxygen to obtain a mask pattern 17 made of an organic silicon compound as shown in FIG.
[0099]
Example 3
According to the steps shown in FIG. 1, a mask pattern was formed by the same method as in Example 1.
First, as shown in FIG. 1A, a silicon oxide film (not shown) having a thickness of 3000 angstroms is formed on a substrate 1 to be processed by sputtering, and then a coating type organic antireflection film 2 (product) No. CD9: manufactured by Brewer Science) was applied to a thickness of 900 Å.
[0100]
Subsequently, two types of resist films having a thickness of 4000 angstroms were formed by the following methods (A1) and (A2).
[0101]
(A1): 15 g of polyvinylphenol having an average molecular weight of 7,000 obtained by t-butoxycarbonylation of about 40% and 1 g of triphenylfuronium triflate in 84 g of ethyl lactate (EL), and a membrane filter having a pore size of 0.15 μm To obtain a photoresist solution. Next, a photoresist solution was spin-coated on the antireflection film 12 to a thickness of 0.8 μm, and baked at 130 ° C. for 90 seconds on a hot plate to form a resist film.
[0102]
(A2): About 50% of t-butoxycarbonylated polyvinylphenol having an average molecular weight of 10,000 with an average molecular weight of 10,000 g, triphenylfuronium triflate 1.3 g with 50 g of EL and 30 g of ethyl-3-ethoxypropionate (EEP) It melt | dissolved in the mixed solution and filtered with the membrane filter with a hole diameter of 0.15 micrometer, and it was set as the photoresist solution. Next, a photoresist solution was spin-coated on the antireflection film 12 to a thickness of 0.85 μm, and baked on a hot plate at 100 ° C. for 90 seconds to form a resist film.
[0103]
Next, the resist film of the above (A1) and (A2) was irradiated with a line and space pattern through a mask with KrF excimer laser light using an excimer laser exposure apparatus NSR-S201A (manufactured by Nikon Corporation). .
[0104]
Thereafter, post-exposure baking (PEB) was performed on a hot plate at 100 ° C. for 90 seconds, and development was performed with a 0.21N tetraammonium hydroxide (TMAH) aqueous solution to form a resist pattern 3.
[0105]
Then, as shown in FIG.1 (b), on the to-be-processed substrate 1 in which the anti-reflective film 2 and the resist pattern 3 were formed, the organic silicon compound (12,000) of average molecular weight shown to said Formula [1-84] m / n = 4/1) A solution material prepared by dissolving 10 g in 50 g of cumene is applied, and 90 ° C. at 130 ° C. below the temperature at which the dissolution inhibiting group or dissolution inhibiting agent in the resist decomposes on a hot plate. The organic silicon film 4 having a thickness of 6000 Å at the flat portion was formed by heating for 2 seconds and evaporating and drying the solvent.
[0106]
Next, in FIG. 1C, instead of etching back by chlorine cogas plasma, the organic silicon film 4 was immersed in a cumene solution to etch back a thickness of 2000 angstroms.
[0107]
Thereafter, the resist pattern 3 was immersed in an organic solvent at room temperature for 90 seconds to remove the resist pattern 3. The types, temperatures, and times of the organic solvent at this time are shown in Tables 1 and 2 below.
[0108]
[Table 1]
Figure 0003974295
[0109]
[Table 2]
Figure 0003974295
[0110]
The surface of the remaining organic silicon film pattern was observed with an optical microscope and an electron microscope to examine the presence or absence of resist residues. The composition of the impregnated solution, the impregnation conditions, and the evaluation results of the presence or absence of residues are shown in Table 2 below. In Table 2 below, the results were all “◯”, that is, there was no resist residue.
[0111]
Further, no deterioration or corrosion of the organic silicon film was observed.
[0112]
[Table 3]
Figure 0003974295
[0113]
Subsequently, the processing of the antireflection film 2 is performed using an RIE apparatus and CF. 4 Etching was performed with plasma of oxygen and oxygen to obtain a mask pattern 6.
[0114]
Comparative example
Remove resist O 2 Ashing and a method of immersing in sulfuric acid + hydrogen peroxide aqueous solution were performed. As a result, the oxidation of the organic silicon compound occurred, and the etching resistance of the organic silicon compound to the silicon oxide film deteriorated.
[0115]
Example 4
In Example 1, the structure shown in FIG. 1B was immersed in an aniline solution at room temperature for about 1 minute to remove the organic silicon film 4 from the surface by 2000 angstroms. As a result, a mask pattern similar to that in Example 1 could be obtained.
[0116]
Example 5
In Example 1, the structure shown in FIG. 1B was etched by magnetron RIE under the following conditions to remove the organic silicon film 4 from the surface by 2000 angstroms. As a result, a mask pattern similar to that in Example 1 could be obtained.
[0117]
Etching conditions
Chlorine gas flow rate: 200 SCCM
Pressure: 75mTorr
RF power: 200W
Temperature: 80 ° C
Etching time: 30 seconds
[0118]
【The invention's effect】
As described above in detail, according to the present invention, the dissolution inhibiting group of the resist is decomposed by irradiation with ultraviolet light, X-rays, electron beam, ion beam, or the like, or a combination thereof, and alkali development is performed. By treating with a liquid, etc., only the resist pattern can be efficiently removed without degrading the organic silicon film, thereby making it possible to obtain a mask pattern made of an organosilicon compound with excellent masking properties. It is.
[Brief description of the drawings]
FIG. 1 is a cross-sectional view showing a pattern forming method according to an embodiment of the present invention in the order of steps.
FIG. 2 is a sectional view showing a pattern forming method according to another embodiment of the present invention in the order of steps.
FIG. 3 is a characteristic diagram showing the heating temperature dependence of the resist residual film amount.
[Explanation of symbols]
1, 11 ... Substrate to be processed
2,12 ... Antireflection film
3,13 ... resist pattern
4,14 ... Organic silicon film
5, 15 ... Chlorine gas plasma
6, 17 ... Mask pattern
16 ... KrF excimer laser

Claims (5)

被処理基板上にレジストパターンを形成する工程と、
前記レジストパターンの開口部に、シリコンとシリコンの結合を主鎖に有する有機シリコン化合物を埋め込む工程と、
前記レジストパターンを、レジスト中の溶解抑止基または溶解抑止剤の分解する温度以上に加熱する工程と、
前記レジストパターンを、レジストを溶解する溶液に浸漬して、前記レジストパターンを除去し、有機シリコン膜パターンを形成する工程と
を具備することを特徴とするパターン形成方法
Forming a resist pattern on the substrate to be processed;
Embedding an organic silicon compound having a bond between silicon and silicon in the main chain in the opening of the resist pattern;
Heating the resist pattern to a temperature equal to or higher than a temperature at which the dissolution inhibitor or dissolution inhibitor in the resist decomposes;
Immersing the resist pattern in a solution for dissolving the resist, removing the resist pattern, and forming an organic silicon film pattern.
被処理基板上にレジストパターンを形成する工程と、
前記レジストパターンの開口部に、シリコンとシリコンの結合を主鎖に有する有機シリコン化合物を埋め込む工程と、
前記レジストパターンに対し、放射線照射、加熱、またはそれらの組み合わせを行う工程と、
前記レジストパターンを、レジストを溶解する溶液に浸漬して、前記レジストパターンを除去し、有機シリコン膜パターンを形成する工程と
を具備することを特徴とするパターン形成方法
Forming a resist pattern on the substrate to be processed;
Embedding an organic silicon compound having a bond between silicon and silicon in the main chain in the opening of the resist pattern;
A step of performing radiation irradiation, heating, or a combination thereof on the resist pattern;
Immersing the resist pattern in a solution for dissolving the resist, removing the resist pattern, and forming an organic silicon film pattern.
被処理基板上にレジストパターンを形成する工程と、
前記レジストパターンの開口部に、シリコンとシリコンの結合を主鎖に有する有機シリコン化合物を埋め込む工程と、
(a)レジストに含まれる溶剤の少なくとも1種、(b)界面活性剤、及び(c)0.20規定より高濃度のアルカリ水溶液からなる群から選ばれた少なくとも1種を含む溶液に、前記レジストパターンを浸漬することにより、前記レジストパターンを除去し、有機シリコン膜パターンを形成する工程と
を具備することを特徴とするパターン形成方法。
Forming a resist pattern on the substrate to be processed;
Embedding an organic silicon compound having a bond between silicon and silicon in the main chain in the opening of the resist pattern;
A solution containing at least one selected from the group consisting of (a) at least one solvent contained in the resist; (b) a surfactant; and (c) an alkaline aqueous solution having a concentration higher than 0.20 N. And a step of removing the resist pattern by immersing the resist pattern to form an organic silicon film pattern.
前記レジストパターンの開口部にシリコンとシリコンの結合を主鎖に有する有機シリコン化合物を埋め込む工程は、レジストパターンが形成された被処理基板全面に、シリコンとシリコンの結合を主鎖に有する有機シリコン化合物を塗布し、次いでこの有機シリコン化合物をエッチバックすることにより行われることを特徴とする請求項1〜3のいずれかの項に記載のパターン形成方法。The step of embedding an organic silicon compound having a bond between silicon and silicon in the main chain in the opening of the resist pattern includes the step of burying an organic silicon compound having a bond between silicon and silicon in the main chain on the entire surface of the substrate on which the resist pattern is formed. The pattern forming method according to claim 1, wherein the organic silicon compound is etched back. 前記エッチバックは、前記有機シリコン化合物の希釈溶剤に浸漬する方法、酸素やハロゲン系ガスのプラズマに曝す方法、および化学的機械研磨からなる群から選ばれた1種により行われることを特徴とする請求項4に記載のパターン形成方法。The etch back is performed by one method selected from the group consisting of a method of immersing in a dilute solvent of the organosilicon compound, a method of exposing to plasma of oxygen or halogen gas, and chemical mechanical polishing. The pattern formation method according to claim 4.
JP27125699A 1999-09-24 1999-09-24 Pattern formation method Expired - Fee Related JP3974295B2 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP27125699A JP3974295B2 (en) 1999-09-24 1999-09-24 Pattern formation method

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP27125699A JP3974295B2 (en) 1999-09-24 1999-09-24 Pattern formation method

Publications (2)

Publication Number Publication Date
JP2001092154A JP2001092154A (en) 2001-04-06
JP3974295B2 true JP3974295B2 (en) 2007-09-12

Family

ID=17497546

Family Applications (1)

Application Number Title Priority Date Filing Date
JP27125699A Expired - Fee Related JP3974295B2 (en) 1999-09-24 1999-09-24 Pattern formation method

Country Status (1)

Country Link
JP (1) JP3974295B2 (en)

Families Citing this family (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4122171B2 (en) * 2002-04-22 2008-07-23 Kisco株式会社 Resist residue remover or cleaning agent for semiconductor device or liquid crystal device manufacturing process
JP4247198B2 (en) 2005-03-31 2009-04-02 株式会社東芝 Manufacturing method of semiconductor device
US7646468B2 (en) * 2006-04-04 2010-01-12 Asml Netherlands B.V. Lithographic processing cell and device manufacturing method
JP5003279B2 (en) * 2007-05-21 2012-08-15 Jsr株式会社 Inversion pattern forming method
JP5067848B2 (en) * 2007-07-31 2012-11-07 キヤノン株式会社 Pattern formation method
JP5077569B2 (en) * 2007-09-25 2012-11-21 信越化学工業株式会社 Pattern formation method
US7935477B2 (en) 2007-11-30 2011-05-03 Taiwan Semiconductor Manufacturing Company, Ltd. Double patterning strategy for contact hole and trench
US8048616B2 (en) 2008-03-12 2011-11-01 Taiwan Semiconductor Manufacturing Company, Ltd. Double patterning strategy for contact hole and trench in photolithography
JP5158370B2 (en) 2008-02-14 2013-03-06 信越化学工業株式会社 Double pattern formation method
JP5206972B2 (en) * 2008-02-20 2013-06-12 信越化学工業株式会社 Method for forming resist pattern and positive resist material used therefor
JP5007827B2 (en) 2008-04-04 2012-08-22 信越化学工業株式会社 Double pattern formation method
JP5101541B2 (en) 2008-05-15 2012-12-19 信越化学工業株式会社 Pattern formation method
JPWO2010032796A1 (en) * 2008-09-19 2012-02-16 日産化学工業株式会社 Side wall forming composition
JP4826841B2 (en) 2009-01-15 2011-11-30 信越化学工業株式会社 Pattern formation method
JP4826840B2 (en) * 2009-01-15 2011-11-30 信越化学工業株式会社 Pattern formation method
JP5124818B2 (en) * 2009-01-15 2013-01-23 信越化学工業株式会社 Pattern formation method
JP4826846B2 (en) 2009-02-12 2011-11-30 信越化学工業株式会社 Pattern formation method
JP2019204815A (en) * 2018-05-21 2019-11-28 東京エレクトロン株式会社 Substrate processing method and substrate processing apparatus

Also Published As

Publication number Publication date
JP2001092154A (en) 2001-04-06

Similar Documents

Publication Publication Date Title
JP3974295B2 (en) Pattern formation method
KR102195470B1 (en) Photoresist pattern trimming methods
JP3971088B2 (en) Pattern formation method
KR102129856B1 (en) Photoresist pattern trimming methods
TWI518741B (en) Methods of forming a patterned, silicon-enriched developable antireflective material and semiconductor device structures including the same
JP5306755B2 (en) Substrate processing liquid and resist substrate processing method using the same
JPH0543103B2 (en)
WO2005098545A1 (en) Water-soluble resin composition and method of forming pattern therewith
JP2001019860A (en) Water soluble resin composition
JP2001109165A (en) Performance forming method
WO2005008340A1 (en) Material for forming fine pattern and method for forming fine pattern using the same
KR20030049199A (en) Pattern collapse inhibiting method using relacs material
WO2004006023A1 (en) Composition for antireflection coating and method for forming pattern
US8084185B2 (en) Substrate planarization with imprint materials and processes
JP3320402B2 (en) Development defect prevention process and materials
JP2006507518A (en) Method for removing image-forming layer from semiconductor substrate stack
US5814433A (en) Use of mixtures of ethyl lactate and N-methyl pyrollidone as an edge bead remover for photoresists
JP4727567B2 (en) Antireflection film forming composition and pattern forming method using the same
JP2769038B2 (en) Pattern formation method
JP2004266008A (en) Method for manufacturing semiconductor device
JPWO2019163455A1 (en) Substrate hydrophilization treatment agent
US7943285B2 (en) Pattern formation method
JP2004505319A (en) Manufacturing method of microelectronic device
JP3772077B2 (en) Pattern formation method
JP2001142221A (en) Antireflection coating composition

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20040609

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20070607

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20070612

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20070614

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20100622

Year of fee payment: 3

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20100622

Year of fee payment: 3

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20110622

Year of fee payment: 4

LAPS Cancellation because of no payment of annual fees