JP3959790B2 - Manufacturing method of semiconductor device - Google Patents

Manufacturing method of semiconductor device Download PDF

Info

Publication number
JP3959790B2
JP3959790B2 JP22940397A JP22940397A JP3959790B2 JP 3959790 B2 JP3959790 B2 JP 3959790B2 JP 22940397 A JP22940397 A JP 22940397A JP 22940397 A JP22940397 A JP 22940397A JP 3959790 B2 JP3959790 B2 JP 3959790B2
Authority
JP
Japan
Prior art keywords
film
sio
dielectric constant
low dielectric
etching
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP22940397A
Other languages
Japanese (ja)
Other versions
JPH1167909A (en
Inventor
正永 深沢
新吾 門村
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Sony Corp
Original Assignee
Sony Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Sony Corp filed Critical Sony Corp
Priority to JP22940397A priority Critical patent/JP3959790B2/en
Publication of JPH1167909A publication Critical patent/JPH1167909A/en
Application granted granted Critical
Publication of JP3959790B2 publication Critical patent/JP3959790B2/en
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Landscapes

  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Formation Of Insulating Films (AREA)
  • Drying Of Semiconductors (AREA)

Description

【0001】
【発明の属する技術分野】
本発明は、酸素プラズマ耐性の弱い有機系低誘電率膜自身に接続孔及び溝配線用の溝を形成する際のエッチング方法に関するものである。
【0002】
【従来の技術】
近年の超LSIデバイスでは、数mm角のチップに数百万個以上の素子を集積する必要があるため、従来のような平面的な素子の微細化でこれを実現するのは困難である。従って、配線を2重3重に積み上げる多層配線技術を採用することが不可欠である。従来の多層配線技術では、配線と配線との層間膜としてSiO2膜が用いられている。
【0003】
一方、素子の高機能化、デバイスの動作速度の高速化のニーズはとどまるところを知らず、これを満たすプロセス技術の整備が急がれている。その中でも、多層配線構造の採用によって層間容量の低減が重要な課題となる。これは層間容量の低減が信号遅延時間の低減につながるからである。
【0004】
【発明が解決しようとする課題】
上述したような背景から、層間容量低減のための低誘電率層間絶縁膜が注目されている。低誘電率層間絶縁膜には、大別して有機系と無機系があり、無機系の代表であるSiOF膜等は、プラズマCVD(Chemical Vapor Deposition)による成膜の容易さ等もあって、実用化の近い技術として注目されている。一方、有機系材料は、比誘電率εが2〜2.5と低い材料が多く、次世代以降の層間絶縁膜としての実用化の期待も大きい。
【0005】
このように、半導体装置において低誘電率膜を層間絶縁膜として用いる要望が高まっている。この場合は、有機系低誘電率膜(比誘電率が3.0以下のもの)を回転塗布によって成膜し、この有機系低誘電率膜上に保護膜としてのSiO2膜をCVD成膜するのが一般的なプロセスであると考えられる。低誘電率膜を層間絶縁膜として用いることに伴い、有機系低誘電率膜に接続孔や溝配線用の溝を形成する技術が必要となる。
【0006】
ところで、有機系材料は酸素プラズマに対する耐性に問題のあるものが多い。このため、有機系低誘電率膜に接続孔や溝配線用の溝を形成するためのエッチング工程で、有機系の膜に通常用いられる酸素プラズマを含むプラズマを用いてエッチングをすると、有機系低誘電率膜にエッチング不良が生じることがある。即ち、有機系低誘電率膜が大きく等方的にエッチングされてしまい、接続孔の形状がボーイング形状になったり、部分的に酸素活性種にアタックされて膜質が劣化してしまうことがある。
【0007】
本発明は上記のような事情を考慮してなされたものであり、その目的は、層間膜として有機系低誘電率膜を用いた場合、この層間膜におけるエッチング不良を防止できる半導体装置の製造方法を提供することにある。
【0008】
【課題を解決するための手段】
本発明に係る半導体装置の製造方法は、半導体基板の上方に第1のSiO 2 膜を形成する工程と、この第1のSiO 2 膜の上に有機系低誘電率膜を形成する工程と、この有機系低誘電率膜の上に第2のSiO 2 膜を形成する工程と、この第2のSiO 2 膜をエッチングする工程と、該第2のSiO 2 膜をマスクとして該有機系低誘電率膜をフォーミングガスを用いてエッチングする工程と、該第2のSiO 2 膜をマスクとして該第1のSiO 2 膜をエッチングすることにより、該第1、第2のSiO 2 膜及び該有機系低誘電率膜に接続孔の少なくとも一部又は溝の少なくとも一部を形成する工程とを具備し、上記第2のSiO 2 膜が該第1のSiO 2 膜の厚さより厚く形成されることを特徴とする
【0009】
本発明に係る半導体装置の製造方法では、有機系低誘電率膜をフォーミングガスを用いてエッチングするため、有機系低誘電率膜との反応が進み過ぎることがなく、有機系低誘電率膜に良好な形状の接続孔又は溝の少なくとも一部を形成することができる。
【0010】
本発明に係る半導体装置の製造方法は、半導体基板の上方に第1のSiO 2 膜を形成する工程と、この第1のSiO 2 膜の上に有機系低誘電率膜を形成する工程と、この有機系低誘電率膜の上に第2のSiO 2 膜を形成する工程と、この第2のSiO 2 膜をエッチングする工程と、該第2のSiO 2 膜をマスクとして該有機系低誘電率膜の一部をO 2 系のガスを用いてエッチングし、該有機系低誘電率膜の残りの部分をフォーミングガスを用いてエッチングする工程と、該第2のSiO 2 膜をマスクとして該第1のSiO 2 膜をエッチングすることにより、該第1、第2のSiO 2 膜及び該有機系低誘電率膜に接続孔の少なくとも一部又は溝の少なくとも一部を形成する工程とを具備し、上記第2のSiO 2 膜が該第1のSiO 2 膜の厚さより厚く形成されることを特徴とする。
【0011】
本発明に係る半導体装置の製造方法では、有機系低誘電率膜のエッチング工程を2ステップ化し、まず有機系低誘電率膜の一部をエッチレートの速いO 2 系のガスを用いてエッチングを行い、この後、有機系低誘電率膜の残りの部分をエッチレートは遅いが良好なエッチング形状を得ることが可能なフォーミングガスを用いてエッチングしている。O 2 系のガスを用いたエッチングによりフォーミングガス使用によるスループットの低下をカバーでき、フォーミングガスを用いてエッチングする場合と比べて有機系低誘電率膜のエッチング処理時間を短縮することができる。
【0012
【発明の実施の形態】
以下、図面を参照して本発明の実施の形態を説明する。
図1〜図4は、本発明の第1の実施の形態による半導体装置の製造方法を説明する断面図である。
【0013
先ず、図1に示すように、図示せぬ半導体基板の上にはAl配線10が形成され、このAl配線10の上には例えばCVD法により保護膜としてSiO2膜11が堆積される。この後、このSiO2膜11の上には例えば回転塗布によってポリアリールエーテル(商品名FLARE1.0X:アライドシグナル社製)等の有機系低誘電率膜12が成膜される。次に、この有機系低誘電率膜12の上には例えばCVD法により保護膜としてSiO2膜13が堆積され、このSiO2膜13の膜厚はSiO2膜11のそれより厚く形成される。この結果、Al配線10の上には層間絶縁膜が形成される。この層間絶縁膜は、上層がSiO2膜11、中層が有機系低誘電率膜12、下層がSiO2膜13からなる積層構造を有する。尚、ポリアリールエーテルの構造式は以下の通りである。
【0014
【化1】

Figure 0003959790
【0015
次に、このSiO2膜13の上にはフォトレジスト(PR)膜14が設けられ、このフォトレジスト膜14にはビアホールを形成するためのパターンが形成される。
【0016
この後、図2に示すように、上層のSiO2膜13が図示せぬマグネトロンエッチャーを用いてフォトレジスト膜14をマスクとして以下の条件でエッチングされる。
Gas: C48/CO/Ar/O2 12/150/200/5sccm
Pressure : 40mTorr
RF Power: 1700W
基板設置電極温度: 20℃
【0017】
次に、図3に示すように、ポリアリールエーテルからなる有機系低誘電率膜12が図示せぬECRプラズマエッチャーを用いてSiO 2 膜13をマスクとして以下の条件でフォーミングガスによりエッチングされる。この時のエッチングによりレジスト膜14も同時にエッチング除去される。これはレジスト膜14が有機系材料で形成されているからである。尚、フォーミングガスとは、Ar又はN 2 にH 2 が含まれたガスをいう。
as : N 2 /5%H 2 2000 sccm
ressure : 7mT orr
μ波 P ower : 1200W
基板設置電極温度: 250℃
【0018】
この後、図4に示すように、下層のSiO 2 膜11がマグネトロンエッチャーを用いて上層のSiO 2 膜13をマスクとして以下の条件でエッチングされる。この時、上層のSiO 2 膜13も下層のSiO 2 膜11と同程度の厚さだけ同時にエッチングされるが、下層のSiO 2 膜11より上層のSiO 2 膜13の方が膜厚を厚く形成しているため、有機系低誘電率膜12上にSiO 2 膜13は残される。この結果、SiO 2 膜11、有機系低誘電率膜12、SiO 2 膜13からなる層間絶縁膜にはビアホールが形成される。
as : C 4 8 /CO/Ar/O 2 12 150 200 5sccm
ressure : 40mT orr
RF P ower : 1700W
基板設置電極温度: 20℃
【0019】
上記第1の実施の形態によれば、有機系低誘電率膜12をエッチング加工するためのエッチングガスにフォーミングガスであるN 2 /5%H 2 ガスを用いている。このようにN 2 とH 2 成分のエッチングガスを用いると、このガスと有機系低誘電率膜12との反応が進み過ぎることがなく、CH x やCNという形でエッチング反応が進むので、図4に示すように良好な形状のビアホールを形成することができる。
【0020】
すなわち、有機系の膜に通常用いられる酸素プラズマを含むプラズマを用いて有機系低誘電率膜12をエッチング(O 2 エッチング)すると、酸素による燃焼反応によりエッチング反応が進み過ぎてしまい、図6に示すように、酸素ラジカルによるアタックで有機系低誘電率膜12の部分が大きく等方的にエッチングされて、ビアホールの形状がボーイング形状になる、部分的に酸素活性種にアタックされて有機系低誘電率膜12の膜質が劣化するなどのエッチング不良が生じることとなる。しかし、上記のように有機系低誘電率膜12のエッチングガスとしてフォーミングガスを用いることにより、有機系低誘電率膜12にエッチング不良を生じることを防止できる。
【0021】
また、有機系低誘電率膜12のエッチングガスとしてフォーミングガスを用いることにより、フォトレジスト膜14も同時にエッチング除去することができる。このため、その後のアッシング工程(フォトレジスト膜14を気相中で除去する工程)が必要でなくなる。これは工程数を減らすことにつながり、製造コストの低減という効果を生む。
【0022】
図5は、本発明の第2の実施の形態による半導体装置の製造方法を説明する断面図である。
【0023】
先ず、第1の実施例と同様に、図1に示すように、図示せぬ半導体基板の上にはAl配線10が形成され、このAl配線10の上にはSiO 2 膜11が堆積される。この後、このSiO 2 膜11の上にはポリアリールエーテル等の有機系低誘電率膜12が成膜され、この有機系低誘電率膜12の上にはSiO 2 膜13が堆積される。次に、このSiO 2 膜13の上にはフォトレジスト膜14が設けられ、このフォトレジスト膜14にはビアホール(又はコンタクトホール)を形成するためのパターンが形成される。
【0024】
次に、図2に示すように、フォトレジスト膜14をマスクとしてSiO 2 膜13が図示せぬマグネトロンエッチャーを用いて以下の条件でエッチングされる。
as : C 4 8 /CO/Ar/O 2 12 150 200 5sccm
ressure : 40mT orr
RF P ower : 1700W
基板設置電極温度: 20℃
【0025
この後、有機系低誘電率膜12が図示せぬECRプラズマエッチャーを用いて以下のような2ステップのエッチング工程によりエッチングされる。
【0026
まず、有機系低誘電率膜12にステップ1のエッチング工程を施す。
すなわち、図5に示すように、上層のSiO2膜13をマスクとして、有機系低誘電率膜12が以下の条件でO2/N2ガスによりエッチングされる。この時のエッチングは、有機系低誘電率膜12が下層のSiO2膜11に接触する接触面に達する直前に終了させる。つまり、SiO2膜11が露出するまでエッチングするのではなく、有機系低誘電率膜12を少し残したところでSTEP1のエッチングは終了させる。
Gas: O2/N2 2000/100sccm
Pressure : 7mTorr
μ波 Power: 1200W
基板設置電極温度: 250℃
【0027
次に、有機系低誘電率膜12にステップ2のエッチング工程を施す。
すなわち、図5に示すような有機系低誘電率膜12のエッチングのエンドポイント直前の状態でエッチング条件を以下のものに切り替えて、有機系低誘電率膜12がオーバーエッチングされるまでフォーミングガスによりエッチングを行う。その結果、有機系低誘電率膜12が図3に示すようにエッチングされる。
Gas: N2/5%H2 2000sccm
Pressure : 7mTorr
μ波 Power: 1200W
基板設置電極温度: 250℃
【0028
この後は、第1の実施の形態と同様に、図4に示すように、下層のSiO2膜11がマグネトロンエッチャーを用いて以下の条件でエッチングされる。この結果、SiO2膜11、有機系低誘電率膜12、SiO2膜13からなる層間絶縁膜にはビアホール(又はコンタクトホール)が形成される。
Gas: C48/CO/Ar/O2 12/150/200/5sccm
Pressure : 40mTorr
RF Power: 1100W
基板設置電極温度: 20℃
【0029
上記第2の実施の形態では以下のような効果を得ることができる。
【0030
有機系低誘電率膜12のエッチング工程を2ステップ化し、ステップ1で有機系の膜に通常用いられるO2エッチングを行い、ステップ2で有機系低誘電率膜12の残りの部分のエッチングとオーバーエッチングをフォーミングガスにより行っている。このため、有機系低誘電率膜12のエッチングの際のスループットの低下を防ぐことができる。
【0031
すなわち、有機系低誘電率膜12をフォーミングガスによりエッチングすると、ボーイング形状等のエッチング不良の発生は防止できるが、フォーミングガスプロセスはO2エッチングに比べて反応性が低い分、エッチングレートが遅いので、スループットが低下してしまう。具体的には、同じ量の有機系低誘電率膜12をエッチングするのに、フォーミングガスエッチングではO2エッチングの5倍以上の時間を必要とする。しかし、上記のように2ステップ化し、ステップ1でO2エッチングにより有機系低誘電率膜12のエッチングのエンドポイント直前までを短時間でエッチングし、ステップ2で残りの部分をフォーミングガスによりエッチングすることにより、有機系低誘電率膜12のエッチング処理時間を第1の実施の形態の場合と比較して1/4以下に短縮することができる。したがって、高スループットで且つボーイング形状ではない良好な形状のビアホール又はコンタクトホール(接続孔)を形成することができる。
【0032
しかも、ステップ2で、有機系低誘電率膜12をエッチング加工するためのエッチングガスにフォーミングガスであるN2/5%H2ガスを用いている。このようにN2とH2成分のエッチングガスを用いると、このガスと有機系低誘電率膜12との反応が進み過ぎることがなく、CHxやCNという形でエッチング反応が進むので、図4に示すように良好な形状のビアホールを形成することができる。
【0033
すなわち、有機系の膜に通常用いられる酸素プラズマを含むプラズマを用いて有機系低誘電率膜12をエッチング(O2エッチング)すると、酸素による燃焼反応によりエッチング反応が進み過ぎてしまい、図6に示すように、酸素ラジカルによるアタックで有機系低誘電率膜12の部分が大きく等方的にエッチングされて、ビアホールの形状がボーイング形状にな、部分的に酸素活性種にアタックされて有機系低誘電率膜12の膜質が劣化するなどのエッチング不良が生じることとなる。しかし、上記のように有機系低誘電率膜12のエッチングガスとしてフォーミングガスを用いることにより、有機系低誘電率膜12にエッチング不良を生じることを防止できる。
【0034
また、有機系低誘電率膜12のエッチングガスとしてフォーミングガスを用いることにより、フォトレジスト膜14も同時にエッチング除去することができる。このため、その後のアッシング工程(フォトレジスト膜14を気相中で除去する工程)が必要でなくなる。これは工程数を減らすことにつながり、製造コストの低減という効果を生む。
【0035
尚、有機系低誘電率膜12のオーバーエッチングの際に等方的なエッチングがされてボーイング形状が生じると考えられるので、ステップ1でエッチングエンドポイントの直前までのエッチングにO2エッチングを用いても接続孔にボーイング形状を生じることはない。
【0036
上記第1、第2の実施の形態では、有機系低誘電率膜12を含む層間絶縁膜にビアホール又はコンタクトホール(接続孔)を形成することに本発明を用いているが、これに限られず、有機系低誘電率膜12を含む層間絶縁膜をエッチング加工する工程であれば本発明を用いることが可能である。例えば、有機系低誘電率膜12を含む層間絶縁膜に溝配線用の溝を形成することに本発明を用いることも可能である。
【0037
また、上述したエッチング装置、エッチング条件等は一例であるので、本発明の主旨を逸脱しない範囲において適宜変更することも可能である。
【0038
また、上記有機系低誘電率膜12をフォーミングガスを用いてエッチングする際、基板設置電極温度を250℃としているが、250℃以下の温度であれば他の温度に適宜変更することも可能である。
【0039
また、上記有機系低誘電率膜12をフォーミングガスを用いてエッチングする際、H2濃度5%のフォーミングガスを用いているが、H2濃度が5%以上であれば他のH2濃度に適宜変更することも可能である。
【0040
【発明の効果】
以上説明したように本発明によれば、有機系低誘電率膜を用いた層間膜に高速且つエッチング不良を防止して接続孔又は溝を形成することができ、また、有機系低誘電率膜を第1のSiO 2 膜と第2のSiO 2 膜とで挟んだ構造に接続孔又は溝を形成することができる半導体装置の製造方法を提供することができる。
【図面の簡単な説明】
【図1】 本発明の実施の形態にる半導体装置の製造方法を説明する断面図である。
【図2】 本発明の実施の形態にる半導体装置の製造方法を説明するものであり、図1の次の工程を示す断面図である。
【図3】 本発明の実施の形態にる半導体装置の製造方法を説明するものであり、図2の次の工程を示す断面図である。
【図4】 本発明の実施の形態にる半導体装置の製造方法を説明するものであり、図3の次の工程を示す断面図である。
【図5】 本発明の第2の実施の形態にる半導体装置の製造方法を説明する断面図である。
【図6】 有機系低誘電率膜をO2エッチングした場合、有機系低誘電率膜にボーイング形状等のエッチング不良が生じることを示す断面図である。
【符号の説明】
10…Al配線、11…SiO2膜、12‥・有機系低誘電率膜(ポリアリールエーテル)、13…SiO2膜、14…フォトレジスト膜。[0001]
BACKGROUND OF THE INVENTION
The present invention relates to an etching method for forming a connection hole and a trench for trench wiring in an organic low dielectric constant film itself having low oxygen plasma resistance.
[0002]
[Prior art]
In recent VLSI devices, since it is necessary to integrate several million elements or more on a chip of several mm square, it is difficult to realize this by miniaturizing a planar element as in the prior art. Therefore, it is indispensable to adopt a multilayer wiring technique in which wirings are stacked in a double and triple manner. In the conventional multilayer wiring technology, a SiO 2 film is used as an interlayer film between wirings.
[0003]
On the other hand, there is no end to the need to increase the functionality of elements and increase the operating speed of devices, and there is an urgent need to develop process technology that satisfies these needs. Among them, reduction of interlayer capacitance becomes an important issue by adopting a multilayer wiring structure. This is because a reduction in interlayer capacitance leads to a reduction in signal delay time.
[0004]
[Problems to be solved by the invention]
From the background described above, a low dielectric constant interlayer insulating film for reducing interlayer capacitance has attracted attention. Low dielectric constant interlayer insulation films can be broadly classified into organic and inorganic types, and typical examples of inorganic SiOF films are practical because of their ease of film formation by plasma CVD (Chemical Vapor Deposition). It is attracting attention as a close technology. On the other hand, many organic materials have a low relative dielectric constant ε of 2 to 2.5, and are expected to be put to practical use as an interlayer insulating film in the next generation and beyond.
[0005]
Thus, there is an increasing demand for using a low dielectric constant film as an interlayer insulating film in a semiconductor device. In this case, an organic low dielectric constant film (having a relative dielectric constant of 3.0 or less) is formed by spin coating, and a SiO 2 film as a protective film is formed on the organic low dielectric constant film by CVD. It is considered that this is a general process. As the low dielectric constant film is used as an interlayer insulating film, a technique for forming a connection hole or a groove for groove wiring in the organic low dielectric constant film is required.
[0006]
By the way, many organic materials have problems in resistance to oxygen plasma. For this reason, in the etching process for forming the connection hole and the groove for the trench wiring in the organic low dielectric constant film, if etching is performed using plasma including oxygen plasma which is usually used for the organic film, the organic low dielectric constant film is obtained. Etching defects may occur in the dielectric constant film. That is, the organic low dielectric constant film may be largely and isotropically etched, the connection hole may have a bowed shape, or may be partially attacked by oxygen active species to deteriorate the film quality.
[0007]
The present invention has been made in consideration of the above-described circumstances, and an object of the present invention is to provide a method for manufacturing a semiconductor device capable of preventing etching defects in an interlayer film when an organic low dielectric constant film is used as the interlayer film. Is to provide.
[0008]
[Means for Solving the Problems]
The method of manufacturing a semiconductor device according to the present invention includes a step of forming a first SiO 2 film above a semiconductor substrate, a step of forming an organic low dielectric constant film on the first SiO 2 film, forming a second SiO 2 film on the organic low dielectric constant film, and etching the second SiO 2 film, the organic low dielectric to the second SiO 2 film as a mask and etching using a forming gas Ritsumaku, by etching the first SiO 2 film the second SiO 2 film as a mask, first, second SiO 2 film and organic-based Forming at least part of the connection hole or at least part of the groove in the low dielectric constant film, wherein the second SiO 2 film is formed thicker than the thickness of the first SiO 2 film. Features .
[0009]
In the method for manufacturing a semiconductor device according to the present invention, an organic low dielectric constant film is etched using a forming gas, so that the reaction with the organic low dielectric constant film does not proceed excessively, and the organic low dielectric constant film is formed. A well-shaped connection hole or at least part of the groove can be formed.
[0010]
The method of manufacturing a semiconductor device according to the present invention includes a step of forming a first SiO 2 film above a semiconductor substrate, a step of forming an organic low dielectric constant film on the first SiO 2 film, forming a second SiO 2 film on the organic low dielectric constant film, and etching the second SiO 2 film, the organic low dielectric to the second SiO 2 film as a mask Etching a part of the refractive index film using an O 2 gas and etching the remaining part of the organic low dielectric constant film using a forming gas; and using the second SiO 2 film as a mask Etching the first SiO 2 film to form at least part of a connection hole or at least part of a groove in the first and second SiO 2 films and the organic low dielectric constant film. The second SiO 2 film is thicker than the first SiO 2 film. It is characterized by being made.
[0011]
In the method of manufacturing a semiconductor device according to the present invention, the organic low dielectric constant film is etched in two steps. First, a part of the organic low dielectric constant film is etched using an O 2 gas having a high etch rate. After that, the remaining portion of the organic low dielectric constant film is etched using a forming gas that has a slow etch rate but can obtain a good etching shape. Etching using an O 2 -based gas can cover a decrease in throughput due to the use of a forming gas, and the etching time for an organic low dielectric constant film can be shortened compared to etching using a forming gas.
[00 12 ]
DETAILED DESCRIPTION OF THE INVENTION
Embodiments of the present invention will be described below with reference to the drawings.
1 to 4 are cross-sectional views illustrating a method for manufacturing a semiconductor device according to the first embodiment of the present invention.
[00 13 ]
First, as shown in FIG. 1, an Al wiring 10 is formed on a semiconductor substrate (not shown), and an SiO 2 film 11 is deposited on the Al wiring 10 as a protective film by, for example, a CVD method. Thereafter, an organic low dielectric constant film 12 such as polyaryl ether (trade name: FLARE1.0X: manufactured by Allied Signal) is formed on the SiO 2 film 11 by, for example, spin coating. Then, this organic on the low dielectric constant film 12 is a SiO 2 film 13 is deposited as a protective film by CVD, for example, the thickness of the SiO 2 film 13 is thicker than that of the SiO 2 film 11 . As a result, an interlayer insulating film is formed on the Al wiring 10. This interlayer insulating film has a laminated structure in which the upper layer is made of the SiO 2 film 11, the middle layer is made of the organic low dielectric constant film 12, and the lower layer is made of the SiO 2 film 13. The structural formula of polyaryl ether is as follows.
[00 14 ]
[Chemical 1]
Figure 0003959790
[00 15 ]
Next, a photoresist (PR) film 14 is provided on the SiO 2 film 13, and a pattern for forming a via hole is formed on the photoresist film 14.
[00 16 ]
Thereafter, as shown in FIG. 2, the upper SiO 2 film 13 is etched using the magnetron etcher (not shown) using the photoresist film 14 as a mask under the following conditions.
Gas: C 4 F 8 / CO / Ar / O 2 12/150/200/5 sccm
Pressure: 40mTorr
RF Power: 1700W
Substrate installation electrode temperature: 20 ° C
[0017]
Next, as shown in FIG. 3, the organic low dielectric constant film 12 made of polyarylether is etched with a forming gas under the following conditions using an ECR plasma etcher (not shown) with the SiO 2 film 13 as a mask. By this etching, the resist film 14 is also etched away. This is because the resist film 14 is formed of an organic material. The forming gas refers to a gas containing H 2 in Ar or N 2 .
G as : N 2 /5% H 2 2000 sccm
P ressure: 7mT orr
μ wave P ower : 1200W
Substrate installation electrode temperature: 250 ° C
[0018]
Thereafter, as shown in FIG. 4, the lower SiO 2 film 11 is etched using the magnetron etcher with the upper SiO 2 film 13 as a mask under the following conditions. At this time, although the upper layer of the SiO 2 film 13 is also simultaneously by etching a thickness substantially equal to that of the underlying SiO 2 film 11, thicker towards the upper layer of the SiO 2 film 13 from the underlying SiO 2 film 11 is a film thickness formed Therefore, the SiO 2 film 13 is left on the organic low dielectric constant film 12 . As a result, a via hole is formed in the interlayer insulating film composed of the SiO 2 film 11, the organic low dielectric constant film 12, and the SiO 2 film 13.
G as : C 4 F 8 / CO / Ar / O 2 12/150/200 / 5sccm
P ressure: 40mT orr
RF Power : 1700W
Substrate installation electrode temperature: 20 ° C
[0019]
According to the first embodiment, N 2 /5% H 2 gas, which is a forming gas, is used as the etching gas for etching the organic low dielectric constant film 12 . When the etching gas of N 2 and H 2 components is used in this way, the reaction between this gas and the organic low dielectric constant film 12 does not proceed excessively , and the etching reaction proceeds in the form of CH x or CN. As shown in FIG. 4, a well-shaped via hole can be formed.
[0020]
That is, when the organic low dielectric constant film 12 is etched (O 2 etching) using a plasma including oxygen plasma that is usually used for an organic film , the etching reaction proceeds excessively due to a combustion reaction due to oxygen. As shown, the organic low dielectric constant film 12 is largely and isotropically etched by the oxygen radical attack, and the shape of the via hole becomes a bowing shape. Etching defects such as deterioration of the film quality of the dielectric constant film 12 occur. However, by using the forming gas as the etching gas for the organic low dielectric constant film 12 as described above, it is possible to prevent etching defects in the organic low dielectric constant film 12.
[0021]
Further, by using a forming gas as an etching gas for the organic low dielectric constant film 12, the photoresist film 14 can also be removed by etching at the same time. This eliminates the need for a subsequent ashing step (step of removing the photoresist film 14 in the gas phase). This leads to a reduction in the number of processes and produces an effect of reducing manufacturing costs.
[0022]
FIG. 5 is a cross-sectional view for explaining the method for manufacturing a semiconductor device according to the second embodiment of the present invention.
[0023]
First, as in the first embodiment, as shown in FIG. 1, an Al wiring 10 is formed on a semiconductor substrate (not shown), and an SiO 2 film 11 is deposited on the Al wiring 10. . Thereafter, an organic low dielectric constant film 12 such as polyaryl ether is formed on the SiO 2 film 11, and an SiO 2 film 13 is deposited on the organic low dielectric constant film 12 . Next, a photoresist film 14 is provided on the SiO 2 film 13, and a pattern for forming a via hole (or contact hole) is formed in the photoresist film 14.
[0024]
Next, as shown in FIG. 2, using the photoresist film 14 as a mask, the SiO 2 film 13 is etched using a magnetron etcher (not shown) under the following conditions.
G as : C 4 F 8 / CO / Ar / O 2 12/150/200 / 5sccm
P ressure: 40mT orr
RF Power : 1700W
Substrate installation electrode temperature: 20 ° C
[00 25 ]
Thereafter, the organic low dielectric constant film 12 is etched by the following two-step etching process using an ECR plasma etcher (not shown).
[00 26 ]
First, the etching process of Step 1 is performed on the organic low dielectric constant film 12.
That is, as shown in FIG. 5, the organic low dielectric constant film 12 is etched with O 2 / N 2 gas under the following conditions using the upper SiO 2 film 13 as a mask. The etching at this time is terminated immediately before the organic low dielectric constant film 12 reaches the contact surface in contact with the underlying SiO 2 film 11. That is, etching is not performed until the SiO 2 film 11 is exposed, but the etching of STEP 1 is terminated when a little organic low dielectric constant film 12 is left.
Gas: O 2 / N 2 2000/100 sccm
Pressure: 7mTorr
μ wave Power: 1200W
Substrate installation electrode temperature: 250 ° C
[00 27 ]
Next, the organic low dielectric constant film 12 is subjected to the etching process of Step 2.
That is, the etching conditions are switched to the following in the state immediately before the etching end point of the organic low dielectric constant film 12 as shown in FIG. 5, and the forming gas is used until the organic low dielectric constant film 12 is over-etched. Etching is performed. As a result, the organic low dielectric constant film 12 is etched as shown in FIG.
Gas: N 2 /5% H 2 2000 sccm
Pressure: 7mTorr
μ wave Power: 1200W
Substrate installation electrode temperature: 250 ° C
[00 28 ]
Thereafter, as in the first embodiment, as shown in FIG. 4, the underlying SiO 2 film 11 is etched using the magnetron etcher under the following conditions. As a result, a via hole (or contact hole) is formed in the interlayer insulating film composed of the SiO 2 film 11, the organic low dielectric constant film 12, and the SiO 2 film 13.
Gas: C 4 F 8 / CO / Ar / O 2 12/150/200/5 sccm
Pressure: 40mTorr
RF Power: 1100W
Substrate installation electrode temperature: 20 ° C
[00 29 ]
In the second embodiment, the following effects can be obtained.
[00 30 ]
The etching process of the organic low dielectric constant film 12 is divided into two steps, O 2 etching which is usually used for the organic film is performed in step 1, and the remaining portion of the organic low dielectric constant film 12 is over-etched in step 2. Etching is performed by forming gas. For this reason, it is possible to prevent a decrease in throughput when the organic low dielectric constant film 12 is etched.
[00 31 ]
That is, if the organic low dielectric constant film 12 is etched with a forming gas, it is possible to prevent the occurrence of etching defects such as a bowing shape, but the forming gas process has a lower etching rate because it is less reactive than O 2 etching. , Throughput will decrease. Specifically, in order to etch the same amount of the organic low dielectric constant film 12, the forming gas etching requires a time five times longer than the O 2 etching. However, it is divided into two steps as described above, and in step 1, etching is performed in a short time until just before the etching end point of the organic low dielectric constant film 12 by O 2 etching, and the remaining portion is etched by forming gas in step 2. Thus, the etching time of the organic low dielectric constant film 12 can be shortened to ¼ or less compared to the case of the first embodiment. Therefore, it is possible to form a via hole or contact hole (connection hole) having a good shape and not a bowing shape with high throughput.
[00 32 ]
Moreover, in step 2, N 2 /5% H 2 gas, which is a forming gas, is used as an etching gas for etching the organic low dielectric constant film 12. When the etching gas of N 2 and H 2 components is used in this way, the reaction between this gas and the organic low dielectric constant film 12 does not proceed excessively, and the etching reaction proceeds in the form of CH x or CN. As shown in FIG. 4, a well-shaped via hole can be formed.
[00 33 ]
That is, when the organic low dielectric constant film 12 is etched (O 2 etching) using a plasma including oxygen plasma that is usually used for an organic film, the etching reaction proceeds excessively due to a combustion reaction due to oxygen. as shown, part of the organic low dielectric constant film 12 in the attack by oxygen radicals is isotropically etched large, the shape of the via hole ing the bowing shape, is partially attack on active oxygen species organic so that the etching defects such as film quality of the low dielectric constant film 12 is deteriorated occurs. However, by using the forming gas as the etching gas for the organic low dielectric constant film 12 as described above, it is possible to prevent etching defects in the organic low dielectric constant film 12.
[00 34 ]
Further, by using a forming gas as an etching gas for the organic low dielectric constant film 12, the photoresist film 14 can also be removed by etching at the same time. This eliminates the need for a subsequent ashing step (step of removing the photoresist film 14 in the gas phase). This leads to a reduction in the number of processes and produces an effect of reducing manufacturing costs.
[00 35 ]
In addition, since it is considered that the isotropic etching is performed at the time of over-etching the organic low dielectric constant film 12 and a bowing shape is generated, O 2 etching is used for the etching up to the etching end point in Step 1. However, no bowing shape is produced in the connection hole.
[00 36 ]
In the first and second embodiments , the present invention is used to form via holes or contact holes (connection holes) in the interlayer insulating film including the organic low dielectric constant film 12, but the present invention is not limited to this. The present invention can be used as long as it is a process of etching an interlayer insulating film including the organic low dielectric constant film 12. For example, the present invention can be used to form a groove for groove wiring in an interlayer insulating film including the organic low dielectric constant film 12.
[00 37 ]
Further, the above-described etching apparatus, etching conditions, and the like are examples, and can be appropriately changed without departing from the gist of the present invention.
[00 38 ]
Further, when the organic low dielectric constant film 12 is etched using a forming gas, the substrate installation electrode temperature is set to 250 ° C. However, it can be appropriately changed to other temperatures as long as the temperature is 250 ° C. or lower. is there.
[00 39 ]
Further, when the organic low dielectric constant film 12 is etched using a forming gas, a forming gas having a H 2 concentration of 5% is used. However, if the H 2 concentration is 5% or more, the H 2 concentration is changed to another H 2 concentration. It is also possible to change appropriately.
[00 40 ]
【The invention's effect】
As described above, according to the present invention, a connection hole or a groove can be formed in an interlayer film using an organic low dielectric constant film at high speed while preventing etching defects. It is possible to provide a method of manufacturing a semiconductor device in which a connection hole or a groove can be formed in a structure in which a first SiO 2 film and a second SiO 2 film are sandwiched .
[Brief description of the drawings]
1 is a cross-sectional view for explaining a manufacturing method of engaging Ru semiconductor device in an embodiment of the present invention.
Figure 2 is intended to illustrate a method of manufacturing a semiconductor device Ru engaged to the embodiment of the present invention, it is a cross-sectional view showing a step subsequent to FIG.
[Figure 3] is intended to explain the method of manufacturing a semiconductor device Ru engaged to the embodiment of the present invention, is a cross-sectional view showing a step subsequent to FIG.
[Figure 4] is intended to explain the method of manufacturing a semiconductor device Ru engaged to the embodiment of the present invention, is a cross-sectional view showing a step subsequent to FIG.
5 is a sectional view explaining the manufacturing method of the engaging Ru semiconductor device in the second embodiment of the present invention.
FIG. 6 is a cross-sectional view showing that when an organic low dielectric constant film is subjected to O 2 etching, an etching failure such as a bow shape occurs in the organic low dielectric constant film.
[Explanation of symbols]
10 ... Al wiring, 11 ... SiO 2 film, 12 ‥ · organic low dielectric constant film (poly aryl ether), 13 ... SiO 2 film, 14 ... photoresist film.

Claims (2)

半導体基板の上方に第1のSiO2膜を形成する工程と、
この第1のSiO2膜の上に有機系低誘電率膜を形成する工程と、
この有機系低誘電率膜の上に第2のSiO2膜を形成する工程と、
この第2のSiO2膜をエッチングする工程と、
該第2のSiO2膜をマスクとして該有機系低誘電率膜をフォーミングガスを用いてエッチングする工程と、
該第2のSiO2膜をマスクとして該第1のSiO2膜をエッチングすることにより、該第1、第2のSiO2膜及び該有機系低誘電率膜に接続孔の少なくとも一部又は溝の少なくとも一部を形成する工程と具備し、
上記第2のSiO 2 膜が該第1のSiO 2 膜の厚さより厚く形成される
ことを特徴とする半導体装置の製造方法。
Forming a first SiO 2 film above the semiconductor substrate;
Forming an organic low dielectric constant film on the first SiO 2 film;
Forming a second SiO 2 film on the organic low dielectric constant film;
Etching the second SiO 2 film;
Etching the organic low dielectric constant film with a forming gas using the second SiO 2 film as a mask;
By etching the first SiO 2 film the second SiO 2 film as a mask, at least a portion or a groove of said first, second SiO 2 film and the organic low dielectric constant film in the connection hole and a step of forming at least a part of,
The second SiO 2 film is formed thicker than the thickness of the first SiO 2 film.
A method for manufacturing a semiconductor device.
半導体基板の上方に第1のSiO2膜を形成する工程と、
この第1のSiO2膜の上に有機系低誘電率膜を形成する工程と、
この有機系低誘電率膜の上に第2のSiO2膜を形成する工程と、
この第2のSiO2膜をエッチングする工程と、
該第2のSiO2膜をマスクとして該有機系低誘電率膜の一部をO2系のガスを用いてエッチングし、該有機系低誘電率膜の残りの部分をフォーミングガスを用いてエッチングする工程と、
該第2のSiO2膜をマスクとして該第1のSiO2膜をエッチングすることにより、該第1、第2のSiO2膜及び該有機系低誘電率膜に接続孔の少なくとも一部又は溝の少なくとも一部を形成する工程と具備し、
上記第2のSiO 2 膜が該第1のSiO 2 膜の厚さより厚く形成される
ことを特徴とする半導体装置の製造方法。
Forming a first SiO 2 film above the semiconductor substrate;
Forming an organic low dielectric constant film on the first SiO 2 film;
Forming a second SiO 2 film on the organic low dielectric constant film;
Etching the second SiO 2 film;
Using the second SiO 2 film as a mask, a part of the organic low dielectric constant film is etched using an O 2 gas, and the remaining part of the organic low dielectric constant film is etched using a forming gas. And a process of
By etching the first SiO 2 film the second SiO 2 film as a mask, at least a portion or a groove of said first, second SiO 2 film and the organic low dielectric constant film in the connection hole and a step of forming at least a part of,
The second SiO 2 film is formed thicker than the thickness of the first SiO 2 film.
A method for manufacturing a semiconductor device.
JP22940397A 1997-08-26 1997-08-26 Manufacturing method of semiconductor device Expired - Fee Related JP3959790B2 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP22940397A JP3959790B2 (en) 1997-08-26 1997-08-26 Manufacturing method of semiconductor device

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP22940397A JP3959790B2 (en) 1997-08-26 1997-08-26 Manufacturing method of semiconductor device

Publications (2)

Publication Number Publication Date
JPH1167909A JPH1167909A (en) 1999-03-09
JP3959790B2 true JP3959790B2 (en) 2007-08-15

Family

ID=16891671

Family Applications (1)

Application Number Title Priority Date Filing Date
JP22940397A Expired - Fee Related JP3959790B2 (en) 1997-08-26 1997-08-26 Manufacturing method of semiconductor device

Country Status (1)

Country Link
JP (1) JP3959790B2 (en)

Families Citing this family (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE69840609D1 (en) * 1997-10-22 2009-04-09 Imec Inter Uni Micro Electr Anisotropic etching of organic insulating layers
US6696366B1 (en) * 1998-08-17 2004-02-24 Lam Research Corporation Technique for etching a low capacitance dielectric layer
JP4173307B2 (en) 1999-06-24 2008-10-29 株式会社ルネサステクノロジ Manufacturing method of semiconductor integrated circuit
US6346489B1 (en) * 1999-09-02 2002-02-12 Applied Materials, Inc. Precleaning process for metal plug that minimizes damage to low-κ dielectric
US7488687B2 (en) 2006-09-12 2009-02-10 Samsung Electronics Co., Ltd. Methods of forming electrical interconnect structures using polymer residues to increase etching selectivity through dielectric layers
JP6562651B2 (en) * 2015-02-20 2019-08-21 キヤノン株式会社 Manufacturing method of semiconductor device

Also Published As

Publication number Publication date
JPH1167909A (en) 1999-03-09

Similar Documents

Publication Publication Date Title
KR100358545B1 (en) Semiconductor device and process for producing the same
JP4417439B2 (en) Semiconductor device structure and method using etching stop layer
JP2004096117A (en) Projecting spacers for self-aligning contact
KR100414506B1 (en) Dry etching method and method of manufacturing a semiconductor device
JP2003023069A (en) Metal wiring layer formation method for semiconductor element
JP2004503088A (en) Method for etching dual damascene structures in organosilicate glass
JP2000077625A5 (en)
JP2001077086A (en) Dry etching method of semiconductor device
JP3959790B2 (en) Manufacturing method of semiconductor device
KR20000035246A (en) Method of fabricating a semiconductor structure
KR100909175B1 (en) How to form a dual damascene pattern
KR100282416B1 (en) Method for fabricating semiconductor device
EP1348233A1 (en) Method of dry etching an antireflection coating in semiconductor devices
KR100668726B1 (en) Method for forming the bit line contact in semiconductor device
JPH05217965A (en) Manufacture of semiconductor device
KR100831572B1 (en) Method of forming metal line for semiconductor device
CN117976614A (en) Method for forming semiconductor device
KR100447109B1 (en) Method for etching a storage node contact of semiconductor device
KR100487644B1 (en) Method for forming storage node contact of semiconductor device
KR100440081B1 (en) A method for forming a conductive line of a semiconductor device
KR100575078B1 (en) Method For Manufacturing Semiconductor Device
KR100468694B1 (en) Method for forming contact for semiconductor device
JPH10189543A (en) Formation method for contact hole
JPH11307516A (en) Manufacture of semiconductor device
KR100668733B1 (en) Method of forming via contact hole in semiconductor devices

Legal Events

Date Code Title Description
A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20040826

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20041012

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20041210

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20060124

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20060324

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20070424

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20070507

LAPS Cancellation because of no payment of annual fees