JP3808472B2 - Substrate processing equipment - Google Patents

Substrate processing equipment Download PDF

Info

Publication number
JP3808472B2
JP3808472B2 JP2004042530A JP2004042530A JP3808472B2 JP 3808472 B2 JP3808472 B2 JP 3808472B2 JP 2004042530 A JP2004042530 A JP 2004042530A JP 2004042530 A JP2004042530 A JP 2004042530A JP 3808472 B2 JP3808472 B2 JP 3808472B2
Authority
JP
Japan
Prior art keywords
gas
substrate
processing apparatus
substrate processing
exposure
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP2004042530A
Other languages
Japanese (ja)
Other versions
JP2004186706A (en
Inventor
秀作 城戸
善秀 飯尾
雅樹 池田
Original Assignee
鹿児島日本電気株式会社
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 鹿児島日本電気株式会社 filed Critical 鹿児島日本電気株式会社
Priority to JP2004042530A priority Critical patent/JP3808472B2/en
Publication of JP2004186706A publication Critical patent/JP2004186706A/en
Application granted granted Critical
Publication of JP3808472B2 publication Critical patent/JP3808472B2/en
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Description

本発明は、半導体素子の形成に用いられる基板に対して行う各種ガス雰囲気による暴露処理を行う基板処理装置に関する。特に、本発明は、基板表面に形成された有機膜に対して、その有機膜を溶解し、リフローさせる有機溶媒溶液を気化させたガス雰囲気の下において行う暴露処理を行う基板処理装置に関する。   The present invention relates to a substrate processing apparatus for performing exposure processing in various gas atmospheres performed on a substrate used for forming a semiconductor element. In particular, the present invention relates to a substrate processing apparatus that performs an exposure process in a gas atmosphere in which an organic solvent solution to be reflowed is dissolved in an organic film formed on a substrate surface.

半導体素子の形成に用いられる基板に対して各種処理を行う従来の処理装置の一例として特許文献1に記載された装置がある。この装置は、有機材料からなる塗布膜を利用して、半導体素子の形成された基板表面の凹凸を平坦化する装置であり、平坦性が良く、熱処理による耐クラック性も良い平坦膜を形成することができる。   As an example of a conventional processing apparatus that performs various processes on a substrate used for forming a semiconductor element, there is an apparatus described in Patent Document 1. This device is a device for flattening irregularities on the surface of a substrate on which a semiconductor element is formed by using a coating film made of an organic material, and forms a flat film with good flatness and good crack resistance by heat treatment. be able to.

以下、この処理装置を図13を参照して説明する。   Hereinafter, this processing apparatus will be described with reference to FIG.

この処理装置は、密閉容器501と、密閉容器501の底面に配置されたホットプレート502と、密閉容器501の上部を覆う蓋503と、密閉容器501内の温度をホットプレート502と同じ温度に保つために、密閉容器501を囲んで設けられたヒータ504と、を備えている。   In this processing apparatus, the sealed container 501, the hot plate 502 disposed on the bottom surface of the sealed container 501, the lid 503 that covers the top of the sealed container 501, and the temperature in the sealed container 501 are maintained at the same temperature as the hot plate 502. Therefore, a heater 504 provided so as to surround the sealed container 501 is provided.

密閉容器501の上部には、密閉容器501と蓋503との間にガス導入口505とガス排出口506とが設けられている。   A gas inlet 505 and a gas outlet 506 are provided on the upper part of the sealed container 501 between the sealed container 501 and the lid 503.

この密閉容器501内のホットプレート502上に、ポリシロキサン塗布液が塗布されたウェハを搬入する。このとき、ホットプレート502は150℃とし、ガス導入口505からは150℃に加熱されたジプロピレングリコールモノエチルエーテルを溶媒ガスとして導入する。ウェハを60秒間溶媒ガスに晒した後、溶媒ガスの導入を中止し、次いで、窒素を導入して120秒間保持し、ウェハを密閉容器501から搬出する。   The wafer coated with the polysiloxane coating liquid is carried onto the hot plate 502 in the sealed container 501. At this time, the hot plate 502 is set to 150 ° C., and dipropylene glycol monoethyl ether heated to 150 ° C. is introduced as a solvent gas from the gas inlet 505. After the wafer is exposed to the solvent gas for 60 seconds, the introduction of the solvent gas is stopped, then nitrogen is introduced and held for 120 seconds, and the wafer is unloaded from the sealed container 501.

この処理装置によれば、ポリシロキサン塗布液からなる塗布膜中に含まれる溶媒を急激に蒸発させるという従来の単純なホットプレートによる加熱処理に代えて、ポリシロキサン塗布液の溶媒と同じ溶媒を密閉容器501中に導入して、塗布膜中の溶媒の蒸発を遅らせ、塗布膜の流動性を保ちながら塗布膜を平坦化させ、徐々に溶媒を蒸発させる。従って、従来のような塗布膜の急激な収縮によるクラックの発生がなく、平坦性の良い平坦化膜が得られるというものである。
特開平11−74261号公報
According to this processing apparatus, the same solvent as the solvent of the polysiloxane coating solution is hermetically sealed in place of the conventional simple hot plate heating process in which the solvent contained in the coating film made of the polysiloxane coating solution is rapidly evaporated. It introduce | transduces in the container 501, delays evaporation of the solvent in a coating film, planarizes a coating film, maintaining the fluidity | liquidity of a coating film, and evaporates a solvent gradually. Therefore, there is no generation of cracks due to abrupt shrinkage of the coating film as in the prior art, and a flattened film with good flatness can be obtained.
JP-A-11-74261

以上のように、図13に示した処理装置によれば、単なる平坦化膜の形成は可能である。   As described above, according to the processing apparatus shown in FIG. 13, a simple planarization film can be formed.

しかしながら、後述するように、本願発明者らが先に出願した特願2000−175138号に記載のレジストパターンリフローには図13に示した処理装置を使用することはできない。   However, as will be described later, the processing apparatus shown in FIG. 13 cannot be used for the resist pattern reflow described in Japanese Patent Application No. 2000-175138 filed earlier by the present inventors.

ここで、上述のレジストパターンリフローについて概略を説明する。   Here, an outline of the above-described resist pattern reflow will be described.

図14は、レジストパターンリフローを用いた半導体装置の製造プロセスの各過程を示す断面図である。   FIG. 14 is a cross-sectional view showing each step of a semiconductor device manufacturing process using resist pattern reflow.

先ず、図14(a)に示すように、透明性絶縁基板511の上にゲート電極512を形成し、ゲート絶縁膜513で透明性絶縁基板511及びゲート電極512を覆う。   First, as shown in FIG. 14A, a gate electrode 512 is formed on a transparent insulating substrate 511, and the transparent insulating substrate 511 and the gate electrode 512 are covered with a gate insulating film 513.

次いで、ゲート絶縁膜513上に半導体膜514、クロム515を堆積させる。この後、スピンコート法により塗布膜を塗布し、露光及び現像を行って、図14(a)に示すように、レジストパターン516を形成する。   Next, a semiconductor film 514 and chromium 515 are deposited over the gate insulating film 513. Thereafter, a coating film is applied by spin coating, and exposure and development are performed to form a resist pattern 516 as shown in FIG.

次に、レジストパターン516をマスクとしてクロム515のみをエッチングし、図14(b)に示すように、ソース・ドレイン電極517を形成する。   Next, only the chromium 515 is etched using the resist pattern 516 as a mask to form source / drain electrodes 517 as shown in FIG.

続いて、図14(c)に示すように、レジストパターン516をリフローさせ、少なくともエッチングされてはならない領域、この場合はTFTのバックチャネル領域518(図15(a)参照)を覆うようなレジストパターン536を形成する。   Subsequently, as shown in FIG. 14C, the resist pattern 516 is reflowed to cover at least a region that should not be etched, in this case, a back channel region 518 (see FIG. 15A) of the TFT. A pattern 536 is formed.

次いで、図15(a)に示すように、このレジストパターン536をマスクとして半導体膜514をエッチングして、半導体膜パターン518を形成する。   Next, as shown in FIG. 15A, the semiconductor film 514 is etched using the resist pattern 536 as a mask to form a semiconductor film pattern 518.

このように、レジストパターン516をリフローさせると、図15(b)の平面図に示されるように、ソース・ドレイン電極517の直下の領域以外の領域で形成される半導体膜パターン518が横方向に距離L(図15(a)及び(b)参照)だけ広くなる。この距離Lをレジストパターン536のリフロー距離と呼ぶ。   Thus, when the resist pattern 516 is reflowed, as shown in the plan view of FIG. 15B, the semiconductor film pattern 518 formed in the region other than the region immediately below the source / drain electrode 517 is laterally formed. The distance is increased by a distance L (see FIGS. 15A and 15B). This distance L is called the reflow distance of the resist pattern 536.

このようにして広げられたレジストパターン536がその下層にある半導体層514のエッチング加工寸法を決めることになるので、基板全面に渡ってリフロー距離Lの制御性は重要なポイントになる。   Since the resist pattern 536 thus spread determines the etching processing dimension of the semiconductor layer 514 therebelow, the controllability of the reflow distance L over the entire surface of the substrate is an important point.

しかしながら、図13に示した特許文献1に記載の装置では、単にガスをウェハ502表面に流すのみであり、ガスがウェハ502の全面に渡って均一にはならないので、リフロー距離Lを所望の値に正確に制御することは困難であることが分かった。   However, in the apparatus described in Patent Document 1 shown in FIG. 13, the gas is simply flowed to the surface of the wafer 502, and the gas does not become uniform over the entire surface of the wafer 502, so the reflow distance L is set to a desired value. It turned out to be difficult to control accurately.

本発明はこのような問題点に鑑みてなされたものであり、リフロー距離Lを所望の値に正確に制御することができる基板処理装置を提供することを目的とする。   The present invention has been made in view of such problems, and an object thereof is to provide a substrate processing apparatus capable of accurately controlling the reflow distance L to a desired value.

上記課題を解決するため、本発明の基板処理装置は、チャンバー内に配置された基板に暴露処理用ガスを吹き付ける基板処理装置であって、複数のガス導入口と少なくとも一つのガス排気口とを有するチャンバーと、前記複数のガス導入口を介して前記チャンバー内に暴露処理用ガスを導入するガス導入手段と、ガス分配手段と、を備え、前記ガス分配手段は、前記チャンバーの内部空間を、前記暴露処理用ガスが前記ガス導入口を介して導入される第一の空間と、前記基板が配置されている第二の空間とに分離し、前記第一の空間は、起立するように設けられた隔壁により複数の小空間に分割されており、前記隔壁には、隣り合う小空間を相互に連通させる孔或いは隙間が形成され、前記ガス分配手段には、前記第一の空間と前記第二の空間とを連通させる複数個の開口が形成されており、前記ガス分配手段は、前記第一の空間に導入された前記暴露処理用ガスを前記開口を介して前記第二の空間に導入させるものであることを特徴としている。 In order to solve the above problems, a substrate processing apparatus of the present invention is a substrate processing apparatus that blows an exposure processing gas onto a substrate disposed in a chamber, and includes a plurality of gas introduction ports and at least one gas exhaust port. A gas introduction means for introducing an exposure processing gas into the chamber through the plurality of gas introduction ports, and a gas distribution means, the gas distribution means comprising an internal space of the chamber, The exposure processing gas is separated into a first space into which the exposure gas is introduced through the gas inlet and a second space in which the substrate is disposed, and the first space is provided to stand upright. The partition wall is divided into a plurality of small spaces, and the partition wall is formed with holes or gaps that allow adjacent small spaces to communicate with each other. The gas distribution means includes the first space and the first space. Second sky A plurality of openings that communicate with each other, and the gas distribution means is configured to introduce the exposure processing gas introduced into the first space into the second space through the opening. It is characterized by being.

本発明の基板処理装置においては、前記ガス導入口毎にガス流量制御機構を備えていることが好ましい。   In the substrate processing apparatus of the present invention, it is preferable that a gas flow rate control mechanism is provided for each gas inlet.

本発明の基板処理装置においては、前記第一の空間の内部に配置され、前記ガス導入口を介して導入された前記暴露処理用ガスを拡散させ、前記暴露処理用ガスの濃度を均一にするための拡散部材をさらに備えることが好ましい。 In the substrate processing apparatus of the present invention, the exposure processing gas disposed inside the first space and introduced through the gas introduction port is diffused to make the concentration of the exposure processing gas uniform. It is preferable to further include a diffusion member .

本発明の基板処理装置においては、前記ガス分配手段は板状に構成されていることが好ましい。   In the substrate processing apparatus of this invention, it is preferable that the said gas distribution means is comprised by plate shape.

この場合、前記ガス分配手段は平板状に構成されていても良いし、或いは、前記基板に向かって凸又は凹となる曲面状に形成されていても良い。   In this case, the gas distribution means may be formed in a flat plate shape, or may be formed in a curved surface shape that is convex or concave toward the substrate.

前記ガス分配手段は、板状に構成され、その中心を回転中心として回転可能となっていることも好ましい。   It is also preferable that the gas distribution means is formed in a plate shape and is rotatable around the center thereof.

本発明の基板処理装置においては、前記ガス分配手段と重なり合って配置され、前記ガス分配手段に形成されている開口のうちの任意の個数の開口を塞ぐことにより、前記暴露処理用ガスの吹き出し範囲を規定するガス吹き出し範囲規定手段をさらに備えることも好ましい。   In the substrate processing apparatus of the present invention, the exposure processing gas blowout range is arranged by overlapping with the gas distribution means and closing any number of openings formed in the gas distribution means. It is also preferable to further comprise gas blowing range defining means for regulating

本発明の基板処理装置においては、前記基板が載置されるステージが、上下動可能に形成されていることも好ましい。   In the substrate processing apparatus of the present invention, it is also preferable that the stage on which the substrate is placed is formed to be movable up and down.

本発明の基板処理装置においては、前記基板が載置されるステージが、その軸心の周りに回転可能に形成されていることも好ましい。   In the substrate processing apparatus of the present invention, it is also preferable that the stage on which the substrate is placed is formed to be rotatable around its axis.

本発明の基板処理装置においては、前記基板の温度を調整する基板温度調整手段をさらに備えていることも好ましい。   The substrate processing apparatus of the present invention preferably further includes substrate temperature adjusting means for adjusting the temperature of the substrate.

この場合、前記基板温度調整手段は、前記基板が載置されるステージの温度を制御することにより、前記基板の温度を制御するものであることを好ましい例とする。   In this case, it is preferable that the substrate temperature adjusting means controls the temperature of the substrate by controlling the temperature of the stage on which the substrate is placed.

本発明の基板処理装置においては、前記暴露処理用ガスの温度を調整するガス温度調整手段をさらに備えていることも好ましい。   The substrate processing apparatus of the present invention preferably further comprises a gas temperature adjusting means for adjusting the temperature of the exposure processing gas.

本発明の基板処理装置においては、前記チャンバー内に配置された基板と前記ガス分配手段との間隔が5乃至15mmに設定されていることが好ましい。ただし、前記基板と前記ガス分配手段との間の間隔は2乃至100mmの範囲内に設定することが可能である。   In the substrate processing apparatus of the present invention, it is preferable that the distance between the substrate disposed in the chamber and the gas distribution means is set to 5 to 15 mm. However, the distance between the substrate and the gas distribution means can be set within a range of 2 to 100 mm.

前記暴露処理用ガスの流量は2乃至10リットル/分であることが好ましい。ただし、前記暴露処理用ガスの流量を1乃至100リットル/分とすることも可能である。   The flow rate of the exposure treatment gas is preferably 2 to 10 liters / minute. However, the flow rate of the exposure processing gas may be 1 to 100 liters / minute.

また、前記暴露処理用ガスの温度は摂氏20乃至25度であることが好ましい。ただし、前記暴露処理用ガスの温度は摂氏18乃至40度の範囲内にすることが可能である。   The temperature of the exposure processing gas is preferably 20 to 25 degrees Celsius. However, the temperature of the exposure processing gas can be in the range of 18 to 40 degrees Celsius.

前記ステージの温度は摂氏24乃至26度に設定されていることが好ましい。ただし、前記ステージの温度は摂氏18乃至40度の範囲に設定することが可能である。   The temperature of the stage is preferably set to 24 to 26 degrees Celsius. However, the temperature of the stage can be set in the range of 18 to 40 degrees Celsius.

前記チャンバー内の圧力は−20乃至+2KPaであることが好ましい。ただし、前記チャンバー内の圧力は−50乃至+50KPaの範囲に設定することが可能である。   The pressure in the chamber is preferably −20 to +2 KPa. However, the pressure in the chamber can be set in the range of −50 to +50 KPa.

本発明によれば、暴露処理用ガスはガス分配手段によって基板表面の全面に渡ってほぼ均一に吹き付けられることになるので、基板全面に渡ってリフロー距離Lを精度良く制御することができる。   According to the present invention, since the exposure processing gas is sprayed almost uniformly over the entire surface of the substrate by the gas distribution means, the reflow distance L can be accurately controlled over the entire surface of the substrate.

さらには、その暴露処理の前後あるいは暴露処理と同時に、基板に対してドライエッチング又はアッシング処理を行うことも可能である。   Furthermore, it is also possible to perform dry etching or ashing on the substrate before or after the exposure process or simultaneously with the exposure process.

(第一の実施形態)
図1は、本発明の第一の実施形態に係る基板処理装置の構成を示す断面図である。本実施形態に係る基板処理装置は、チャンバー内に配置された基板に対して暴露処理用ガスを均一に吹き付ける装置である。
(First embodiment)
FIG. 1 is a cross-sectional view showing the configuration of the substrate processing apparatus according to the first embodiment of the present invention. The substrate processing apparatus according to this embodiment is an apparatus that uniformly sprays an exposure processing gas to a substrate disposed in a chamber.

図1に示すように、本実施形態に係る基板処理装置100は、暴露処理チャンバー101と、暴露処理チャンバー101の内部に暴露処理用ガスを導入するガス導入機構120と、基板に暴露処理用ガスを吹き付けるガス吹き付け機構110と、を備えている。   As shown in FIG. 1, a substrate processing apparatus 100 according to this embodiment includes an exposure processing chamber 101, a gas introduction mechanism 120 for introducing an exposure processing gas into the exposure processing chamber 101, and an exposure processing gas to the substrate. A gas spraying mechanism 110 for spraying

暴露処理チャンバー101は、下部チャンバー10と上部チャンバー20とからなり、下部チャンバー10及び上部チャンバー20は下部チャンバー10に取り付けられたO−リング121を介して接合され、内部に気密空間を形成している。   The exposure processing chamber 101 includes a lower chamber 10 and an upper chamber 20, and the lower chamber 10 and the upper chamber 20 are joined through an O-ring 121 attached to the lower chamber 10 to form an airtight space therein. Yes.

暴露処理チャンバー101には複数個のガス導入口101aと2個のガス排気口101bとが形成されている。図示していないが、各ガス排気口101bには開度調節機構が設けられており、各ガス排気口101bの開口の割合を自在に調節することができるようになっている。   In the exposure processing chamber 101, a plurality of gas inlets 101a and two gas outlets 101b are formed. Although not shown, each gas exhaust port 101b is provided with an opening degree adjusting mechanism so that the ratio of the opening of each gas exhaust port 101b can be freely adjusted.

暴露処理チャンバー101の内部には、鉛直方向に上下動可能な昇降ステージ11が設けられており、基板1は、昇降ステージ11の上面に水平姿勢で載置される。昇降ステージ11は1乃至50mmの範囲内で上下動することができるように構成されている。   An elevating stage 11 that can move up and down in the vertical direction is provided inside the exposure processing chamber 101, and the substrate 1 is placed on the upper surface of the elevating stage 11 in a horizontal posture. The elevating stage 11 is configured to move up and down within a range of 1 to 50 mm.

ガス吹き付け機構110は、上部チャンバー20に形成された複数個のガス導入口101aの各々に挿入されたガス導入管24と、ガス導入管24の先端に取りつけられたガス拡散部材23と、ガス吹き出し板21と、ガス吹き出し板21を固定し、ガスの吹き出し範囲を規定するガス吹き出し板枠212と、を備えている。   The gas blowing mechanism 110 includes a gas introduction pipe 24 inserted into each of a plurality of gas introduction ports 101a formed in the upper chamber 20, a gas diffusion member 23 attached to the tip of the gas introduction pipe 24, and a gas blowout A plate 21 and a gas blowing plate frame 212 that fixes the gas blowing plate 21 and defines a gas blowing range are provided.

図2は、ガス吹き出し板21とガス吹き出し板枠212とを示す斜視図である。   FIG. 2 is a perspective view showing the gas blowing plate 21 and the gas blowing plate frame 212.

図2に示すように、ガス吹き出し板21は平板からなり、ガス吹き出し板21にはマトリクス状に複数個の開口211が形成されている。開口211は、ガス吹き出し板21の下方に位置する基板1の全域を覆うように、設けられている。   As shown in FIG. 2, the gas blowing plate 21 is a flat plate, and the gas blowing plate 21 has a plurality of openings 211 formed in a matrix. The opening 211 is provided so as to cover the entire area of the substrate 1 located below the gas blowing plate 21.

本実施形態においては、開口211の直径は0.5乃至3mmであり、隣接する開口211間の間隔は1乃至5mmである。   In the present embodiment, the diameter of the opening 211 is 0.5 to 3 mm, and the interval between the adjacent openings 211 is 1 to 5 mm.

図1に示すように、ガス吹き出し板21はガス拡散部材23と基板1との間に位置するように水平に取りつけられ、暴露処理チャンバー101の内部空間を、暴露処理用ガスがガス導入管24を介して導入される第一の空間102aと、基板1が配置されている第二の空間102bとに分離している。開口211は、この第一の空間102aと第二の空間102bとを連通させており、第一の空間102aに導入された暴露処理用ガスは開口211を介して第二の空間102bに導入される。   As shown in FIG. 1, the gas blowing plate 21 is horizontally mounted so as to be positioned between the gas diffusion member 23 and the substrate 1, and the exposure processing gas passes through the gas introduction pipe 24 in the internal space of the exposure processing chamber 101. Is separated into a first space 102a introduced through the second space 102b and a second space 102b in which the substrate 1 is disposed. The opening 211 allows the first space 102 a and the second space 102 b to communicate with each other, and the exposure processing gas introduced into the first space 102 a is introduced into the second space 102 b through the opening 211. The

図2に示すように、ガス吹き出し板枠212は、フレーム状の側壁212aと、側壁212aの下端から内側に向かって延びるフレーム状の延長部212bと、からなっている。   As shown in FIG. 2, the gas blowing plate frame 212 includes a frame-shaped side wall 212a and a frame-shaped extension 212b extending inward from the lower end of the side wall 212a.

ガス吹き出し板21はシール材214を介して延長部212b上に接着されている。これにより、ガス吹き出し板21とガス吹き出し板枠212との間には隙間がなくなり、ガス吹き出し板21の周囲から処理ガスが漏れ出すことがない。   The gas blowing plate 21 is bonded onto the extension portion 212b through a sealing material 214. As a result, there is no gap between the gas blowing plate 21 and the gas blowing plate frame 212, and the processing gas does not leak from the periphery of the gas blowing plate 21.

延長部212bの長さを適当な長さに設定することにより、ガス吹き出し板21に形成された開口211のいくつかが塞がれ、ガス吹き出し板21による暴露処理用ガスの吹き出し範囲が規定される。   By setting the length of the extension part 212b to an appropriate length, some of the openings 211 formed in the gas blowing plate 21 are blocked, and the blowing range of the exposure processing gas by the gas blowing plate 21 is defined. The

本実施形態においては、側壁212aの高さは5mm、延長部212bの長さは10mmであり、ガス吹き出し板枠212は基板1の上方10mmの位置に配置されている。   In the present embodiment, the height of the side wall 212 a is 5 mm, the length of the extension 212 b is 10 mm, and the gas blowing plate frame 212 is disposed at a position 10 mm above the substrate 1.

第一の空間102aに位置するガス拡散部材23は箱状の部材からなり、その外壁には複数個の孔が設けられている。   The gas diffusion member 23 located in the first space 102a is a box-shaped member, and a plurality of holes are provided on the outer wall thereof.

ガス導入管24を介して吹き出した暴露処理用ガスは、ガス拡散部材23の内部にあたって、一旦、ガス拡散部材23の内部に貯留されることによって、均一に拡散される。この結果、ガス拡散部材23の内部において暴露処理用ガスの濃度が均一になる。この後、暴露処理用ガスはガス拡散部材23の外部に放出される。   The exposure processing gas blown out through the gas introduction pipe 24 is once diffused uniformly by being stored inside the gas diffusion member 23 inside the gas diffusion member 23. As a result, the concentration of the exposure processing gas becomes uniform inside the gas diffusion member 23. Thereafter, the exposure processing gas is released to the outside of the gas diffusion member 23.

ただし、ガス拡散部材23の形状は上記の形状に限定されるものではなく、他の形状を取ることも可能である。図3にガス拡散部材23の一例を示す。   However, the shape of the gas diffusion member 23 is not limited to the above-described shape, and may take other shapes. FIG. 3 shows an example of the gas diffusion member 23.

図3に示すガス拡散部材23は中空の球形をなしており、外面には複数個の孔23aが形成され、ガス拡散部材23の内部と外部とを連通させている。   The gas diffusion member 23 shown in FIG. 3 has a hollow spherical shape, and a plurality of holes 23a are formed on the outer surface thereof, and the inside and the outside of the gas diffusion member 23 are communicated with each other.

ガス導入管24は球形のガス拡散部材23の中心まで延びており、ガス拡散部材23の中心から暴露処理用ガスがガス拡散部材23の内部に放出されるようになっている。このため、暴露処理用ガスはいずれの孔23aであっても等距離で孔23aに到達する。このように、暴露処理用ガスが孔23aに到達する間に暴露処理用ガスが拡散され、濃度が均一化される。   The gas introduction pipe 24 extends to the center of the spherical gas diffusion member 23, and the exposure processing gas is discharged from the center of the gas diffusion member 23 into the gas diffusion member 23. For this reason, the exposure processing gas reaches the hole 23a at an equal distance in any hole 23a. In this way, the exposure processing gas is diffused while the exposure processing gas reaches the hole 23a, and the concentration is made uniform.

なお、ガス拡散部材23に形成された複数個の孔(図3の場合孔23a)により、ガス吹出部が構成されている。   In addition, the gas blowing part is constituted by a plurality of holes (holes 23a in FIG. 3) formed in the gas diffusion member 23.

ガス導入機構120は、蒸気発生装置31と、蒸気発生装置31から発生した暴露処理用ガスを各ガス導入管24に供給するガス配管32と、を備えている。   The gas introduction mechanism 120 includes a steam generation device 31 and a gas pipe 32 that supplies an exposure processing gas generated from the steam generation device 31 to each gas introduction pipe 24.

蒸気発生装置31には、暴露処理用ガスを発生させる液体が貯留されている。この液体に対して、窒素(N2)ガスをバブリングすることにより、ガスが発生し、窒素ガスとともに暴露処理用ガス33として暴露処理チャンバー101に供給される。 The vapor generator 31 stores a liquid that generates an exposure treatment gas. By bubbling nitrogen (N 2 ) gas against the liquid, gas is generated and supplied to the exposure processing chamber 101 as the exposure processing gas 33 together with the nitrogen gas.

また、ガス導入機構120は、蒸気発生装置31を取り囲む貯蔵容器301を備えており、この貯蔵容器301には温度調整液が貯留されている。この温度調整液からの熱伝導によって蒸気発生装置31内の暴露処理用ガスを発生させる液体の温度を制御し、ひいては、暴露処理用ガス33の温度を制御する。   In addition, the gas introduction mechanism 120 includes a storage container 301 that surrounds the steam generator 31, and the temperature adjustment liquid is stored in the storage container 301. The temperature of the liquid that generates the exposure processing gas in the steam generator 31 is controlled by the heat conduction from the temperature adjusting liquid, and consequently the temperature of the exposure processing gas 33 is controlled.

温度調整液としては、例えば、エチレングリコールと純水とを混合した液体を用いる。なお、温度調整液としては、熱伝導性があり、凝固点が摂氏0度よりも低い液体であれば、いかなる液体をも用いることができる。温度調整液の温度調整は、ヒーターを用いた加熱、冷媒を用いた電子的な冷却、工場内の諸々の製造装置を冷却するための工場冷却水による冷却などにより行うことができる。   As the temperature adjustment liquid, for example, a liquid obtained by mixing ethylene glycol and pure water is used. As the temperature adjusting liquid, any liquid can be used as long as it has thermal conductivity and has a freezing point lower than 0 degrees Celsius. The temperature of the temperature adjusting liquid can be adjusted by heating using a heater, electronic cooling using a refrigerant, cooling with factory cooling water for cooling various manufacturing apparatuses in the factory, and the like.

本実施形態においては、暴露処理チャンバー101に供給される暴露処理用ガス33の流量は1乃至50L/minの範囲に設定されている。   In the present embodiment, the flow rate of the exposure processing gas 33 supplied to the exposure processing chamber 101 is set in the range of 1 to 50 L / min.

暴露処理チャンバー101において基板1に吹き付けられた暴露処理用ガスは、下部チャンバー10の周辺に形成されたガス排気口101bを介して、真空ポンプ(図示せず)により、排気される。ガス排気口101bには、複数個の孔が設けられた排気孔板131がかぶせられており、この排気孔板131により、処理後の暴露処理用ガスは均等に排気される。   The exposure processing gas blown to the substrate 1 in the exposure processing chamber 101 is exhausted by a vacuum pump (not shown) through a gas exhaust port 101b formed around the lower chamber 10. The gas exhaust port 101b is covered with an exhaust hole plate 131 provided with a plurality of holes. The exhaust hole plate 131 exhausts the exposed processing gas evenly.

なお、本実施形態においては、排気孔板131に設けられた孔の直径は2乃至10mm、隣接する孔の間の間隔は2乃至50mmの範囲に設定されている。   In the present embodiment, the diameter of the holes provided in the exhaust hole plate 131 is set to 2 to 10 mm, and the interval between adjacent holes is set to a range of 2 to 50 mm.

また、暴露処理チャンバー101内のガス雰囲気をより高純度にするためと、処理時間を秒単位で厳密に制御するためには、暴露処理チャンバー101内のガスの置換を短時間に行う必要がある。   Further, in order to make the gas atmosphere in the exposure processing chamber 101 more pure and to strictly control the processing time in seconds, it is necessary to replace the gas in the exposure processing chamber 101 in a short time. .

このような要求を満たすため、本願発明者の実験結果によれば、暴露処理チャンバー101の排気に用いる真空ポンプは、少なくとも50L/min以上の排気速度を有し、かつ、排気開始から1分経過後の暴露処理チャンバー101内の圧力が−100KPa以下になるような排気能力を有していることが必要であることが判明した。   In order to satisfy such a requirement, according to the experiment result of the inventor of the present application, the vacuum pump used for exhausting the exposure processing chamber 101 has an exhaust speed of at least 50 L / min and 1 minute has elapsed since the start of exhaust. It has been found that it is necessary to have an exhaust capability so that the pressure in the subsequent exposure treatment chamber 101 becomes -100 KPa or less.

次いで、本実施形態に係る基板処理装置100の動作及び本基板処理装置100を用いた基板1の処理方法を以下に説明する。   Next, the operation of the substrate processing apparatus 100 according to the present embodiment and the method for processing the substrate 1 using the substrate processing apparatus 100 will be described below.

先ず、処理する基板1を昇降ステージ11上に置き、下部チャンバー10及び上部チャンバー20を閉じ、昇降ステージ11を上下動させ、ガス吹き出し板21と基板1との間の距離を10mmに設定する。   First, the substrate 1 to be processed is placed on the lift stage 11, the lower chamber 10 and the upper chamber 20 are closed, the lift stage 11 is moved up and down, and the distance between the gas blowing plate 21 and the substrate 1 is set to 10 mm.

暴露処理チャンバー101内のガス雰囲気をより高純度にするため、暴露処理用ガスの導入前に暴露処理チャンバー101内を強制的に排気し、約−70KPa以下(大気圧を0KPaとする)になるようにする。   In order to make the gas atmosphere in the exposure processing chamber 101 more pure, the exposure processing chamber 101 is forcibly evacuated before the introduction of the exposure processing gas, and becomes about -70 KPa or less (atmospheric pressure is set to 0 KPa). Like that.

次いで、蒸気発生装置31に送り込む窒素ガスのガス圧を0.5kg/cm、流量を5.0L/minに設定し、窒素ガスを蒸気発生装置31に貯留されている処理液に流し込み、処理液(薬液)から気化したガスをバブル状に発生させる。   Next, the gas pressure of the nitrogen gas fed to the steam generator 31 is set to 0.5 kg / cm, the flow rate is set to 5.0 L / min, and the nitrogen gas is poured into the processing liquid stored in the steam generating apparatus 31, The gas evaporated from (chemical solution) is generated in a bubble shape.

処理液から気化したガスと窒素ガスとを含んだ暴露処理用ガス33を5.0L/minのガス流量でガス配管32に流す。   An exposure processing gas 33 containing gas vaporized from the processing liquid and nitrogen gas is caused to flow through the gas pipe 32 at a gas flow rate of 5.0 L / min.

暴露処理用ガス33はガス配管32及びガス導入管24を経てガス拡散部材23に貯留され、ガス拡散部材23の内部において、ガス濃度がほぼ均一になるように拡散される。その後、暴露処理用ガス33はガス拡散部材23から第一の空間102aに放出される。   The exposure processing gas 33 is stored in the gas diffusion member 23 through the gas pipe 32 and the gas introduction pipe 24, and is diffused in the gas diffusion member 23 so that the gas concentration is substantially uniform. Thereafter, the exposure processing gas 33 is released from the gas diffusion member 23 into the first space 102a.

各ガス拡散部材23から第一の空間102aに放出された暴露処理用ガス33はほぼ均一の濃度とほぼ均一の速度を有している。さらに、暴露処理用ガス33は第一の空間102aに一旦貯留されて、ガス濃度がさらに均一化される。このため、暴露処理用ガス33は、ガス吹き出し板21に設けられた開口211を介して均一に第二の空間102bに放出され、ひいては、昇降ステージ11上に載置されている基板1に対して、均一に吹き付けられる。   The exposure processing gas 33 released from each gas diffusion member 23 to the first space 102a has a substantially uniform concentration and a substantially uniform speed. Further, the exposure processing gas 33 is temporarily stored in the first space 102a, and the gas concentration is further uniformized. For this reason, the exposure processing gas 33 is uniformly discharged into the second space 102 b through the opening 211 provided in the gas blowing plate 21, and as a result, with respect to the substrate 1 placed on the elevating stage 11. And sprayed evenly.

この結果、基板1において、レジストパターン516のリフローが起きる(図17(a)参照)。   As a result, reflow of the resist pattern 516 occurs in the substrate 1 (see FIG. 17A).

暴露処理用ガス33をガス配管32、ガス導入管24及びガス拡散部材23を介して暴露処理チャンバー101の内部に流し続け、暴露処理チャンバー101内の圧力が陽圧(+0KPa以上)になったときに、ガス排気口101bを開放する。   When the exposure process gas 33 continues to flow into the exposure process chamber 101 through the gas pipe 32, the gas introduction pipe 24, and the gas diffusion member 23, and the pressure in the exposure process chamber 101 becomes positive (+0 KPa or more). Then, the gas exhaust port 101b is opened.

処理プロセス条件として暴露処理チャンバー101内の圧力を、例えば、+0.2KPaに設定した場合には、ガス排気口101bの開度を調整し、暴露処理チャンバー101内の圧力が+0.2KPaに維持されるようにする。   For example, when the pressure in the exposure processing chamber 101 is set to +0.2 KPa as the processing process condition, the opening degree of the gas exhaust port 101b is adjusted to maintain the pressure in the exposure processing chamber 101 at +0.2 KPa. So that

ただし、処理圧力としては−50Kpaから50KPaの範囲内の圧力を選ぶことが可能である。最適な圧力範囲は−20KPaから20KPaであり、特に望ましい圧力範囲は−5KPaから5KPaである。処理圧力は、その誤差が±0.1KPa以内になるように、制御される。   However, as the processing pressure, it is possible to select a pressure within a range of −50 Kpa to 50 KPa. The optimum pressure range is -20 KPa to 20 KPa, and a particularly desirable pressure range is -5 KPa to 5 KPa. The processing pressure is controlled so that the error is within ± 0.1 KPa.

一定の処理時間が経過したら、ガスの置換をすみやかに行うために、暴露処理用ガスを排出し、N2ガスで置換する方法をとる。 When a certain processing time has elapsed, a method of discharging the exposure processing gas and replacing it with N 2 gas is used in order to immediately replace the gas.

そのために、先ず、暴露処理用ガス33の導入を停止した後、真空排気を行い、暴露処理チャンバー101内の圧力を約−70KPa以下にする。さらに、図1の破線で示した経路のバルブを開き、チャンバー置換用ガスとして暴露処理チャンバー101内に窒素ガスその他の不活性ガスを20L/min以上の流量で流入させながら、真空ポンプを用いて、真空排気を少なくとも10秒以上行なう。この時の暴露処理チャンバー101の圧力としては、少なくとも−30KPaを維持するようにする。   For this purpose, first, the introduction of the exposure processing gas 33 is stopped, and then vacuum evacuation is performed to reduce the pressure in the exposure processing chamber 101 to about −70 KPa or less. Further, the valve of the path shown by the broken line in FIG. 1 is opened, and a vacuum pump is used while injecting nitrogen gas or other inert gas into the exposure processing chamber 101 as a chamber replacement gas at a flow rate of 20 L / min or more. Then, evacuation is performed for at least 10 seconds. At this time, at least −30 KPa is maintained as the pressure of the exposure processing chamber 101.

真空排気を止め、暴露処理チャンバー101の圧力が陽圧になるまで窒素ガスを導入し、暴露処理チャンバー101の圧力が約+2KPaになった時点で置換用の窒素ガスの導入を止める。   The evacuation is stopped and nitrogen gas is introduced until the pressure in the exposure processing chamber 101 becomes positive. When the pressure in the exposure processing chamber 101 reaches about +2 KPa, the introduction of the replacement nitrogen gas is stopped.

上部チャンバー20及び下部チャンバー10を開き、処理した基板1を取り出す。   The upper chamber 20 and the lower chamber 10 are opened, and the processed substrate 1 is taken out.

本実施形態において使用する有機膜パターンとしてのレジストの材料例を以下に説明する。レジストの材料には、有機溶剤に溶解するレジストと水溶性のレジストとがある。   An example of a resist material as an organic film pattern used in the present embodiment will be described below. Resist materials include resists that are soluble in organic solvents and water-soluble resists.

有機溶剤に溶解するレジストの例としては、高分子化合物に感光剤及び添加剤を加えた材料から構成されるレジストがある。   An example of a resist that dissolves in an organic solvent is a resist that is made of a material obtained by adding a photosensitizer and an additive to a polymer compound.

高分子化合物としては種々のものがあり、ポリビニル系ではポリビニルケイ皮酸エステルがある。ゴム系では、環化ポリイソプレンや環化ポリブタジエンにビスアジド化合物を混合したものがある。ノボラック樹脂系では、クレゾールノボラック樹脂とナフトキノンジアジド−5−スルフォン酸エステルを混合したものがある。アクリル酸の共重合樹脂系では、ポリアクリルアミドやポリアミド酸がある。   There are various kinds of polymer compounds, and polyvinyl cinnamate esters are used for polyvinyl compounds. Among rubber systems, there are cyclized polyisoprene and cyclized polybutadiene mixed with a bisazide compound. In the novolak resin system, there is a mixture of cresol novolac resin and naphthoquinonediazide-5-sulfonic acid ester. Examples of acrylic acid copolymer resin systems include polyacrylamide and polyamic acid.

また、水溶性のレジストの例としては、高分子化合物に感光剤及び添加剤を加えた材料から構成されるレジストがある。高分子化合物としては種々のものがあり、ポリアクリル酸、ポリビニルアセタール、ポリビニルピロリドン、ポリビニルアルコール、ポリエチレンイミン、ポリエチレンオキシド、スチレン−無水マレイン酸共重合体、ポリビニルアミン、ポリアリルアミン、オキサゾリン基含有水溶性樹脂、水溶性メラミン樹脂、水溶性尿素樹脂、アルキッド樹脂、スルホンアミドのうちのいずれか、あるいは、これらの2種類以上の混合物を用いたものが考えられる。   An example of a water-soluble resist is a resist composed of a material obtained by adding a photosensitizer and an additive to a polymer compound. There are various polymer compounds, such as polyacrylic acid, polyvinyl acetal, polyvinyl pyrrolidone, polyvinyl alcohol, polyethyleneimine, polyethylene oxide, styrene-maleic anhydride copolymer, polyvinylamine, polyallylamine, oxazoline group-containing water-soluble A resin, a water-soluble melamine resin, a water-soluble urea resin, an alkyd resin, a sulfonamide, or one using a mixture of two or more of these may be considered.

次に、レジスト膜を溶解させる溶剤に用いられる薬液の例を挙げる。
1.レジストが有機溶剤に溶解する場合
(a)有機溶剤
具体例として、有機溶剤を上位概念としての有機溶剤と、それを具体化した下位概念の有機溶剤とに分けて示す。(Rはアルキル基又は置換アルキル基、Arはフェニル基又はフェニル基以外の芳香環を示す)
・アルコール類(R−OH)
・アルコキシアルコール類
・エーテル類(R−O−R、Ar−O−R、Ar−O−Ar)
・エステル類
・ケトン類
・グリコール類
・アルキレングリコール類
・グリコールエーテル類
上記有機溶剤の具体例としては、次のようなものがある。
・CH3OH、C2H5OH、CH3(CH2)XOH
・イソプロピルアルコール(IPA)
・エトキシエタノール
・メトキシアルコール
・長鎖アルキルエステル
・モノエタノールアミン(MEA)
・アセトン
・アセチルアセトン
・ジオキサン
・酢酸エチル
・酢酸ブチル
・トルエン
・メチルエチルケトン(MEK)
・ジエチルケトン
・ジメチルスルホキシド(DMSO)
・メチルイソブチルケトン(MIBK)
・ブチルカルビトール
・n−ブチルアセテート(nBA)
・ガンマーブチロラクトン
・エチルセロソルブアセテート(ECA)
・乳酸エチル
・ピルビン酸エチル
・2−ヘプタノン(MAK)
・3−メトキシブチルアセテート
・エチレングリコール
・プロピレングリコール
・ブチレングリコール
・エチレングリコールモノエチルエーテル
・ジエチレングリコールモノエチルエーテル
・エチレングリコールモノエチルエーテルアセテート
・エチレングリコールモノメチルエーテル
・エチレングリコールモノメチルエーテルアセテート
・エチレングリコールモノ−n−ブチルエーテル
・ポリエチレングリコール
・ポリプロレングリコール
・ポリブチレングリコール
・ポリエチレングリコールモノエチルエーテル
・ポリジエチレングリコールモノエチルエーテル
・ポリエチレングリコールモノエチルエーテルアセテート
・ポリエチレングリコールモノメチルエーテル
・ポリエチレングリコールモノメチルエーテルアセテート
・ポリエチレングリコールモノ−n−ブチルエーテル
・メチル−3−メトキシプロピオネート(MMP)
・プロピレングリコールモノメチルエーテル(PGME)
・プロピレングリコールモノメチルエーテルアセテート(PGMEA)
・プロピレングリコールモノプロピルエーテル(PGP)
・プロピレングリコールモノエチルエーテル(PGEE)
・エチル−3−エトキシプロピオネート(FEP)
・ジプロピレングリコールモノエチルエーテル
・トリプロピレングリコールモノエチルエーテル
・ポリプロピレングリコールモノエチルエーテル
・プロピレングリコールモノメチルエーテルプロピオネート
・3−メトキシプロピオン酸メチル
・3−エトキシプロピオン酸エチル
・N−メチル−2−ピロリドン(NMP)
2.レジストが水溶性の場合
(a)水
(b)水を主成分とする水溶液
本願発明者は、本実施形態に係る基板処理装置100及び暴露処理用ガス33を用いて、以下のように、実際に基板上にパターニングされた塗布膜をリフローさせた。
Next, the example of the chemical | medical solution used for the solvent which dissolves a resist film is given.
1. When the resist is dissolved in an organic solvent (a) As specific examples of the organic solvent, the organic solvent is divided into an organic solvent as a superordinate concept and a subordinate concept organic solvent that embodies it. (R represents an alkyl group or a substituted alkyl group, Ar represents a phenyl group or an aromatic ring other than a phenyl group)
・ Alcohols (R-OH)
・ Alkoxy alcohols ・ Ethers (R—O—R, Ar—O—R, Ar—O—Ar)
-Esters, ketones, glycols, alkylene glycols, glycol ethers Specific examples of the organic solvent include the following.
CH3OH, C2H5OH, CH3 (CH2) XOH
・ Isopropyl alcohol (IPA)
・ Ethoxyethanol ・ Methoxy alcohol ・ Long chain alkyl ester ・ Monoethanolamine (MEA)
・ Acetone ・ Acetylacetone ・ Dioxane ・ Ethyl acetate ・ Butyl acetate ・ Toluene ・ Methyl ethyl ketone (MEK)
・ Diethyl ketone dimethyl sulfoxide (DMSO)
・ Methyl isobutyl ketone (MIBK)
・ Butyl carbitol ・ n-butyl acetate (nBA)
・ Gamma-butyrolactone ・ Ethyl cellosolve acetate (ECA)
・ Ethyl lactate ・ Ethyl pyruvate ・ 2-heptanone (MAK)
・ 3-methoxybutyl acetate ・ ethylene glycol ・ propylene glycol ・ butylene glycol ・ ethylene glycol monoethyl ether ・ diethylene glycol monoethyl ether ・ ethylene glycol monoethyl ether acetate ・ ethylene glycol monomethyl ether ・ ethylene glycol monomethyl ether acetate ・ ethylene glycol mono-n -Butyl ether, polyethylene glycol, polyprolene glycol, polybutylene glycol, polyethylene glycol monoethyl ether, polydiethylene glycol monoethyl ether, polyethylene glycol monoethyl ether acetate, polyethylene glycol monomethyl ether, polyethylene glycol monomethyl ether acetate, polyethylene Recall mono -n- butyl-methyl-3-methoxypropionate (MMP)
・ Propylene glycol monomethyl ether (PGME)
・ Propylene glycol monomethyl ether acetate (PGMEA)
・ Propylene glycol monopropyl ether (PGP)
・ Propylene glycol monoethyl ether (PGEE)
・ Ethyl-3-ethoxypropionate (FEP)
-Dipropylene glycol monoethyl ether-Tripropylene glycol monoethyl ether-Polypropylene glycol monoethyl ether-Propylene glycol monomethyl ether propionate-Methyl 3-methoxypropionate-Ethyl 3-ethoxypropionate-N-methyl-2-pyrrolidone (NMP)
2. When the resist is water-soluble (a) Water (b) An aqueous solution containing water as a main component The inventor of the present application actually uses the substrate processing apparatus 100 and the exposure processing gas 33 according to this embodiment as follows. Then, the coating film patterned on the substrate was reflowed.

まず、基板上にノボラック樹脂を主成分とするレジストからなる塗布膜を2.0μmの厚さに塗布し、幅10.0μm及び長さ20.0μmの塗布膜パターンを形成した。この塗布膜パターンを本実施形態に係る基板処理装置100において、暴露処理用ガス33としてNMPを用いて、リフローさせた。暴露処理用ガス33に含まれるN2ガスその他の条件は上記の第一の実施形態に記載した条件を用いた。 First, a coating film made of a resist mainly composed of a novolak resin was applied to a thickness of 2.0 μm on the substrate to form a coating film pattern having a width of 10.0 μm and a length of 20.0 μm. This coating film pattern was reflowed using NMP as the exposure processing gas 33 in the substrate processing apparatus 100 according to the present embodiment. The conditions described in the first embodiment were used for N 2 gas and other conditions contained in the exposure treatment gas 33.

図4は、塗布膜パターンの横方向へのリフロー距離のリフロー時間依存性を示したものである。この時に用いた上記の条件以外のリフローの主要条件は下記の通りである。
(1)暴露処理用ガス及び流量:処理液蒸気5L/min、N2ガス5L/min
(2)暴露処理用ガス温度:22℃
(3)昇降ステージ11とガス吹き出し板21との間隔:10mm
(4)昇降ステージ11の温度:26℃
(5)暴露処理チャンバー101内の処理圧力:+0.2KPa
図4からわかるように、塗布膜パターンのリフロー距離はリフロー時間に対してほぼリニアな関係で変化する。従って、リフロー距離はリフロー時間で制御することが可能である。
FIG. 4 shows the reflow time dependence of the reflow distance in the horizontal direction of the coating film pattern. Main conditions for reflow other than the above conditions used at this time are as follows.
(1) Gas for exposure treatment and flow rate: treatment liquid vapor 5 L / min, N 2 gas 5 L / min
(2) Gas temperature for exposure treatment: 22 ° C
(3) Distance between the lifting stage 11 and the gas blowing plate 21: 10 mm
(4) Temperature of elevating stage 11: 26 ° C
(5) Processing pressure in the exposure processing chamber 101: +0.2 KPa
As can be seen from FIG. 4, the reflow distance of the coating film pattern changes in a substantially linear relationship with the reflow time. Therefore, the reflow distance can be controlled by the reflow time.

図5は、塗布膜パターンのリフロー後のリフロー距離の基板内での均一性を示すグラフである。   FIG. 5 is a graph showing the uniformity in the substrate of the reflow distance after the reflow of the coating film pattern.

図4において示したリフロー条件において、リフロー時間、処理ガス温度、昇降ステージ11とガス吹き出し板21との間隔、昇降ステージ11の温度、暴露処理チャンバー101内の処理圧力を固定し、処理ガス流量を変化させた。これら以外の条件は図4の条件と同じ条件を用いた。   Under the reflow conditions shown in FIG. 4, the reflow time, the processing gas temperature, the distance between the elevating stage 11 and the gas blowing plate 21, the temperature of the elevating stage 11 and the processing pressure in the exposure processing chamber 101 are fixed, and the processing gas flow rate is set. Changed. Conditions other than these were the same as the conditions in FIG.

この測定では、塗布膜パターンのリフロー時間を5分とし、リフローさせた後の塗布膜パターンのリフロー距離を測定した。測定点は基板1の10箇所をほぼ平面的に均等に渡って測定した。10箇所の測定値における最大値をTmax、最小値をTmin、それらの平均値をTmeanとすると、測定点でのリフロー距離TxのばらつきTxsは次式で表される。
Txs=|(Tmean―Tx)/Tmean|
図5からわかるように、暴露処理用ガス33の流量が2乃至10L/minの間では、基板1内におけるリフロー距離のばらつきが約5%と極めて良い結果が得られた。
In this measurement, the reflow time of the coating film pattern was set to 5 minutes, and the reflow distance of the coating film pattern after reflowing was measured. The measurement points were measured at approximately 10 locations on the substrate 1 substantially evenly in a plane. The variation Txs of the reflow distance Tx at the measurement points is expressed by the following equation, where Tmax is the maximum value of the ten measurement values, Tmin is the minimum value, and Tmean is the average value thereof.
Txs = | (Tmean−Tx) / Tmean |
As can be seen from FIG. 5, when the flow rate of the exposure processing gas 33 was between 2 and 10 L / min, the reflow distance variation in the substrate 1 was about 5%, which was a very good result.

発明者の実験によれば、リフロー処理の制御因子としては、レジストパターンへの暴露処理用ガス33の供給量が最も重要である。ガス吹き出し板21を設け、基板1の各部分ごとに暴露処理用ガス33の供給量を制御することにより、リフロー距離を自在に制御することが可能である。   According to the inventor's experiment, the supply amount of the exposure process gas 33 to the resist pattern is the most important as a control factor of the reflow process. By providing the gas blowing plate 21 and controlling the supply amount of the exposure processing gas 33 for each part of the substrate 1, the reflow distance can be freely controlled.

図6は、塗布膜パターンのリフロー後のリフロー距離の基板内での均一性を示すグラフである。   FIG. 6 is a graph showing the uniformity in the substrate of the reflow distance after the reflow of the coating film pattern.

図4において示したリフロー条件において、リフロー時間、処理ガス温度、処理ガス流量、昇降ステージ11の温度、暴露処理チャンバー101内の処理圧力を固定し、昇降ステージ11とガス吹き出し板21との間隔を変化させた。   Under the reflow conditions shown in FIG. 4, the reflow time, the processing gas temperature, the processing gas flow rate, the temperature of the lifting / lowering stage 11 and the processing pressure in the exposure processing chamber 101 are fixed, and the distance between the lifting / lowering stage 11 and the gas blowing plate 21 is set. Changed.

図6から明らかであるように、昇降ステージ11とガス吹き出し板21との間隔を5乃至15mmの範囲に設定すれば、リフロー距離は基板1内で約10%以内のばらつきに抑えることができることが分かった。   As is clear from FIG. 6, if the distance between the elevating stage 11 and the gas blowing plate 21 is set in the range of 5 to 15 mm, the reflow distance can be suppressed within about 10% within the substrate 1. I understood.

図7は、塗布膜パターンのリフロー速度を示すグラフである。   FIG. 7 is a graph showing the reflow rate of the coating film pattern.

図4において示したリフロー条件において、リフロー時間、処理ガス温度、処理ガス流量、昇降ステージ11とガス吹き出し板21との間隔、暴露処理チャンバー101内の処理圧力を固定し、昇降ステージ11の温度を変化させた。   Under the reflow conditions shown in FIG. 4, the reflow time, the processing gas temperature, the processing gas flow rate, the distance between the lifting stage 11 and the gas blowing plate 21 and the processing pressure in the exposure processing chamber 101 are fixed, and the temperature of the lifting stage 11 is adjusted. Changed.

図7から明らかであるように、昇降ステージ11の温度を24乃至26℃に設定することにより、塗布膜パターンのリフロー速度が10μm/分近傍で安定することがわかる。   As is apparent from FIG. 7, it can be seen that the reflow rate of the coating film pattern is stabilized in the vicinity of 10 μm / min by setting the temperature of the elevating stage 11 to 24 to 26 ° C.

以上の測定結果から、本実施形態に係る基板処理装置100において、以下の条件の下に、基板1に対する暴露処理用ガス33の暴露処理を行うことにより、マスクとしての機能を保持しつつ、塗布膜パターンのリフロー距離を基板1内で10%以内に抑えることができる。
(1)暴露処理用ガス及び流量:処理液蒸気2乃至10L/min、窒素ガス2乃至10L/min
(2)暴露処理用ガス温度:20乃至26℃
(3)昇降ステージ11とガス吹き出し板21との間隔:5乃至15mm
(4)昇降ステージ11の温度:24乃至26℃
(5)暴露処理チャンバー101内の処理圧力−1乃至+2KPa
本実施形態に係る基板処理装置100はレジストのリフローを行うための装置として説明したが、基板処理装置100は、レジストのリフロー以外の使用目的に用いることも可能である。例えば、半導体基板の表面を酸洗浄したり、あるいは、基板に対するレジストの密着性向上のために使用することも可能である。このような場合には、次のような薬液が使用される。
(A)酸を主成分とする溶液(表面洗浄用)
・塩酸・弗化水素・その他酸溶液
(B)無機−有機混合溶剤(有機膜の密着力強化に用いる場合)
・ヘキサメチルジシラザン等のシランカップリング剤
(第二の実施形態)
図8は、第二の実施形態に係る基板処理装置の構成を示す断面図である。本実施形態に係る基板処理装置200は、第一の実施形態に係る基板処理装置100と同様に、チャンバー内に配置された基板に対して暴露処理用ガスを均一に吹き付ける装置である。
From the above measurement results, in the substrate processing apparatus 100 according to the present embodiment, the exposure processing gas 33 is exposed to the substrate 1 under the following conditions, thereby applying the mask while maintaining the function as a mask. The reflow distance of the film pattern can be suppressed within 10% in the substrate 1.
(1) Gas for exposure treatment and flow rate: treatment liquid vapor 2 to 10 L / min, nitrogen gas 2 to 10 L / min
(2) Gas temperature for exposure treatment: 20 to 26 ° C
(3) Distance between the lifting stage 11 and the gas blowing plate 21: 5 to 15 mm
(4) Elevating stage 11 temperature: 24 to 26 ° C
(5) Processing pressure in the exposure processing chamber 101 −1 to +2 KPa
Although the substrate processing apparatus 100 according to the present embodiment has been described as an apparatus for performing resist reflow, the substrate processing apparatus 100 can also be used for purposes other than resist reflow. For example, the surface of the semiconductor substrate can be cleaned with an acid or used to improve the adhesion of the resist to the substrate. In such a case, the following chemicals are used.
(A) Solution mainly containing acid (for surface cleaning)
・ Hydrochloric acid ・ Hydrogen fluoride ・ Other acid solutions (B) Inorganic-organic mixed solvent (when used for enhancing adhesion of organic films)
Silane coupling agents such as hexamethyldisilazane (second embodiment)
FIG. 8 is a cross-sectional view showing the configuration of the substrate processing apparatus according to the second embodiment. Similar to the substrate processing apparatus 100 according to the first embodiment, the substrate processing apparatus 200 according to the present embodiment is an apparatus that uniformly sprays an exposure processing gas to a substrate disposed in a chamber.

第一の実施形態に係る基板処理装置100の構成要素と同一の構造及び機能を有する構成要素には同一の符号を付してある。   Components having the same structure and function as those of the substrate processing apparatus 100 according to the first embodiment are denoted by the same reference numerals.

本願発明者の実験によれば、基板1に対する処理プロセスの安定化及び均一化を高め、さらに、反応速度を制御するためには、各機構の温度調整をする必要があることが分かった。このため、本実施形態に係る基板処理装置200においては、以下のように、温度調整機構が設けられている。   According to the experiments of the present inventor, it has been found that it is necessary to adjust the temperature of each mechanism in order to increase the stabilization and uniformity of the processing process for the substrate 1 and to control the reaction rate. For this reason, the substrate processing apparatus 200 according to the present embodiment is provided with a temperature adjustment mechanism as follows.

下部チャンバー10においては、基板1の温度を調整するため、昇降ステージ11の内部を中空とし、昇降ステージ11の内部に温度調節液112を流し、循環させることにより、昇降ステージ11全体の温度調節を行う。   In the lower chamber 10, in order to adjust the temperature of the substrate 1, the inside of the elevating stage 11 is hollow, and the temperature adjusting liquid 112 is flowed and circulated inside the elevating stage 11, thereby adjusting the temperature of the entire elevating stage 11. Do.

また、上部チャンバー20の内部を中空とし、上部チャンバー20の内部に温度調節液221を流し、循環させることにより、上部チャンバー20のみならず、熱伝導を利用して、上部チャンバー20に接しているガス導入管24、ガス拡散部材23及びガス吹き出し板21の温度調節を行う。   Further, the inside of the upper chamber 20 is hollow, and the temperature adjusting liquid 221 is made to flow inside the upper chamber 20 and circulates to contact the upper chamber 20 using not only the upper chamber 20 but also heat conduction. The temperature of the gas introduction pipe 24, the gas diffusion member 23, and the gas blowing plate 21 is adjusted.

次に、ガス導入機構120においては、供給される暴露処理用ガス33の温度を調整するため、貯留容器301の内部を中空とし、貯留容器301の内部に温度調節液を流し、循環させることにより、暴露処理用ガス33の温度調節を行う。   Next, in the gas introduction mechanism 120, in order to adjust the temperature of the supplied exposure processing gas 33, the inside of the storage container 301 is made hollow, and the temperature adjusting liquid is made to flow inside the storage container 301 and circulate. The temperature of the exposure treatment gas 33 is adjusted.

制御が必要な温度範囲としては10乃至80℃、特に、20乃至50℃の範囲で制御可能であることが必要であり、さらに、少なくとも±3℃以内、特に±0.5℃以内で制御できるようにすることが必要であることが判明した。   The temperature range that needs to be controlled needs to be controllable within a range of 10 to 80 ° C., particularly 20 to 50 ° C., and can be controlled within at least ± 3 ° C., particularly within ± 0.5 ° C. It was found necessary to do so.

次いで、本実施形態に係る基板処理装置200の動作及び本基板処理装置200を用いた基板1の処理方法を以下に説明する。   Next, the operation of the substrate processing apparatus 200 according to the present embodiment and the method for processing the substrate 1 using the substrate processing apparatus 200 will be described below.

先ず、温度調節液112を24℃に設定し、昇降ステージ11及び基板1の温度が同温度になるようにする。   First, the temperature adjusting liquid 112 is set to 24 ° C. so that the temperature of the elevating stage 11 and the substrate 1 becomes the same temperature.

貯留容器301に流し込む温度調節液は26℃に設定し、ガス導入機構120からの暴露処理用ガス33の温度が同温度になるようにする。   The temperature adjusting liquid poured into the storage container 301 is set to 26 ° C. so that the temperature of the exposure processing gas 33 from the gas introduction mechanism 120 becomes the same temperature.

温度調節液221も26℃に設定し、ガス吹き出し板21、上部チャンバー20及びガス拡散器23の温度が同温度になるようにする。   The temperature adjusting liquid 221 is also set to 26 ° C. so that the gas blowing plate 21, the upper chamber 20 and the gas diffuser 23 have the same temperature.

この後、第一の実施形態に係る基板処理装置100を用いた基板1の処理方法と同様の過程を実施する。   Thereafter, the same process as the substrate 1 processing method using the substrate processing apparatus 100 according to the first embodiment is performed.

(第一及び第二の実施形態の変形例)
上述の第一の実施形態に係る基板処理装置100及び第二の実施形態に係る基板処理装置200の構造は上記の構造に限定されるものではなく、以下に述べるように、種々の変更が可能である。
(Modification of the first and second embodiments)
The structures of the substrate processing apparatus 100 according to the first embodiment and the substrate processing apparatus 200 according to the second embodiment are not limited to the above structures, and various modifications are possible as described below. It is.

先ず、ガス吹き付け機構110において、次のような変更が可能である。   First, in the gas blowing mechanism 110, the following changes are possible.

第一及び第二の実施形態においては、各ガス導入管24の上流側に1個のガス流量制御機構を設け、このガス流量制御機構から各ガス導入管24に暴露処理用ガス33を分配することを想定したが、ガス導入管24の各々に暴露処理用ガス33の流量を調節するガス流量制御機構を設けることも可能である。このガス流量制御機構は、マスフロー制御、流量計を用いた制御、単なるバルブの開角度の制御などを行うことにより、暴露処理用ガス33の流量を制御することができる。   In the first and second embodiments, one gas flow rate control mechanism is provided upstream of each gas introduction pipe 24, and the exposure processing gas 33 is distributed to each gas introduction pipe 24 from this gas flow rate control mechanism. However, it is possible to provide a gas flow rate control mechanism for adjusting the flow rate of the exposure processing gas 33 in each of the gas introduction pipes 24. The gas flow rate control mechanism can control the flow rate of the exposure processing gas 33 by performing mass flow control, control using a flow meter, simple valve opening angle control, and the like.

第一の実施形態に係る基板処理装置100においては、複数個のガス拡散部材23は全て第一の空間102aの内部に配置されているが、1個のガス導入管24ごとに、あるいは、複数本のガス導入管24ごとに隔壁で囲むことにより、第一の空間102aを複数個の小空間に分割し、各小空間に一個または二個以上のガス拡散部材23が配置されるようにすることも可能である。   In the substrate processing apparatus 100 according to the first embodiment, the plurality of gas diffusion members 23 are all arranged in the first space 102a, but each gas introduction pipe 24 or a plurality of gas diffusion members 23 are arranged. By enclosing each gas introduction pipe 24 with a partition wall, the first space 102a is divided into a plurality of small spaces, and one or two or more gas diffusion members 23 are arranged in each small space. It is also possible.

図9は、第一の空間102a内において、1個のガス導入管24ごとに隔壁103を設けた例を示す断面図である。   FIG. 9 is a cross-sectional view showing an example in which a partition wall 103 is provided for each gas introduction pipe 24 in the first space 102a.

このようにして、暴露処理用ガス33を各小空間から第二の空間102bへガス分配手段21を介して吹き出させる際に、ガス導入管24毎に制御され、小空間ごとに制御されたガス流量を第二の空間102bの位置ごとに制御することが可能になる。これにより、第二の空間102bに置かれた基板1に対してその基板1上の位置によらずに、さらに均一な濃度のガスを吹き付けることができる。   In this way, when the exposure processing gas 33 is blown out from each small space to the second space 102b through the gas distribution means 21, the gas is controlled for each gas introduction pipe 24 and is controlled for each small space. The flow rate can be controlled for each position of the second space 102b. As a result, a gas having a more uniform concentration can be sprayed on the substrate 1 placed in the second space 102b regardless of the position on the substrate 1.

なお、各小空間は隔壁103により相互に密閉されていることは必ずしも必要ではなく、隔壁103に孔あるいは隙間を設けることにより、隣接する小空間相互間において部分的に気体が連通するようにすることも可能である。   Note that it is not always necessary that the small spaces be sealed with each other by the partition wall 103. By providing a hole or a gap in the partition wall 103, gas is partially communicated between adjacent small spaces. It is also possible.

また、隔壁103により第一の空間102aを複数の小空間に分割する場合、各小空間に1個ずつのガス導入管24を対応させることは必ずしも必要ではなく、例えば、図10に示すように、複数の小空間の何れか一つに対応させてガス導入管24を1個のみ設けることも可能である。この場合には、各隔壁103には孔103aを開けておいて、ガス導入管24から吹き出した暴露処理用ガス33が孔103aを介して全ての小空間に行き渡るようにする。   Further, when the first space 102a is divided into a plurality of small spaces by the partition wall 103, it is not always necessary to correspond one gas introduction pipe 24 to each small space. For example, as shown in FIG. It is also possible to provide only one gas introduction pipe 24 corresponding to any one of a plurality of small spaces. In this case, holes 103a are formed in each partition wall 103 so that the exposure processing gas 33 blown out from the gas introduction pipe 24 reaches all the small spaces through the holes 103a.

第一の実施形態に係る基板処理装置100においては、ガス吹き出し板21は平板として形成されているが、基板1に向かって凸状の、あるいは、凹状の円弧状の曲面を有する板から構成することも可能である。   In the substrate processing apparatus 100 according to the first embodiment, the gas blowing plate 21 is formed as a flat plate, but is configured from a plate having a convex or concave arcuate curved surface toward the substrate 1. It is also possible.

また、第一の実施形態に係る基板処理装置100においては、ガス吹き出し板21は上部チャンバー20に対して固定されているが、ガス吹き出し板21をその中心を回転中心として回転可能に形成することも可能である。例えば、モーターその他の動力源を用いて、暴露処理用ガス33が基板1に対して吹き付けられている間にガス吹き出し板21を回転させることにより、より均一に暴露処理用ガス33を基板1に対して吹き付けることができる。   Further, in the substrate processing apparatus 100 according to the first embodiment, the gas blowing plate 21 is fixed to the upper chamber 20, but the gas blowing plate 21 is formed to be rotatable around the center thereof. Is also possible. For example, the exposure gas 33 is rotated more uniformly on the substrate 1 by rotating the gas blowing plate 21 while the exposure gas 33 is blown against the substrate 1 using a motor or other power source. Can be sprayed against.

さらに、ガス吹き出し板21のみならず、昇降ステージ11もその軸心を回転中心として回転可能に形成することが可能である。   Further, not only the gas blowing plate 21 but also the elevating stage 11 can be formed to be rotatable about its axis.

例えば、ガス吹き出し板21と昇降ステージ11の双方を相互に逆方向に回転させることにより、より均一に暴露処理用ガス33を基板1に対して吹き付けることができる。   For example, the exposure processing gas 33 can be sprayed more uniformly onto the substrate 1 by rotating both the gas blowing plate 21 and the elevating stage 11 in opposite directions.

また、暴露処理チャンバー101の内部に暴露処理チャンバー101の内圧を測定するための圧力測定素子を設け、暴露処理チャンバー101の内部を真空排気する真空排気装置を圧力測定素子が測定した圧力に応じて作動させることにより、暴露処理チャンバー101の内圧を自動調整することが可能である。   In addition, a pressure measuring element for measuring the internal pressure of the exposure processing chamber 101 is provided inside the exposure processing chamber 101, and a vacuum exhaust device that evacuates the inside of the exposure processing chamber 101 according to the pressure measured by the pressure measuring element. By operating, the internal pressure of the exposure processing chamber 101 can be automatically adjusted.

(第三の実施形態)
図11は、本発明の第三の実施形態に係る基板処理装置の構成を示す断面図である。第一の実施形態に係る基板処理装置100がチャンバー内に配置された基板に対して暴露処理用ガスを均一に吹き付ける装置であったのに対して、本実施形態に係る基板処理装置400は、チャンバー内に配置された基板に対して暴露処理用ガスを均一に吹き付けるとともに、基板に対してドライエッチング又はアッシング処理をも行う装置である。
(Third embodiment)
FIG. 11 is a cross-sectional view showing the configuration of the substrate processing apparatus according to the third embodiment of the present invention. Whereas the substrate processing apparatus 100 according to the first embodiment is an apparatus that uniformly sprays the exposure processing gas to the substrate disposed in the chamber, the substrate processing apparatus 400 according to the present embodiment includes: This is an apparatus that uniformly blows an exposure processing gas onto a substrate disposed in a chamber and also performs dry etching or ashing on the substrate.

なお、ドライエッチング又はアッシング処理は暴露処理の前または後に行うことができ、あるいは、暴露処理と同時に行うことも可能である。   The dry etching or ashing process can be performed before or after the exposure process, or can be performed simultaneously with the exposure process.

第一の実施形態に係る基板処理装置100の構成要素と同一の構造及び機能を有する構成要素には同一の符号を付してある。   Components having the same structure and function as those of the substrate processing apparatus 100 according to the first embodiment are denoted by the same reference numerals.

本実施形態に係る基板処理装置400は、第一の実施形態に係る基板処理装置100の構成に加えて、プラズマ発生機構を備えており、このプラズマ発生機構は、上部チャンバー20とガス吹き出し板21との間に配置された上部電極410と、昇降ステージ11の内部に配置された下部電極420と、コンデンサ422と、RF高周波電源423と、から構成されている。   The substrate processing apparatus 400 according to the present embodiment includes a plasma generation mechanism in addition to the configuration of the substrate processing apparatus 100 according to the first embodiment. The plasma generation mechanism includes the upper chamber 20 and the gas blowing plate 21. , The lower electrode 420 disposed inside the elevating stage 11, the capacitor 422, and the RF high frequency power source 423.

上部電極410は上部電極配線411を介してアース412に接続されている。   The upper electrode 410 is connected to the ground 412 through the upper electrode wiring 411.

また、下部電極420は、下部電極配線421を介してコンデンサ422及びRF高周波電源423に接続されており、最終的には、アース424に接続されている。   Further, the lower electrode 420 is connected to the capacitor 422 and the RF high frequency power source 423 through the lower electrode wiring 421, and is finally connected to the ground 424.

本実施形態に係る基板処理装置400は、以下のようにして、基板1に対する暴露処理及びドライエッチング又はアッシング処理を施す。   The substrate processing apparatus 400 according to the present embodiment performs exposure processing and dry etching or ashing processing on the substrate 1 as follows.

まず、基板1上に被エッチング膜のパターンを形成する。その上に更に形成するレジスト膜のマスクパターン(以後「レジストマスク」と呼ぶ)を前述の第一の実施形態の場合と同様にして変形する。すなわち、基板1を暴露処理用ガス33に対して暴露させることにより、レジストマスクを溶解リフローさせ、そのパターンを変形させる。   First, a pattern of a film to be etched is formed on the substrate 1. A mask pattern (hereinafter referred to as “resist mask”) of a resist film further formed thereon is modified in the same manner as in the first embodiment. That is, by exposing the substrate 1 to the exposure processing gas 33, the resist mask is dissolved and reflowed, and the pattern is deformed.

ここで、そのレジストマスクが溶解リフロー変形を起こす前後において、基板1上に形成されている被エッチング膜のパターンに対して、異なるパターン状態のレジストマスクでエッチング加工を行う。   Here, before and after the resist mask undergoes dissolution reflow deformation, the etching process is performed on the pattern of the film to be etched formed on the substrate 1 with a resist mask having a different pattern state.

これにより、基板1上の被エッチング膜のパターンとしては、2種類のエッチングパターンを形成することができる。   Thereby, two types of etching patterns can be formed as the pattern of the film to be etched on the substrate 1.

ただし、このレジストマスクに対しては、O2プラズマを用いたアッシング処理と呼ばれる処理も併せて行われる。 However, a process called an ashing process using O 2 plasma is also performed on the resist mask.

本実施形態に係る基板処理装置400におけるドライエッチング又はアッシング処理は以下のようにして行われる。ただし、本実施形態に係る基板処理装置400において行われるドライエッチング又はアッシング処理は通常のドライエッチング又はアッシング処理と同様のものである。   The dry etching or ashing process in the substrate processing apparatus 400 according to the present embodiment is performed as follows. However, the dry etching or ashing process performed in the substrate processing apparatus 400 according to the present embodiment is the same as the normal dry etching or ashing process.

まず、暴露処理チャンバー101内に基板1を搭載し、真空引きして、暴露処理チャンバー101内の残留ガスを除去する。この場合の暴露処理チャンバー101内の圧力は約1Pa以下である。   First, the substrate 1 is mounted in the exposure processing chamber 101 and evacuated to remove residual gas in the exposure processing chamber 101. In this case, the pressure in the exposure processing chamber 101 is about 1 Pa or less.

次に、ドライエッチング処理の場合には、エッチングガスとして、例えば、Cl2/O2/He(Cr等のメタルのエッチングの場合)、アッシング処理の場合には、ガスとして、O2のみ又はO2/CF4等の混合ガスを暴露処理チャンバー101内に導入する。 Next, in the case of dry etching processing, as an etching gas, for example, Cl 2 / O 2 / He (in the case of etching of a metal such as Cr), and in the case of ashing processing, only O 2 or O 2 is used as the gas. A mixed gas such as 2 / CF 4 is introduced into the exposure processing chamber 101.

その場合の暴露処理チャンバー101内の圧力は10Pa乃至120Paの範囲で一定に保つ。   In this case, the pressure in the exposure processing chamber 101 is kept constant in the range of 10 Pa to 120 Pa.

次に、上部電極410と下部電極420の間に、RF高周波電源623とコンデンサ622を用いてプラズマ放電をさせることにより、基板1に対してドライエッチング又はアッシング処理を行う。   Next, plasma etching is performed between the upper electrode 410 and the lower electrode 420 using the RF high-frequency power source 623 and the capacitor 622, thereby performing dry etching or ashing on the substrate 1.

本実施形態においては、下部電極420はコンデンサ622及びRF高周波電源623を介して接地されているが、下部電極420はRF高周波電源623のみを介して接地するように構成することも可能である。   In the present embodiment, the lower electrode 420 is grounded via the capacitor 622 and the RF high-frequency power source 623, but the lower electrode 420 may be configured to be grounded only via the RF high-frequency power source 623.

また、本実施形態においては、上部電極410が直接接地され、下部電極420がコンデンサ622及びRF高周波電源623を介して接地されているが、これとは逆に、下部電極420が直接接地され、上部電極410がコンデンサ622及びRF高周波電源623を介して、あるいは、RF高周波電源623のみを介して接地されているように構成することも可能である。   In the present embodiment, the upper electrode 410 is directly grounded, and the lower electrode 420 is grounded via the capacitor 622 and the RF high frequency power source 623. On the contrary, the lower electrode 420 is directly grounded, It is also possible to configure the upper electrode 410 to be grounded via the capacitor 622 and the RF high frequency power source 623 or only via the RF high frequency power source 623.

さらに、暴露処理チャンバー101内にプラズマを発生させるためのプラズマ発生機構は本実施形態におけるプラズマ発生機構に限定されるものではなく、他のプラズマ発生機構を用いることが可能である。   Furthermore, the plasma generation mechanism for generating plasma in the exposure processing chamber 101 is not limited to the plasma generation mechanism in the present embodiment, and other plasma generation mechanisms can be used.

以上のように、本実施形態に係る基板処理装置400によれば、基板1に体する暴露処理と、ドライエッチング又はアッシング処理とを一つのチャンバーで行うことが可能である。   As described above, according to the substrate processing apparatus 400 according to the present embodiment, it is possible to perform the exposure process on the substrate 1 and the dry etching or ashing process in one chamber.

なお、暴露処理で使用する暴露処理用ガス33とドライエッチング又はアッシング処理で使用する各種ガスとはそれぞれ別個のガス導入機構を介して暴露処理チャンバー101内に導入してもよく、あるいは、単一のガス導入機構を共用して、暴露処理チャンバー101内に導入することも可能である。ただし、暴露処理とドライエッチング又はアッシング処理とを同時に行う必要がある場合には、別個のガス導入機構を設けることが必要である。   Note that the exposure process gas 33 used in the exposure process and the various gases used in the dry etching or ashing process may be introduced into the exposure process chamber 101 through separate gas introduction mechanisms, or a single gas may be used. It is also possible to introduce into the exposure processing chamber 101 by sharing the gas introduction mechanism. However, when it is necessary to perform the exposure process and the dry etching or ashing process at the same time, it is necessary to provide a separate gas introduction mechanism.

また、本実施形態に係る基板処理装置400においても、第二の実施形態に係る基板処理装置200と同様に、上部電極410及び下部電極420の温度を一定に維持するための温度調節機構を設けることができる。   In addition, the substrate processing apparatus 400 according to the present embodiment is also provided with a temperature adjustment mechanism for maintaining the temperatures of the upper electrode 410 and the lower electrode 420 constant as in the substrate processing apparatus 200 according to the second embodiment. be able to.

(第四の実施形態)
図12は、本発明の第四の実施形態に係る基板処理装置の構成を示す概略図である。本実施形態に係る基板処理装置600は、処理対象の基板を大気中から暴露処理チャンバーに移送し、処理終了後に、基板を暴露処理チャンバーから再び大気中に戻すまでのプロセスを連続して行うことを可能にする装置である。
(Fourth embodiment)
FIG. 12 is a schematic view showing the configuration of the substrate processing apparatus according to the fourth embodiment of the present invention. The substrate processing apparatus 600 according to the present embodiment continuously performs a process of transferring a substrate to be processed from the atmosphere to the exposure processing chamber and returning the substrate from the exposure processing chamber to the atmosphere again after the processing is completed. It is a device that enables.

本実施形態に係る基板処理装置600は、3個の処理チャンバー601と、3個の処理チャンバー601のそれぞれと連結し、処理前の基板を減圧状態の下において処理チャンバー601に搬入し、あるいは、処理後の基板を減圧状態の下において処理チャンバー601から搬出するため減圧搬送チャンバー602と、減圧搬送チャンバー602と連結し、処理前の基板を大気圧の下に外部から搬入し、減圧状態の下において基板を減圧搬送チャンバー602に搬入するとともに、処理後の基板を減圧状態の下において減圧搬送チャンバー602から搬出し、大気圧の下にその基板を外部に搬出する圧力調整搬送チャンバー603と、基板を圧力調整搬送チャンバー603内に移送し、あるいは、基板を圧力調整搬送チャンバー603から搬出するための基板搬入搬出用移載機構604と、から構成されている。   The substrate processing apparatus 600 according to the present embodiment is connected to each of the three processing chambers 601 and the three processing chambers 601, and carries the substrate before processing into the processing chamber 601 under a reduced pressure state, or In order to carry out the processed substrate from the processing chamber 601 under the reduced pressure state, the substrate is connected to the reduced pressure transfer chamber 602 and the reduced pressure transfer chamber 602, and the substrate before the processing is carried in from the outside under the atmospheric pressure. A pressure adjusting transfer chamber 603 for transferring the substrate into the reduced pressure transfer chamber 602, unloading the processed substrate from the reduced pressure transfer chamber 602 under a reduced pressure state, and unloading the substrate to the outside under atmospheric pressure; Is transferred into the pressure adjustment transfer chamber 603 or the substrate is transferred from the pressure adjustment transfer chamber 603. A substrate loading and unloading a transfer mechanism 604 for, and a.

3個の処理チャンバー601のそれぞれには上述の第一乃至第三の実施形態に係る基板処理装置100、200、400の何れかが搭載されている。   Each of the three processing chambers 601 is equipped with any of the substrate processing apparatuses 100, 200, and 400 according to the first to third embodiments described above.

以下、本実施形態に係る基板処理装置600の動作を説明する。   Hereinafter, the operation of the substrate processing apparatus 600 according to the present embodiment will be described.

先ず、処理対象の基板は大気圧の下で基板搬入搬出用移載機構604により圧力調整搬送チャンバー603内に移送される。   First, the substrate to be processed is transferred into the pressure adjustment transfer chamber 603 by the transfer mechanism 604 for transferring in / out the substrate under atmospheric pressure.

基板が圧力調整搬送チャンバー603内に移送された後、圧力調整搬送チャンバー603は基板搬入搬出用移載機構604と遮断状態になり、圧力調整搬送チャンバー603の内部は減圧され、真空状態になる。この状態の下で、基板は圧力調整搬送チャンバー603から減圧搬送チャンバー602に搬送される。減圧搬送チャンバー602は常に真空状態にされている。   After the substrate is transferred into the pressure adjustment transfer chamber 603, the pressure adjustment transfer chamber 603 is disconnected from the substrate loading / unloading transfer mechanism 604, and the inside of the pressure adjustment transfer chamber 603 is depressurized to be in a vacuum state. Under this state, the substrate is transferred from the pressure adjustment transfer chamber 603 to the decompression transfer chamber 602. The decompression transfer chamber 602 is always in a vacuum state.

次いで、基板は減圧搬送チャンバー602から何れかの処理チャンバー601に搬送され、その処理チャンバー601において処理(例えば、暴露処理あるいはアッシング処理)が施される。   Next, the substrate is transferred from the reduced pressure transfer chamber 602 to one of the processing chambers 601, and processing (for example, exposure processing or ashing processing) is performed in the processing chamber 601.

処理終了後、基板は処理チャンバー601から減圧搬送チャンバー602に搬送される。必要な場合には、基板は、再度、他の処理チャンバー601に搬送され、他の種類の処理が施される。   After the processing is completed, the substrate is transferred from the processing chamber 601 to the reduced pressure transfer chamber 602. If necessary, the substrate is transferred again to another processing chamber 601 and subjected to other types of processing.

次いで、基板は減圧搬送チャンバー602から真空状態にある圧力調整搬送チャンバー603に搬送される。基板が圧力調整搬送チャンバー603内に搬送された後、圧力調整搬送チャンバー603は内圧を上げ、真空状態から大気圧状態に移行する。   Next, the substrate is transferred from the reduced pressure transfer chamber 602 to a pressure adjustment transfer chamber 603 in a vacuum state. After the substrate is transferred into the pressure adjustment transfer chamber 603, the pressure adjustment transfer chamber 603 increases the internal pressure and shifts from a vacuum state to an atmospheric pressure state.

その後、圧力調整搬送チャンバー603は基板搬入搬出用移載機構604との遮断状態を解除し、処理後の基板を基板搬入搬出用移載機構604に搬出する。   After that, the pressure adjustment transfer chamber 603 releases the interruption state with the substrate loading / unloading transfer mechanism 604 and unloads the processed substrate to the substrate loading / unloading transfer mechanism 604.

次いで、基板搬入搬出用移載機構604は基板を外部に搬出する。   Next, the substrate loading / unloading transfer mechanism 604 unloads the substrate to the outside.

以上のように、本実施形態に係る基板処理装置600によれば、基板を連続的に処理することができる。   As described above, according to the substrate processing apparatus 600 according to the present embodiment, the substrate can be continuously processed.

本発明の第一の実施形態に係る基板処理装置の構成を示す断面図である。It is sectional drawing which shows the structure of the substrate processing apparatus which concerns on 1st embodiment of this invention. 第一の実施形態に係る基板処理装置におけるガス吹き出し板及びガス吹き出し板枠を示す斜視図である。It is a perspective view which shows the gas blowing plate and gas blowing plate frame in the substrate processing apparatus which concerns on 1st embodiment. ガス拡散部材の一例を示す斜視図である。It is a perspective view which shows an example of a gas diffusion member. 塗布膜のリフロー距離のリフロー時間依存性を示すグラフである。It is a graph which shows the reflow time dependence of the reflow distance of a coating film. リフロー後の塗布膜の膜厚の基板内均一性の蒸気流量依存性を示すグラフである。It is a graph which shows the vapor flow rate dependence of the uniformity in a board | substrate of the film thickness of the coating film after reflow. リフロー後の塗布膜の膜厚の基板内均一性を昇降ステージとガス吹き出し板との間隔を変化させたときについて測定したグラフである。It is the graph which measured the uniformity in the board | substrate of the film thickness of the coating film after reflow about the time of changing the space | interval of a raising / lowering stage and a gas blowing plate. 塗布膜のリフロー速度の昇降ステージの温度に対する依存性を示すグラフである。It is a graph which shows the dependence with respect to the temperature of the raising / lowering stage of the reflow speed of a coating film. 本発明の第二の実施形態に係る基板処理装置の構成を示す断面図である。It is sectional drawing which shows the structure of the substrate processing apparatus which concerns on 2nd embodiment of this invention. 本発明の第一または第二の実施形態に係る基板処理装置の変形例を示す断面図である。It is sectional drawing which shows the modification of the substrate processing apparatus which concerns on 1st or 2nd embodiment of this invention. 本発明の第一または第二の実施形態に係る基板処理装置の変形例を示す断面図である。It is sectional drawing which shows the modification of the substrate processing apparatus which concerns on 1st or 2nd embodiment of this invention. 本発明の第三の実施形態に係る基板処理装置の構成を示す断面図である。It is sectional drawing which shows the structure of the substrate processing apparatus which concerns on 3rd embodiment of this invention. 本発明の第四の実施形態に係る基板処理装置の構成を示す断面図である。It is sectional drawing which shows the structure of the substrate processing apparatus which concerns on 4th embodiment of this invention. 従来の塗布膜の平坦化装置を示す模式的な断面図である。It is typical sectional drawing which shows the conventional planarization apparatus of a coating film. 従来の塗布膜の平坦化装置を薄膜トランジスタの製造工程に適用した場合の薄膜トランジスタの製造工程の一部を示す断面図である。It is sectional drawing which shows a part of manufacturing process of a thin-film transistor when the conventional planarization apparatus of a coating film is applied to the manufacturing process of a thin-film transistor. 図14に続く製造工程を示す断面図及び平面図である。It is sectional drawing and the top view which show the manufacturing process following FIG.

符号の説明Explanation of symbols

1 基板
10 下部チャンバー
11 昇降ステージ
20 上部チャンバー
21 ガス吹き出し板
23 ガス拡散部材
24 ガス導入管
31 蒸気発生装置
32 ガス配管
33 暴露処理用ガス
100 第一の実施形態に係る基板処理装置
101 暴露処理チャンバー
110 ガス吹き付け機構
103 隔壁
112、221 温度調節液
120 ガス導入機構
121 O−リング
200 第二の実施形態に係る基板処理装置
400 第三の実施形態に係る基板処理装置
410 上部電極
411 上部電極配線
412、424 アース
420 下部電極
421 下部電極配線
422 コンデンサ
423 RF高周波電源
600 第四の実施形態に係る基板処理装置
601 処理チャンバー
602 減圧搬送チャンバー
603 圧力調整搬送チャンバー
604 基板搬入搬出用移載機構
DESCRIPTION OF SYMBOLS 1 Substrate 10 Lower chamber 11 Lifting stage 20 Upper chamber 21 Gas blowing plate 23 Gas diffusion member 24 Gas introduction pipe 31 Steam generator 32 Gas piping 33 Exposure processing gas 100 Substrate processing apparatus 101 according to the first embodiment Exposure processing chamber DESCRIPTION OF SYMBOLS 110 Gas spray mechanism 103 Partition 112, 221 Temperature control liquid 120 Gas introduction mechanism 121 O-ring 200 Substrate processing apparatus 400 according to the second embodiment Substrate processing apparatus 410 according to the third embodiment Upper electrode 411 Upper electrode wiring 412 424 Earth 420 Lower electrode 421 Lower electrode wiring 422 Capacitor 423 RF high frequency power supply 600 Substrate processing apparatus 601 according to the fourth embodiment Processing chamber 602 Depressurization transfer chamber 603 Pressure adjustment transfer chamber 604 Transfer mechanism for substrate loading / unloading

Claims (13)

チャンバー内に配置された基板に暴露処理用ガスを吹き付ける基板処理装置であって、
複数のガス導入口と少なくとも一つのガス排気口とを有するチャンバーと、
前記複数のガス導入口を介して前記チャンバー内に暴露処理用ガスを導入するガス導入手段と、
ガス分配手段と、
を備え、
前記ガス分配手段は、前記チャンバーの内部空間を、前記暴露処理用ガスが前記ガス導入口を介して導入される第一の空間と、前記基板が配置されている第二の空間とに分離し、
前記第一の空間は、起立するように設けられた隔壁により複数の小空間に分割されており、
前記隔壁には、隣り合う小空間を相互に連通させる孔或いは隙間が形成され、
前記ガス分配手段には、前記第一の空間と前記第二の空間とを連通させる複数個の開口が形成されており、
前記ガス分配手段は、前記第一の空間に導入された前記暴露処理用ガスを前記開口を介して前記第二の空間に導入させるものであることを特徴とする基板処理装置。
A substrate processing apparatus for spraying an exposure processing gas onto a substrate disposed in a chamber,
A chamber having a plurality of gas inlets and at least one gas outlet;
Gas introduction means for introducing an exposure treatment gas into the chamber through the plurality of gas introduction ports;
Gas distribution means;
With
The gas distribution means divides the internal space of the chamber into a first space into which the exposure processing gas is introduced through the gas inlet and a second space in which the substrate is disposed. ,
The first space is divided into a plurality of small spaces by partition walls provided so as to stand upright,
The partition wall is formed with a hole or a gap that allows adjacent small spaces to communicate with each other.
The gas distribution means is formed with a plurality of openings for communicating the first space and the second space,
The substrate processing apparatus , wherein the gas distribution means introduces the exposure processing gas introduced into the first space into the second space through the opening .
前記ガス導入口毎にガス流量制御機構を備えていることを特徴とする請求項1に記載の基板処理装置。 The substrate processing apparatus according to claim 1, further comprising a gas flow rate control mechanism for each gas inlet . 前記第一の空間の内部に配置され、前記ガス導入口を介して導入された前記暴露処理用ガスを拡散させ、前記暴露処理用ガスの濃度を均一にするための拡散部材をさらに備えることを特徴とする請求項1又は2に記載の基板処理装置。 A diffusion member disposed inside the first space, for diffusing the exposure treatment gas introduced through the gas introduction port, and making the concentration of the exposure treatment gas uniform ; The substrate processing apparatus according to claim 1 or 2, characterized in that: 前記ガス分配手段は板状に構成されていることを特徴とする請求項1乃至3のいずれか一項に記載の基板処理装置。 4. The substrate processing apparatus according to claim 1, wherein the gas distribution unit is configured in a plate shape . 前記ガス分配手段は前記基板に向かって凸又は凹の曲面状に形成されていることを特徴とする請求項4に記載の基板処理装置。 5. The substrate processing apparatus according to claim 4, wherein the gas distribution unit is formed in a convex or concave curved shape toward the substrate. 前記ガス分配手段は、板状に構成され、その中心を回転中心として回転可能となっていることを特徴とする請求項1乃至5の何れか一項に記載の基板処理装置。 The substrate processing apparatus according to claim 1, wherein the gas distribution unit is configured in a plate shape and is rotatable about a center of the gas distribution unit . 前記ガス分配手段と重なり合って配置され、前記ガス分配手段に形成されている開口のうちの任意の個数の開口を塞ぐことにより、前記暴露処理用ガスの吹き出し範囲を規定するガス吹き出し範囲規定手段をさらに備えることを特徴とする請求項1乃至6の何れか一項に記載の基板処理装置。 Gas blowing range defining means for defining the blowing range of the exposure processing gas by closing an arbitrary number of openings formed in the gas distributing means so as to overlap the gas distributing means. The substrate processing apparatus according to claim 1, further comprising: 前記基板が載置されるステージが、上下動可能に形成されていることを特徴とする請求項1乃至7の何れか一項に記載の基板処理装置。 The substrate processing apparatus according to claim 1, wherein the stage on which the substrate is placed is formed to be movable up and down . 前記基板が載置されるステージが、その軸心の周りに回転可能に形成されていることを特徴とする請求項1乃至8の何れか一項に記載の基板処理装置。 The substrate processing apparatus according to claim 1, wherein the stage on which the substrate is placed is formed to be rotatable around an axis thereof . 前記基板の温度を調整する基板温度調整手段をさらに備えていることを特徴とする請求項1乃至9の何れか一項に記載の基板処理装置。 The substrate processing apparatus according to claim 1, further comprising substrate temperature adjusting means for adjusting the temperature of the substrate. 前記基板温度調整手段は、前記基板が載置されるステージの温度を制御することにより、前記基板の温度を制御するものであることを特徴とする請求項10に記載の基板処理装置。 The substrate processing apparatus according to claim 10, wherein the substrate temperature adjusting unit controls the temperature of the substrate by controlling the temperature of a stage on which the substrate is placed . 前記暴露処理用ガスの温度を調整するガス温度調整手段をさらに備えていること特徴とする請求項1乃至11の何れか一項に記載の基板処理装置。 The substrate processing apparatus according to claim 1 , further comprising a gas temperature adjusting unit that adjusts a temperature of the exposure processing gas . 前記チャンバー内に配置された基板と前記ガス分配手段との間隔が5乃至15mmに設定されていることを特徴とする請求項1乃至12のいずれか一項に記載の基板処理装置。 The substrate processing apparatus according to claim 1, wherein an interval between the substrate disposed in the chamber and the gas distribution unit is set to 5 to 15 mm .
JP2004042530A 2001-08-28 2004-02-19 Substrate processing equipment Expired - Fee Related JP3808472B2 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2004042530A JP3808472B2 (en) 2001-08-28 2004-02-19 Substrate processing equipment

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2001258187 2001-08-28
JP2004042530A JP3808472B2 (en) 2001-08-28 2004-02-19 Substrate processing equipment

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
JP2002216877A Division JP3886424B2 (en) 2001-08-28 2002-07-25 Substrate processing apparatus and method

Publications (2)

Publication Number Publication Date
JP2004186706A JP2004186706A (en) 2004-07-02
JP3808472B2 true JP3808472B2 (en) 2006-08-09

Family

ID=32774234

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2004042530A Expired - Fee Related JP3808472B2 (en) 2001-08-28 2004-02-19 Substrate processing equipment

Country Status (1)

Country Link
JP (1) JP3808472B2 (en)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5145654B2 (en) * 2006-05-29 2013-02-20 日本電気株式会社 Substrate processing apparatus and substrate processing method
JP2008117964A (en) * 2006-11-06 2008-05-22 Tokyo Electron Ltd Reflow method, pattern forming method and manufacturing method of tft

Also Published As

Publication number Publication date
JP2004186706A (en) 2004-07-02

Similar Documents

Publication Publication Date Title
JP3886424B2 (en) Substrate processing apparatus and method
JP4985183B2 (en) Substrate processing apparatus, substrate processing method, and storage medium
US6514347B2 (en) Apparatus and method for plasma treatment
US8703000B2 (en) Slimming method of carbon-containing thin film and oxidation apparatus
US20230038611A1 (en) Uv cure for local stress modulation
KR102016773B1 (en) Method of manufacturing a semiconductor device
KR20080114612A (en) Substrate processing apparatus and shower head
KR20060128843A (en) Method for depositing materials on a substarate
JP2009044169A (en) Methods and apparatus for processing semiconductor wafer using plasma processing chamber in wafer track environment
WO2003036694A1 (en) Thermal treatment equipment and thermal treatment method
JP3808472B2 (en) Substrate processing equipment
JP3808473B2 (en) Substrate processing equipment
JP4513985B2 (en) Substrate processing equipment
JP3808474B2 (en) Substrate processing equipment
JP2006261683A (en) Substrate treatment system
JP2004207751A (en) Apparatus and method for processing substrate
JP2004186705A (en) Substrate processing apparatus
WO2020008831A1 (en) Substrate heat processing device and substrate heat processing method
JP2008060303A (en) Heat treatment device
KR20070097924A (en) Dry etch device
JP2002009042A (en) Plasma etching device and method

Legal Events

Date Code Title Description
A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20060116

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20060120

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20060320

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20060427

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20060517

R150 Certificate of patent or registration of utility model

Ref document number: 3808472

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

S111 Request for change of ownership or part of ownership

Free format text: JAPANESE INTERMEDIATE CODE: R313113

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20100526

Year of fee payment: 4

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20100526

Year of fee payment: 4

S111 Request for change of ownership or part of ownership

Free format text: JAPANESE INTERMEDIATE CODE: R313113

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20100526

Year of fee payment: 4

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20110526

Year of fee payment: 5

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20110526

Year of fee payment: 5

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20120526

Year of fee payment: 6

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20120526

Year of fee payment: 6

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130526

Year of fee payment: 7

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130526

Year of fee payment: 7

S111 Request for change of ownership or part of ownership

Free format text: JAPANESE INTERMEDIATE CODE: R313113

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130526

Year of fee payment: 7

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130526

Year of fee payment: 7

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

LAPS Cancellation because of no payment of annual fees