JP3797471B2 - マルチスレッドvliwプロセッサにおける分割可能なパケットを識別するための方法および装置 - Google Patents

マルチスレッドvliwプロセッサにおける分割可能なパケットを識別するための方法および装置 Download PDF

Info

Publication number
JP3797471B2
JP3797471B2 JP2001094461A JP2001094461A JP3797471B2 JP 3797471 B2 JP3797471 B2 JP 3797471B2 JP 2001094461 A JP2001094461 A JP 2001094461A JP 2001094461 A JP2001094461 A JP 2001094461A JP 3797471 B2 JP3797471 B2 JP 3797471B2
Authority
JP
Japan
Prior art keywords
instruction
packet
instructions
processor
thread
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP2001094461A
Other languages
English (en)
Other versions
JP2001306324A (ja
Inventor
ディヴィッド ベレンバウム アラン
ヘインツ ネヴィン
イー.ジェレミアッセン トア
カックシラス ステファノス
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Agere Systems LLC
Original Assignee
Agere Systems LLC
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Agere Systems LLC filed Critical Agere Systems LLC
Publication of JP2001306324A publication Critical patent/JP2001306324A/ja
Application granted granted Critical
Publication of JP3797471B2 publication Critical patent/JP3797471B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F9/00Arrangements for program control, e.g. control units
    • G06F9/06Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
    • G06F9/30Arrangements for executing machine instructions, e.g. instruction decode
    • G06F9/38Concurrent instruction execution, e.g. pipeline or look ahead
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F9/00Arrangements for program control, e.g. control units
    • G06F9/06Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
    • G06F9/30Arrangements for executing machine instructions, e.g. instruction decode
    • G06F9/38Concurrent instruction execution, e.g. pipeline or look ahead
    • G06F9/3885Concurrent instruction execution, e.g. pipeline or look ahead using a plurality of independent parallel functional units
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F9/00Arrangements for program control, e.g. control units
    • G06F9/06Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
    • G06F9/30Arrangements for executing machine instructions, e.g. instruction decode
    • G06F9/38Concurrent instruction execution, e.g. pipeline or look ahead
    • G06F9/3836Instruction issuing, e.g. dynamic instruction scheduling or out of order instruction execution
    • G06F9/3851Instruction issuing, e.g. dynamic instruction scheduling or out of order instruction execution from multiple instruction streams, e.g. multistreaming
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F9/00Arrangements for program control, e.g. control units
    • G06F9/06Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
    • G06F9/30Arrangements for executing machine instructions, e.g. instruction decode
    • G06F9/38Concurrent instruction execution, e.g. pipeline or look ahead
    • G06F9/3836Instruction issuing, e.g. dynamic instruction scheduling or out of order instruction execution
    • G06F9/3853Instruction issuing, e.g. dynamic instruction scheduling or out of order instruction execution of compound instructions
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F9/00Arrangements for program control, e.g. control units
    • G06F9/06Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
    • G06F9/30Arrangements for executing machine instructions, e.g. instruction decode
    • G06F9/38Concurrent instruction execution, e.g. pipeline or look ahead
    • G06F9/3854Instruction completion, e.g. retiring, committing or graduating

Landscapes

  • Engineering & Computer Science (AREA)
  • Software Systems (AREA)
  • Theoretical Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Engineering & Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Multimedia (AREA)
  • Advance Control (AREA)
  • Executing Machine-Instructions (AREA)
  • Devices For Executing Special Programs (AREA)

Description

【0001】
【発明の属する技術分野】
本発明は一般にマルチスレッドプロセッサに関し、特に、このようなマルチスレッドプロセッサ内でパケットを分割するための方法および装置に関する。
【0002】
【従来の技術】
コンピュータアーキテクチャの設計は、さらに早くワークロードを完了しようと試みている。プログラムの平行処理を使用するための、多くのアーキテクチャ設計が提案または提言されてきた。一般に、一度に複数の動作を開始できるアーキテクチャは、一度に1つの動作しか開始できないアーキテクチャよりも早くプログラムを実行できる。コンピュータアーキテクチャにおけるもっとも最近の発展は、一度に1つ以上の動作を開始し、それによってプログラム動作の速度を上げる方法に向けられてきた。図1は、従来のマイクロプロセッサのアーキテクチャ100を示す。具体的には、マイクロプロセッサ100はプログラムカウンタ(PC)110、レジスタセット120、および多くの機能単位(FU)130−Nを含む。冗長機能単位130―1〜130―Nは、例としてのマイクロプロセッサのアーキテクチャ100に十分なハードウェアリソースを提供して、対応する数の動作を並列的に実行する。
【0003】
プログラム内で平行処理を使用するアーキテクチャは、一度に複数の機能単位にオペランドを発行して、プログラム実行の速度を上げる。スーパースカラープロセッサ、超長命令語プロセッサ、およびマルチスレッドプロセッサを含む、並列アーキテクチャを伴う多くのアーキテクチャが提案または提言されており、各々はそれぞれ、図2、図4、および図5と共に下記に説明される。一般に、スーパースカラープロセッサは実行時にハードウェアを使用して、単一の命令ストリームからの多くの動作が独立しているか否かを動的に決定し、独立している場合は、プロセッサは並列演算論理機構(ALU)を使用して命令を実行する。ソースオペランドがそれに先行する命令の宛先オペランドに依存していない場合、2つの命令は独立していると言われる。超長命令語プロセッサは、依存性の情報に基づいてコンパイル中に命令を評価し、動作を適切にグループ分けし、並列実行する。他方マルチスレッドプロセッサは、単一の命令ストリーム内で並列処理を使用しようと試みるのではなく、複数の命令ストリームを並列に実行する。
【0004】
図2に示されたスーパースカラープロセッサのアーキテクチャ200は、各々に有効なデータが提供された場合、独立して動作する多くの機能単位を有する。たとえば図2に示されたように、スーパースカラープロセッサ200は演算論理機構230―Nとして具現化された3つの機能単位を有し、その各々は同時に結果を計算できる。スーパースカラープロセッサ200は、命令フェッチブロック210、命令復号ブロック215、および命令順序付けユニット220(発行ブロック)を有するフロントエンド部208を含む。命令フェッチブロック210は単一スレッドの命令ストリームの入力待ち行列205から命令を得る。命令順序付けユニット220は、知られた方法で使用可能な演算論理機構230―Nの中で同時に実行できる独立した命令を識別する。詳細化ブロック250は命令が完了することを可能にし、バッファ化および再順序付けを提供して、結果をレジスタセット240に書き込んで戻す。
【0005】
図3に示されたプログラムフラグメント310の中で、命令L2およびL3の中にあるソースオペランドのいずれもそれに先行する任意の命令の宛先オペランドに依存していないという点で、場所L1、L2、およびL3にある命令は独立している。プログラムカウンタが場所L1に設定されている時、命令順序付けユニット220は命令ストリームの先を見越して、L2およびL3における命令が独立していることを検出するので、したがってこれら3つすべてが3つの使用可能な機能単位230―Nに同時に発行できる。スーパースカラープロセッサのさらに詳細な説明に関しては、たとえば、参照により本明細書に援用された、IEEE(1995年12月)の議事録の、James.E.SmithおよびGurindar.S.Sohiによる「The Microarchitecture of Superscalar Processors」を参照されたい。
【0006】
先に示したように、図4に示された超長命令語プロセッサ400は、ハードウェアを使用して実行時に動的に並列処理を検出するのではなく、ソフトウェアに頼って単一の命令ストリームからコンパイル時にデータの並列処理を検出する。VLIWコンパイラは図3のコードフラグメント310を生成するために使用されたソースコードを与えられると、命令の独立性を検出し、3つの動作すべてから成る単一の非常に長い命令を構築する。実行時には、プロセッサ400の発行論理はこの大きな命令を1つのサイクルで発行し、データをすべての使用可能な機能単位430―Nに向ける。図4に示したように、超長命令語プロセッサ400は統合フェッチ/復号ブロック420を含み、統合フェッチ/復号ブロック420は前もってグループ分けされた命令410をメモリから得る。超長命令語プロセッサのさらに詳細な説明に関しては、たとえば、参照により本明細書に援用されている、SPIE Real Time Signal Processing IV(1981)の241〜248ページにある、Burton J.Smithによる「Architecture and Applications of the HEP Multiprocessor Computer System」を参照されたい。
【0007】
VLIWプロセッサの一変形例は、たとえば、IEEE Transactions on Computers (1998年8月)のRobert P.Colwellらによる「A VLIW Architecture for a Trace Scheduling Compiler」で論じられているようなマルチフローアーキテクチャによって代表され、固定長命令を使用して、そこにおいてあらかじめ定義されたフィールドがデータを一度にすべての機能単位430―Nに向ける。大きな命令の中で指定されたすべての動作が完了すると、プロセッサは新しい、多数の動作の命令を発行する。テキサス州ダラスのTexas Instrumentsから市販されているC6xプロセッサや、カリフォルニア州サンタクララのIntel Corpから市販されているEPIC IA−64などのいくつかのより最近のVLIWプロセッサは、その代わりに可変長命令パケットを使用し、可変長命令パケットは互いにバンドルされている1つまたは複数の動作を含む。
【0008】
図5に示されたマルチスレッドプロセッサ500は単一の命令ストリーム内で平行処理を使用しようと試みるのではなく、複数の命令ストリームを並列に実行することによってパフォーマンスの向上を得る。図5に示されたマルチスレッドプロセッサ500は、プログラムカウンタ510―N、レジスタセット520―N、および機能単位530―Nを含み、各々は対応する命令ストリームNに専用である。マルチスレッドプロセッサ500の代替の実装は、いくつかのレジスタセット520―Nおよびプログラムカウンタ510―Nを伴う単一の機能単位530を使用してきた。このような代替のマルチスレッドプロセッサ500は、プロセッサ500が、1つまたは2つのサイクル内で命令発行を1つのプログラムカウンタ/レジスタセット510―N/520−Nから、別のプログラムカウンタ/レジスタセット510−N/520−Nに切り換えることができるように設計されている。したがって、LOAD命令などの待ち時間が長い命令は、別の命令ストリームからのより短い動作と重ねることができる。ワシントン州シアトルのTera Computer Companyから市販されているTERA MTAアーキテクチャはこのタイプの1つの例である。
【0009】
同時マルチスレッド化と呼ばれる、マルチスレッドアーキテクチャ500の拡張は、図2と共に上記に説明されたスーパースカラーアーキテクチャと、図5と共に上記に説明されたマルチスレッド設計との両方を組み合わせている。同時マルチスレッド化技法の詳細な説明に関しては、たとえば、参照により本明細書に援用されている、第22回 Annual Int’l Symposium on Computer Architecture(1995年6月、イタリア、Santa Margherita Ligure)の議事録、392〜403ページにある、Dean Tullsenらによる「Simultaneous Multithreading: Maximizing On-Chip Parallelism」を参照されたい。一般に、同時マルチスレッド化アーキテクチャでは機能単位のプールがあり、そのうち任意の数が、多くのプログラムカウンタ/レジスタセット構造のうち任意の1つから発行できる命令に動的に割り当てられる。機能単位を多くのプログラムスレッドの間で共有することにより、同時マルチスレッド化アーキテクチャは図5に示されているより効率的にハードウェアを使用することができる。
【0010】
【発明が解決しようとする課題】
同時マルチスレッドアーキテクチャの組み合わされた手法は、スーパースカラアーキテクチャまたはマルチスレッドアーキテクチャの個別の手法よりも改善された効率を提供するが、同時マルチスレッドアーキテクチャは依然として、ダイナミックに命令ストリームを検討して潜在的な並列処理を検出するために、精巧な発行論理を必要とする。さらに動作が多数のサイクルをとる時、使用可能な動作のソースが他にないので、命令発行論理はストールする可能性がある。従来のマルチスレッドプロセッサは、もっとも広い潜在的な発行に対応するように設計された機能単位で、命令の組から命令を同時に発行する。したがって、2つの命令ストリームが独立しているか否かをダイナミックに決定する必要のない、マルチスレッドプロセッサのアーキテクチャに対するニーズが存在する。さらに、同時マルチスレッド化を提供するマルチスレッドアーキテクチャに対するニーズが存在する。さらに、各サイクルに関してプロセッサリソースの使用を改善する方法および装置に対するニーズが存在する。
【0011】
【課題を解決するための手段】
全体として、マルチスレッド超長命令語プロセッサにおいて機能単位を割り当てるための方法および装置が開示される。本発明は従来の超長命令語アーキテクチャの技法および従来のマルチスレッドアーキテクチャの技法を組み合わせている。本発明の組み合わされたアーキテクチャは、個別のプログラム内、およびワークロード全体で実行時間を軽減する。本発明は命令パケット分割を使用して、従来のマルチスレッドアーキテクチャで失われた効率の一部を回復する。命令パケット分割を使用すると命令バンドルを1つのサイクル内で部分的に発行し、バンドルの残りを続くサイクルの間に発行することが可能になる。このように、本発明はハードウェアリソース(機能単位など)のより大きな使用および、多数のスレッドを含むワークロード全体のより低い経過時間を提供する。
【0012】
命令パケットは、コンパイラによって組み立てられた命令パケットのセマンティックスに違反せずに分割できない場合がある。特に、レジスタがパケット内の命令の1つによって変更されている場合であっても、レジスタの入力値はそのパケット内の命令に関して同じと仮定されている。パケットが分割され、パケットの第2の部分にある命令の1つに関するソースレジスタがそのパケットの第1の部分にある命令の1つによって変更されている場合、コンパイラのセマンティックスに違反する。
【0013】
したがって、本発明はパケット分割識別ビットを使用し、命令パケットを分割できる時をハードウェアが効率的に決定することを可能にする。命令パケット分割はすべての命令スレッドにわたってスループットを増加させ、機能単位がアイドルであるサイクルの数を減少させる。本発明の割り当てハードウェアは、パケット分割識別ビットが設定されていない場合、命令パケット内にあるすべての命令を一度に割り当てるのではなく、使用可能な機能単位の数に一致する数の命令を各パケットから割り当てる。機能単位に割り当てられることのできない命令は実行可能状態のレジスタ内に保持される。続くサイクルで、中のすべての命令が機能単位に発行されている命令パケットはそれらのスレッドの命令ストリームから更新され、一方、止められている命令を伴う命令パケットは保持される。機能単位割り当て論理はついで、新しくロードされた命令パケットからの命令および、保持された命令パケットから発行されていない命令を割り当てることができる。
【0014】
【発明の実施の形態】
本発明は、代理人整理番号(Berenbaum 7−2−3−3)の、「Method and Apparatus for Allocating Functional Units in a Multithreaded Very Large Instruction Word (VLIW) Processor」という名称の米国特許出願と、代理人整理番号(Berenbaum 8−3−4−4)の、「Method and Apparatus for Releasing Functional Units in a Multithreaded Very Large Instruction Word (VLIW) Processor」という名称の米国特許出願と、代理人整理番号(Berenbaum 9−4−5−5)で、「Method and Apparatus for Splitting Packets in a Multithreaded Very Large Instruction Word (VLIW) Processor」という名称の米国特許出願に関連し、各々は本明細書と同時に提出され、本発明の譲渡人に譲渡され、参照により本発明に援用されている。
【0015】
以下の詳細な説明および図面を参照すれば本発明への理解がより進み、さらなる特徴および理解がわかるであろう。
【0016】
本発明は、コンパイラを使用してマルチスレッドプロセッサのアーキテクチャ内で並列処理を検出する。したがって動的な決定が必要ないため、単一スレッドVLIWプロセッサと同じ方法で単一のプログラムシーケンサから多数の命令を発行することによって、また、同時マルチスレッド化と同じように多数のプログラムシーケンサをサポートするが発行論理内で複雑さが軽減されてサポートすることによって、プログラムの並列処理を使用するマルチスレッドVLIWアーキテクチャが開示される。
【0017】
図6は、本発明によるマルチスレッドVLIWプロセッサ600を示す図である。図6に示したように、3つの命令スレッド、すなわちスレッドA(TA)、スレッドB(TB)およびスレッドC(TC)があり、各々は命令番号nで動作している。さらに、例としてのマルチスレッドVLIWプロセッサ600は、9つの機能単位620−1〜620−9を含み、これは任意のスレッドTA―TCに独立的に割り当てることができる。例としての3つのスレッドTA―TC上の命令の数は9で、例としての使用可能な機能単位620の数もまた9なので、3つのスレッドTA―TCすべてからの命令の各々は1つのサイクルで命令パケットを発行でき、次のサイクルで命令n+1に移動できる。
【0018】
一般的に、命令とそれによって指定される動作の間には1対1の対応があることに留意されたい。したがって、この用語は本明細書内では相互に交換可能であるように使用される。さらに、命令が多数の動作を指定する状況では、マルチスレッドVLIWプロセッサ600は1つまたは複数の多数動作の機能単位620を含んで、多数動作を指定する命令を実行すると仮定されていることに留意されたい。多数動作を指定する命令が処理できるアーキテクチャの例は、複雑命令セットコンピュータ(CISC)である。
【0019】
本発明は命令を機能単位に割り当て、同じサイクルの中で多数のVLIW命令を多数の機能単位に発行する。本発明の割り当て機構は、引数が機能単位にディスパッチされる直前にパイプライン段を占める。図7Aは従来のパイプライン700を示し、パイプライン700はパケットがメモリから得られるフェッチ段710、フェッチされた命令に関して必要な機能単位およびレジスタが識別される復号段720、および、指定された動作が実行され、かつその結果が処理される実行段730を含む。
【0020】
このように、従来のVLIWアーキテクチャでは、最高でK個までの命令を含むパケットが各サイクルでフェッチされる(フェッチ段710)。復号段720では最高でK個までの命令が復号化され、(最高で)K個の機能単位に送信される。実行段730では、命令に対応するレジスタが読み出され、機能単位はそれについて動作してその結果がレジスタに書き込まれて戻される。1機能単位あたり最高で3つまでのレジスタが読み出され、最高で1つのレジスタが書き込まれると仮定されている。
【0021】
図7Bは本発明によるパイプライン750を示し、ここでは図8と共にさらに以下に説明される割り当て段780が追加されて、マルチスレッドVLIWプロセッサを実装する。一般に、割り当て段780は動作をグループ化して効率を最大限にする方法を決定する。パイプライン750は、最高でN個までのパケットがメモリから得られるフェッチ段760、フェッチされた命令(最高でN*K個の命令)に関して機能単位およびレジスタが識別される復号段770、適切な命令が選択されFUに割り当てられる割り当て段780、および、指定された動作が実行され、かつその結果が処理される実行段790を含む。
【0022】
本発明のマルチスレッドVLIWプロセッサ600では、最高でN個までのスレッドがハードウェア内でサポートされる。N個のスレッドのコンテキストが存在し、単一のスレッドの可能なすべてのレジスタと、必要なすべての状態情報とを含む。マルチスレッドVLIWプロセッサ600はM個の機能単位を有し、MはKより大きいか等しい。図7Bに示された修正されたパイプライン段750は、次の方法で動作する。フェッチ段760では各サイクルにおいて、最高でN個までのパケット(各々は最高でK個の命令を含む)がフェッチされる。復号段770では最高でN*K個までの命令を復号化し、その要件および、読み出され、かつ書き込まれるレジスタを決定する。割り当て段780では(最高で)N*K個の命令からM個の命令を選択し、それらをM個の機能単位に転送する。各機能単位は最高で3個までのレジスタを読み出すことができ、1個のレジスタに書き込むことができると仮定されている。実行段790では、最高でM個までの機能単位が最高で3*M個までのレジスタを読み出し、最高でM個までのレジスタに書き込む。
【0023】
割り当て段780は、段760および770でフェッチされ、かつ復号化された(最高で)N*K個の命令から適切なM個の命令を選択して実行する。選択の判定基準はスレッドの優先順位またはリソースの可用性またはその両方である。スレッドの優先順位判定基準の下では、種々のスレッドは異なる優先順を有することができる。割り当て段780は、実装されている優先順位の方針にしたがってもっとも高い優先順位を伴うスレッドに属するパケット(またはパケットからの命令)を選択し転送して実行する。多数の優先順位方針を実装できる。たとえば、N個のコンテキスト(N個のハードウェアスレッド)をサポートするマルチスレッドVLIWプロセッサに関する優先順位方針は、N個の優先順位レベルを有することが可能である。プロセッサ内のもっとも優先順位の高いスレッドは、他のどのスレッドよりも前に割り当てられる。等しい優先順位を伴うスレッドの間では、もっとも長いこと割り当てを待っていたスレッドが優先される。
【0024】
リソースの可用性判定基準の下では、(最高でK個までの命令を有する)パケットは、そのパケットによって必要とされるリソース(機能単位)が次のサイクルについて使用可能である場合にのみ、割り当てられる。機能単位はその可用性を割り当て段780に報告する。
【0025】
図8は、割り当て段780の実装の概略構成図である。図8に示されたように、割り当て段780を実装するために必要とされるハードウェアは、優先順位符号器810および2つのクロスバスイッチ820、830を含む。一般に、優先順位符号器810は各スレッドの中で多数の動作の状態、および使用可能な機能単位の状態を検討する。優先順位符号器810は、第1のクロスバスイッチ820を実行して設定しようとするパケットを選択するので、適切なレジスタの内容が次のサイクルの最初で機能単位に転送される。優先順位符号器810の出力は第1のクロスバスイッチ820を構成して、選択されたスレッドからのデータを適切な機能単位にルーティングする。これはたとえば、(スレッド識別子を含む)レジスタ識別子を機能単位に送信し、機能単位に別のデータネットワークを介してレジスタの内容を読み取らせ、クロスバスイッチ810を使用して適切なレジスタの内容を、次のサイクルの初めに機能単位によって読み出されるラッチに移動することによって達成できる。
【0026】
優先順位符号器810はフェッチ段760(図7B)によってフェッチされたN個のパケットから、優先順位およびリソースの可用性に従って最高でN個までのパケットを選択して実行する。言い換えれば、優先順位符号器は、もっとも優先順位が高く、しかも実行のために使用不可能なリソースを必要としないスレッドを選択する。ついで、優先順位符号器は第1のクロスバスイッチ810を設定する。入力クロスバスイッチ810は最高で3K*N個の入力を最高で3*M個の出力にルーティングする。第1のクロスバスイッチ810は各パケットのレジスタ識別子(または適切なレジスタの内容)を適切な機能単位に転送する機能を有する。
【0027】
同じサイクル内で選択できるスレッドは最高でN個までであり、各スレッドは最高でK個までの命令のパケットを発行でき、各命令は最高で3個までのレジスタを読み出せるので、選択元のレジスタ識別子は3K*N個である。機能単位はM個しかなく、各機能単位は単一の命令を受け取ることができるので、選択されるべきレジスタ識別子は3M個のみである。したがって、クロスバスイッチはレジスタ識別子(またはレジスタの内容)の、3K*Nから3Mへのルーティングを実装する。
【0028】
出力クロスバスイッチ830は、M個の入力をN*M個またはN*K個の出力にルーティングする。第2のクロスバスイッチ830は適切な時間に設定され、機能単位の結果を適切なレジスタに転送して戻す。第2のクロスバスイッチ830は、(スレッド識別子を含む)レジスタ識別子を機能単位に送信することによって、別のネットワークとして実装できる。機能単位が結果を計算する時、機能単位はその結果を所与のレジスタ識別子にルーティングする。最高でN個までのスレッドにルーティングされるべき結果はM個ある。各スレッドは最高でK個までの結果を受け取ることができる。第2のクロスバスイッチ830は、M個の結果をN*K個の可能な宛先にルーティングする。第2のクロスバスイッチ830は、N個のレジスタファイルすべてに接続されたM個のバスとして実装できる。この場合、ルーティングはM個になり、N*M個の可能な宛先へルーティングすることになる(レジスタファイルがM個の結果を受け取る機能を有している場合)。
【0029】
従来の単一スレッドVLIWアーキテクチャでは、1つの命令パケット内のすべての動作は同時に発行される。常に十分な機能単位がパケットを発行するために使用可能である。動作が多数のサイクルをとる時、使用可能な動作のソースが他にないので、命令発行論理はストールする可能性がある。他方、本発明によるマルチスレッドVLIWプロセッサでは、これらの制限はあてはまらない。
【0030】
図9は従来のマルチスレッド式実装(本発明の利点がない)に関する3つのスレッドTAからTCの実行を示し、スレッドBおよびCはスレッドAよりも高い優先順位を有する。スレッドAはもっとも低い優先順位で実行されるので、その動作は最後に割り当てられることになる。図9に示されたように、より高い優先順位のスレッドTBおよびTCの現在のサイクル内で5つの動作を実装するために、5つの機能単位920が割り当てられている。スレッドAは4つの動作を有するが、使用可能な機能単位920は2つしかない。したがって、従来のマルチスレッド実装ではスレッドAはストールする。
【0031】
すべてのスレッドにわたってスループットを最大にし、機能単位がアイドルであるサイクルの数を最小にするために、本発明は命令パケット分割を使用する。図8と共に上に論じられた割り当てハードウェア780は、命令パケット内のすべての動作を一度に割り当てるのではなく、使用可能な機能単位の数に一致する数の動作を各パケットから割り当てる。一致しない動作は実行可能状態レジスタ850(図8)の中で保持される。続くサイクルで、中のすべての動作が機能単位に発行されている命令パケットはそれらのスレッドの命令ストリームから更新され、一方、止められている動作を伴う命令パケットは保持される。機能単位割り当て論理780はついで、新しくロードされた命令パケットからの動作および、保持された命令パケットから発行されていない動作を割り当てることができる。
【0032】
本発明による命令パケット分割の動作は図10Aおよび10Bに示されている。図10Aでは3つのスレッドがあり、各々はサイクルxの開始時に実行の準備のできている場所nからの命令パケットを伴う。スレッドAはもっとも低い優先順位で実行されるので、その動作は一番最後に割り当てられることになる。スレッドBおよびCは、実行のために7つの使用可能な機能単位1020のうち5つを必要とする。機能単位1020−2および1020−6の2つだけが残っているので、スレッドAからの最初の2つの動作が割り当てられて実行される。7つの機能単位1020のすべては次に十分に割り当てられる。
【0033】
サイクルxの完了時に、スレッドBおよびCに関する命令パケットがリタイアされる。図10Bに示されたように、スレッドに関連づけられた命令発行論理は、命令パケットをアドレスn+1に関する命令パケットで置き換える。スレッドAに関する命令パケットがまだ完了していないので、アドレスnからのパケットは保持され、最初の2つの動作は完了とマークされる。図10Bに示された次のサイクルx+1で、スレッドAからの最後の2つの動作が機能単位に割り当てられ、同時にスレッドBおよびCからのすべての動作も割り当てられる。このように、本発明はハードウェアリソース(たとえば機能単位1020)のより大きな使用および多数のスレッドを含むワークロード全体のより少ない経過時間を提供する。
【0034】
命令パケットは、分割がコンパイラによって組み立てられた命令パケットのセマンティックスに違反することを確認せずに分割することはできない。特に、レジスタの入力値は、レジスタがパケット内の命令の1つによって変更されている場合でも、パケット内の命令に関して同じであると仮定されている。パケットが分割され、パケットの第2の部分にある命令の1つに関するソースレジスタがそのパケットの第1の部分にある命令の1つによって変更されている場合、コンパイラのセマンティックスに違反する。これは図11のプログラムフラグメント1110に示されている。
【0035】
図11に示されているように、場所L1、L2およびL3にある命令が命令パケットに組み立てられ、R0=0、R1=2、およびR2=3がパケットの前に実行される場合、R0の値はパケットの完了後に5となる。他方、パケットが分割され、命令L1がL3の前に実行される場合、R0の値はパケットの完了後に2となり、コンパイラの仮定に違反する。
【0036】
プログラムのセマンティックスに違反するパケットの分割を避ける1つの手段は、宛先レジスタが命令パケット内の別の命令においてソースとして使用されている時を識別するハードウェアを命令発行論理に追加することである。このハードウェアはこれらの書込み後読取りハザードの1つが存在している時にパケット分割を禁じる。この機構は、領域リソースをとり、プロセッサのクリティカルパスに影響を与え、したがって、プロセッサの速度を減少させる可能性がある追加のハードウェアを必要とする欠点を有する。
【0037】
コンパイラは命令パケット内で書込み後読取りハザードを簡単に検出できる。したがって、これらのハザードを伴う命令を命令パケットに組み合わせないように選択することができる。ハードウェアはついで、これらの命令を直列的に実行するように強制され、それによってハザードを避ける。書込み後読取りハザードを有する命令パケットはエラーとみなされ、アーキテクチャは結果を保証しない。この技法はセマンティックの違反からは安全であるが、根底をなすハードウェアがパケットを分割していない場合でも、ハザードを伴う命令パケット内で使用可能な並列処理が失われるので、プログラムにおける潜在的な並列処理を使用しないという欠点を有する。
【0038】
本発明はコンパイラの知識と少量のハードウェアを組み合わせる。例としての実装では、分割ビットと呼ばれる単一のビットが多数命令パケットの接頭辞内に置かれ、ハードウェアにこのパケットが分割できないことを知らせる。コンパイラはどのパケットが潜在的な書込み後読取りハザードを有するかを知っているので、ハザードが発生するといつでもコンパイラはこのビットをパケットの接頭辞に設定できる。実行時には、ハードウェアはビットセットを伴うパケットを分割せず、そのパケット内のすべての命令が並列に実行できるまで待つ。この概念は図12〜15に示されている。
【0039】
コンパイラは、図12の3つの命令シーケンス1210が安全に分割できることを検出するので、図13に示すように分割ビットは1に設定される。他方、図14では、命令L1とL3の間に書込み後読取りハザードがあるため、3つの命令シーケンス1410は分割できない。したがって図15に示すように、分割ビットは0に設定される。
【0040】
本明細書に示され、説明された実施形態およびその変形例は、本発明の原理の例にすぎず、当業者によって本発明の範囲および精神から逸脱することなく種々の変更例が実装できることを理解されたい。
【図面の簡単な説明】
【図1】従来の一般化されたマイクロプロセッサのアーキテクチャを示す図である。
【図2】従来のスーパースカラープロセッサのアーキテクチャの概略構成図である。
【図3】動作の独立性を示すプログラムフラグメントを示す図である。
【図4】 従来の超長命令語プロセッサのアーキテクチャの概略構成図である。
【図5】従来のマルチスレッドプロセッサの概略構成図である。
【図6】本発明によるマルチスレッドVLIWプロセッサの図である。
【図7A】マルチスレッドプロセッサに関する従来のパイプラインを示す図である。
【図7B】本発明によるマルチスレッドプロセッサに関するパイプラインを示す図である。
【図8】図7Bの割り当て段の実装の概略構成図である。
【図9】スレッドBおよびCがスレッドAよりも高い優先順位を有する、従来のマルチスレッド実装に関する3つのスレッドTAからTCの実行を示す図である。
【図10A】本発明による命令パケット分割の動作を示す図である。
【図10B】本発明による命令パケット分割の動作を示す図である。
【図11】本発明による、分割できないプログラムフラグメントを示す図である。
【図12】本発明による、分割できるプログラムフラグメントを示す図である。
【図13】命令分割ビットが設定されている場合の、図12のプログラムフラグメントに対応するパケットを示す図である。
【図14】本発明による、分割できないプログラムフラグメントを示す図である。
【図15】命令分割ビットが設定されていない場合の、図14のプログラムフラグメントに対応するパケットを示す図である。
【符号の説明】
100 マイクロプロセッサ
110 プログラムカウンタ
120 レジスタセット
130−N 機能単位
200 スーパースカラープロセッサ
205 入力待ち行列
208 フロントエンド部
210 命令フェッチブロック
215 命令復号ブロック
220 命令順序づけユニット
230―N 演算論理機構
240 レジスタセット
250 詳細化ブロック
310 プログラムフラグメント
400 超長命令語(VLIW)プロセッサ
410 命令
420 統合フェッチ/復号ブロック
430−N 機能単位
500 マルチスレッドプロセッサ
510−N プログラムカウンタ
520−N レジスタ
530−N 機能単位
600 VLIWプロセッサ
620−N 機能単位
700 パイプライン
710 フェッチ段
720 復号段
730 実行段
750 パイプライン
760 フェッチ段
770 復号段
780 割り当て段
790 実行段
810 優先順位符号器
820 クロスバスイッチ
830 クロスバスイッチ
920 機能単位
1020 機能単位
1110 プログラムフラグメント
1210 命令シーケンス
1410 命令シーケンス

Claims (12)

  1. マルチスレッド超長命令語プロセッサであって、
    マルチスレッド命令ストリームから複数の命令を実行するための複数の機能単位であって、該命令がコンパイラによってパケットにグループ化され、該コンパイラが、該パケット内の該命令を分割できるかどうかの指標を該パケット内に含むようなものである複数の機能単位と、
    該命令ストリームから命令を選択して該命令を前記複数の機能単位に転送するアロケータであって、前記指標が前記パケットを分割できることを示す場合に、命令を該命令パケットの少なくとも1つから複数の前記機能単位に対して割り当てるようになっているアロケータとを含むマルチスレッド超長命令語プロセッサ。
  2. 前記指標は分割ビットである、請求項1に記載のマルチスレッド超長命令語プロセッサ。
  3. 前記アロケータは、前記機能単位の可用性によって許可された数の命令を所与の命令パケットから割り当てる、請求項1に記載のマルチスレッド超長命令語プロセッサ。
  4. 所与の命令パケットから所与のサイクル内で機能単位に割り当てられなかった命令の指示を後のサイクルで実行するために保存するためのレジスタをさらに備える、請求項1に記載のマルチスレッド超長命令語プロセッサ。
  5. マルチスレッド超長命令語プロセッサにおいてマルチスレッド命令ストリームから命令を処理する方法であって、
    複数の機能単位を使用して、コンパイラによってパケットにグループ化された命令を実行するステップであって、該コンパイラが、該パケット内の該命令を分割できるかどうかの指標を該パケット内に含ませるような前記命令を実行するステップと、
    該指標が前記パケットを分割できると示している場合に、該命令パケットの少なくとも1つからの命令を該複数の機能単位に割り当てるステップと、
    該選択された命令を該複数の機能単位に転送するステップと、を含むことを特徴とする方法。
  6. 前記指標は分割ビットである、請求項5に記載の方法。
  7. 前記割り当てるステップは、前記機能単位の可用性によって許可された数の命令を所与の命令パケットから割り当てる、請求項5に記載の方法。
  8. 所与の命令パケットから所与のサイクル内で機能単位に割り当てられなかった命令の指示を後のサイクルで実行するために格納するステップをさらに含む請求項7に記載の方法。
  9. 複数のスレッドを有する命令ストリームから命令を処理するためのマルチスレッド超長命令語(VLIW)プロセッサにおいて用いるためのプログラムを格納するプロセッサ読み取り可能な記録媒体であって、該プログラムは、該マルチスレッドVLIWプロセッサに
    コンパイラによってパケットにグループ化されている命令であって、該コンパイラが、該パケット内の該命令を分割できるかどうかの指標を該パケットに含ませるようになっている命令を、複数の機能単位を使用して実行させるステップと
    該指標が該パケットを分割できることを示す場合に、該命令パケットの少なくとも1つからの命令を該複数の機能単位に割り当てさせるステップと、
    該選択された命令を前記複数の機能単位に転送させるステップと、を実行させるものであることを特徴とするプロセッサ読み取り可能な記録媒体
  10. マルチスレッド超長命令語プロセッサのためのコンパイラであって、
    コンピュータ読み取り可能なコードを格納するためのメモリと、
    該メモリに動作上結合されているプロセッサであって、該メモリから該コンピュータ読み取り可能なコードを受信しおよび解読して、プログラムからの命令を機械語に翻訳し、複数の該命令をパケットにグループ化し、および該パケット内の該命令を分割できるかどうかを示す指標を該パケットに提供するように構成されているプロセッサと、からなることを特徴とするコンパイラ。
  11. 前記命令パケットは、前記コンパイラによって組み立てられた前記命令パケットのセマンティックスが違反していない場合に分割できる、請求項10に記載のコンパイラ。
  12. 前記命令パケットは、該パケットの第1の部分にある命令の1つに関するソースレジスタが、該パケットの第2の部分にある命令の1つによって変更されていない場合に分割できる、請求項10に記載のコンパイラ。
JP2001094461A 2000-03-30 2001-03-29 マルチスレッドvliwプロセッサにおける分割可能なパケットを識別するための方法および装置 Expired - Fee Related JP3797471B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US09/538757 2000-03-30
US09/538,757 US6658551B1 (en) 2000-03-30 2000-03-30 Method and apparatus for identifying splittable packets in a multithreaded VLIW processor

Publications (2)

Publication Number Publication Date
JP2001306324A JP2001306324A (ja) 2001-11-02
JP3797471B2 true JP3797471B2 (ja) 2006-07-19

Family

ID=24148297

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2001094461A Expired - Fee Related JP3797471B2 (ja) 2000-03-30 2001-03-29 マルチスレッドvliwプロセッサにおける分割可能なパケットを識別するための方法および装置

Country Status (6)

Country Link
US (1) US6658551B1 (ja)
EP (1) EP1152329B1 (ja)
JP (1) JP3797471B2 (ja)
KR (1) KR100871956B1 (ja)
CA (1) CA2338008C (ja)
TW (1) TW539997B (ja)

Families Citing this family (48)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6983350B1 (en) 1999-08-31 2006-01-03 Intel Corporation SDRAM controller for parallel processor architecture
US7761857B1 (en) 1999-10-13 2010-07-20 Robert Bedichek Method for switching between interpretation and dynamic translation in a processor system based upon code sequence execution counts
US6532509B1 (en) 1999-12-22 2003-03-11 Intel Corporation Arbitrating command requests in a parallel multi-threaded processing system
US6694380B1 (en) 1999-12-27 2004-02-17 Intel Corporation Mapping requests from a processing unit that uses memory-mapped input-output space
US6661794B1 (en) 1999-12-29 2003-12-09 Intel Corporation Method and apparatus for gigabit packet assignment for multithreaded packet processing
US6952824B1 (en) * 1999-12-30 2005-10-04 Intel Corporation Multi-threaded sequenced receive for fast network port stream of packets
US7096343B1 (en) 2000-03-30 2006-08-22 Agere Systems Inc. Method and apparatus for splitting packets in multithreaded VLIW processor
WO2001098898A1 (en) * 2000-06-21 2001-12-27 Bops, Inc. Methods and apparatus for indirect vliw memory allocation
US6883165B1 (en) 2000-09-28 2005-04-19 International Business Machines Corporation Apparatus and method for avoiding deadlocks in a multithreaded environment
US6886094B1 (en) 2000-09-28 2005-04-26 International Business Machines Corporation Apparatus and method for detecting and handling exceptions
US6912647B1 (en) * 2000-09-28 2005-06-28 International Business Machines Corportion Apparatus and method for creating instruction bundles in an explicitly parallel architecture
US7127588B2 (en) * 2000-12-05 2006-10-24 Mindspeed Technologies, Inc. Apparatus and method for an improved performance VLIW processor
US7200738B2 (en) * 2002-04-18 2007-04-03 Micron Technology, Inc. Reducing data hazards in pipelined processors to provide high processor utilization
US7346881B2 (en) * 2002-05-13 2008-03-18 Tensilica, Inc. Method and apparatus for adding advanced instructions in an extensible processor architecture
US6990610B2 (en) * 2002-05-15 2006-01-24 Hewlett-Packard Development Company, L.P. Combining commands to form a test command
EP1378824A1 (en) 2002-07-02 2004-01-07 STMicroelectronics S.r.l. A method for executing programs on multiple processors and corresponding processor system
DE60236074D1 (de) * 2002-07-02 2010-06-02 St Microelectronics Srl Verfahren zur Ausführung von Programmen in einem Prozessor mit auswählbaren Befehlslängen, und entsprechendes Prozessorsystem
US6912640B2 (en) * 2003-03-14 2005-06-28 Sun Microsystems, Inc. Method to partition large code across multiple e-caches
KR100972509B1 (ko) * 2003-03-19 2010-07-28 엘에스산전 주식회사 피엘씨의 스캔 프로그램 제어 방법
US7310723B1 (en) 2003-04-02 2007-12-18 Transmeta Corporation Methods and systems employing a flag for deferring exception handling to a commit or rollback point
US7698539B1 (en) * 2003-07-16 2010-04-13 Banning John P System and method of instruction modification
US7606997B1 (en) 2003-07-18 2009-10-20 Guillermo Rozas Method and system for using one or more address bits and an instruction to increase an instruction set
US20050204118A1 (en) * 2004-02-27 2005-09-15 National Chiao Tung University Method for inter-cluster communication that employs register permutation
CN100489783C (zh) * 2004-06-28 2009-05-20 李晓波 在单计算机上可在同一时刻执行多道程序的方法及***
US7398347B1 (en) * 2004-07-14 2008-07-08 Altera Corporation Methods and apparatus for dynamic instruction controlled reconfigurable register file
US7395414B2 (en) * 2005-02-11 2008-07-01 International Business Machines Corporation Dynamic recalculation of resource vector at issue queue for steering of dependent instructions
US7254697B2 (en) * 2005-02-11 2007-08-07 International Business Machines Corporation Method and apparatus for dynamic modification of microprocessor instruction group at dispatch
US7523295B2 (en) * 2005-03-21 2009-04-21 Qualcomm Incorporated Processor and method of grouping and executing dependent instructions in a packet
US7673119B2 (en) * 2005-05-13 2010-03-02 Texas Instruments Incorporated VLIW optional fetch packet header extends instruction set space
US8549466B2 (en) * 2005-07-15 2013-10-01 Texas Instruments Incorporated Tiered register allocation
US20070198812A1 (en) * 2005-09-27 2007-08-23 Ibm Corporation Method and apparatus for issuing instructions from an issue queue including a main issue queue array and an auxiliary issue queue array in an information handling system
US7350056B2 (en) * 2005-09-27 2008-03-25 International Business Machines Corporation Method and apparatus for issuing instructions from an issue queue in an information handling system
JP2008123045A (ja) * 2006-11-08 2008-05-29 Matsushita Electric Ind Co Ltd プロセッサ
CN101369223B (zh) * 2007-08-17 2012-01-04 上海奇码数字信息有限公司 指令编码方法和处理器
KR101390974B1 (ko) 2008-01-30 2014-05-02 삼성전자주식회사 다중 모드를 제공하는 재구성 가능한 장치 및 방법
US8745359B2 (en) 2008-02-26 2014-06-03 Nec Corporation Processor for concurrently executing plural instruction streams
GB2469822B (en) * 2009-04-28 2011-04-20 Imagination Tech Ltd Method and apparatus for scheduling the issue of instructions in a multithreaded microprocessor
EP2434392B1 (en) * 2009-05-18 2014-08-13 Panasonic Corporation Processor
JP5463076B2 (ja) * 2009-05-28 2014-04-09 パナソニック株式会社 マルチスレッドプロセッサ
GB2471067B (en) 2009-06-12 2011-11-30 Graeme Roy Smith Shared resource multi-thread array processor
US9678754B2 (en) * 2010-03-03 2017-06-13 Qualcomm Incorporated System and method of processing hierarchical very long instruction packets
KR101005838B1 (ko) * 2010-07-19 2011-01-05 (주)진성메디 욕실용 발판
US9626191B2 (en) * 2011-12-22 2017-04-18 Nvidia Corporation Shaped register file reads
US9430369B2 (en) * 2013-05-24 2016-08-30 Coherent Logix, Incorporated Memory-network processor with programmable optimizations
JP5654643B2 (ja) * 2013-07-22 2015-01-14 パナソニック株式会社 マルチスレッドプロセッサ
JP2013214331A (ja) * 2013-07-22 2013-10-17 Panasonic Corp コンパイラ
US9612840B2 (en) * 2014-03-28 2017-04-04 Intel Corporation Method and apparatus for implementing a dynamic out-of-order processor pipeline
US11354405B1 (en) * 2021-07-06 2022-06-07 Polyverse Corporation Code snippet mobilization systems and methods

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4568977A (en) * 1983-06-29 1986-02-04 International Business Machines Corporation On-chip CCD realization of the Laplacian operator for image signal processing
US4564772A (en) * 1983-06-30 1986-01-14 International Business Machines Corporation Latching circuit speed-up technique
US5404469A (en) * 1992-02-25 1995-04-04 Industrial Technology Research Institute Multi-threaded microprocessor architecture utilizing static interleaving
WO1994027216A1 (en) * 1993-05-14 1994-11-24 Massachusetts Institute Of Technology Multiprocessor coupling system with integrated compile and run time scheduling for parallelism
CN100373331C (zh) * 1996-08-27 2008-03-05 松下电器产业株式会社 独立处理多个指令流、软式控制各指令流的处理功能的多线程处理器
US5890009A (en) * 1996-12-12 1999-03-30 International Business Machines Corporation VLIW architecture and method for expanding a parcel
US5805850A (en) * 1997-01-30 1998-09-08 International Business Machines Corporation Very long instruction word (VLIW) computer having efficient instruction code format
US5941983A (en) * 1997-06-24 1999-08-24 Hewlett-Packard Company Out-of-order execution using encoded dependencies between instructions in queues to determine stall values that control issurance of instructions from the queues
US6170051B1 (en) 1997-08-01 2001-01-02 Micron Technology, Inc. Apparatus and method for program level parallelism in a VLIW processor
US6438679B1 (en) * 1997-11-03 2002-08-20 Brecis Communications Multiple ISA support by a processor using primitive operations
EP1050808B1 (en) 1999-05-03 2008-04-30 STMicroelectronics S.A. Computer instruction scheduling

Also Published As

Publication number Publication date
EP1152329A1 (en) 2001-11-07
JP2001306324A (ja) 2001-11-02
KR100871956B1 (ko) 2008-12-08
US6658551B1 (en) 2003-12-02
CA2338008A1 (en) 2001-09-30
EP1152329B1 (en) 2012-05-02
TW539997B (en) 2003-07-01
CA2338008C (en) 2005-07-26
KR20010095074A (ko) 2001-11-03

Similar Documents

Publication Publication Date Title
JP3797471B2 (ja) マルチスレッドvliwプロセッサにおける分割可能なパケットを識別するための方法および装置
JP3832623B2 (ja) マルチスレッドvliwプロセッサにおいて機能単位を割り当てるための方法および装置
JP3777541B2 (ja) マルチスレッドvliwプロセッサにおけるパケット分割の方法および装置
JP6043374B2 (ja) 動的アウトオブオーダプロセッサパイプラインを実装する方法および装置
JP2928695B2 (ja) 静的インタリーブを用いたマルチスレッドマイクロプロセッサおよびそれを備えたシステムでの命令スレッド実行方法
CN106104481B (zh) 用于执行确定性和机会性多线程的***和方法
JP3780449B2 (ja) マルチスレッドvliwプロセッサにおいて機能単位を解放するための方法および装置
US5519864A (en) Method and apparatus for scheduling the dispatch of instructions from a reservation station
TW581950B (en) Multiple logical interfaces to a shared coprocessor resource
US6334182B2 (en) Scheduling operations using a dependency matrix
US8635621B2 (en) Method and apparatus to implement software to hardware thread priority
Müller Employing finite automata for resource scheduling
US20040199749A1 (en) Method and apparatus to limit register file read ports in an out-of-order, multi-stranded processor
US6857063B2 (en) Data processor and method of operation
US7127589B2 (en) Data processor
JP2004038751A (ja) プロセッサ及び命令制御方法
JP3737573B2 (ja) Vliwプロセッサ
US6704855B1 (en) Method and apparatus for reducing encoding needs and ports to shared resources in a processor
CN113703834B (zh) 基于块的处理器核复合寄存器
JP2001236227A (ja) プロセッサ、コンパイラ、コイパイル方法及び記録媒体
Chen et al. A Novel Highly Scalable Architecture with Partially Distributed Pipeline and Hardware/Software Instruction Encoding
Ong Interlaced instruction window

Legal Events

Date Code Title Description
A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20050207

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20050214

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20050513

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20050518

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20050805

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20050920

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20051216

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20060113

A911 Transfer to examiner for re-examination before appeal (zenchi)

Free format text: JAPANESE INTERMEDIATE CODE: A911

Effective date: 20060306

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20060320

A711 Notification of change in applicant

Free format text: JAPANESE INTERMEDIATE CODE: A712

Effective date: 20060412

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20060412

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20100428

Year of fee payment: 4

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20100428

Year of fee payment: 4

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20110428

Year of fee payment: 5

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20120428

Year of fee payment: 6

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130428

Year of fee payment: 7

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130428

Year of fee payment: 7

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20140428

Year of fee payment: 8

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

LAPS Cancellation because of no payment of annual fees