JP3789083B2 - 洗浄溶液を用いた集積回路基板の汚染物質の除去方法 - Google Patents

洗浄溶液を用いた集積回路基板の汚染物質の除去方法 Download PDF

Info

Publication number
JP3789083B2
JP3789083B2 JP2001168176A JP2001168176A JP3789083B2 JP 3789083 B2 JP3789083 B2 JP 3789083B2 JP 2001168176 A JP2001168176 A JP 2001168176A JP 2001168176 A JP2001168176 A JP 2001168176A JP 3789083 B2 JP3789083 B2 JP 3789083B2
Authority
JP
Japan
Prior art keywords
substrate
fluorine
containing gas
cleaning solution
gas
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
JP2001168176A
Other languages
English (en)
Other versions
JP2002050604A (ja
Inventor
丞 弼 ▲てい▼
奎 煥 張
永 愍 權
商 ▲録▼ 河
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Samsung Electronics Co Ltd
Original Assignee
Samsung Electronics Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Samsung Electronics Co Ltd filed Critical Samsung Electronics Co Ltd
Publication of JP2002050604A publication Critical patent/JP2002050604A/ja
Application granted granted Critical
Publication of JP3789083B2 publication Critical patent/JP3789083B2/ja
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/304Mechanical treatment, e.g. grinding, polishing, cutting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/0206Cleaning during device manufacture during, before or after processing of insulating layers
    • H01L21/02063Cleaning during device manufacture during, before or after processing of insulating layers the processing being the formation of vias or contact holes
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/39Organic or inorganic per-compounds
    • C11D3/3947Liquid compositions
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/26Organic compounds containing oxygen
    • C11D7/265Carboxylic acids or salts thereof
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/36Organic compounds containing phosphorus
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02043Cleaning before device manufacture, i.e. Begin-Of-Line process
    • H01L21/02046Dry cleaning only
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D2111/00Cleaning compositions characterised by the objects to be cleaned; Cleaning compositions characterised by non-standard cleaning or washing processes
    • C11D2111/10Objects to be cleaned
    • C11D2111/14Hard surfaces
    • C11D2111/22Electronic devices, e.g. PCBs or semiconductors
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S134/00Cleaning and liquid contact with solids
    • Y10S134/902Semiconductor wafer
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S438/00Semiconductor device manufacturing: process
    • Y10S438/906Cleaning of wafer as interim step

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Oil, Petroleum & Natural Gas (AREA)
  • Wood Science & Technology (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Inorganic Chemistry (AREA)
  • Health & Medical Sciences (AREA)
  • Emergency Medicine (AREA)
  • Cleaning Or Drying Semiconductors (AREA)
  • Cleaning In General (AREA)
  • Cleaning By Liquid Or Steam (AREA)

Description

【0001】
【発明の属する技術分野】
本発明は集積回路基板用洗浄溶液及びそれを用いた洗浄方法に係り、より詳細には半導体基板またはLCD基板表面用洗浄溶液及びこれを用いた洗浄方法に関する。
【0002】
【従来の技術】
通常の洗浄工程は、記憶装置及び液晶装置(LCD)のような半導体装置及び集積回路の製造時に重要な役割をする。集積回路基板は工程中に汚染されやすいために洗浄工程が重要である。金属物質、自然酸化膜などの酸化膜及び損傷膜質や、さらにはパーチクルのような汚染物質が基板上に残存しやすく、これによって装置の信頼性の低下がもたらされうる。効果的な洗浄方法によれば汚染物質が除去されて製造収率が高まる。
【0003】
一連の湿式洗浄方法が現在広く使われている。一連の湿式洗浄方法の例が、APM(Ammonia hydrogen peroxide mixture)工程、SPM(sulfuric acid hydrogen peroxide mixture)工程及びHPM(hydrochloric acid hydrogen peroxide mixture)工程よりなる方法である。このような一連の湿式洗浄工程は、各々パーチクルまたは微粒子、有機汚染物及び金属汚染物を効果的に除去する工程である。
【0004】
しかし、このような一連の湿式洗浄工程はそれぞれ問題点も有しているが、例えば、APM工程はパーチクル除去能力に優れた反面、金属汚染物の除去能は弱く、HPM工程は金属汚染物の除去力に優れるが、激しい腐食性と毒性があってパーチクル除去には弱いという問題点がある。
【0005】
したがって、目的の洗浄効果を得るためにはこれら工程を適切に組合せしたり変形したりして適用する必要がある。
【0006】
その一例として、SPM工程、APM工程及びHPM工程を順に実施する方法がある。この方法によれば、SPM工程により集積回路基板上の有機物を除去し、APM工程により基板上のパーチクルを除去する。次に、HPM工程を実施して金属汚染物を除去する。
【0007】
しかし、この方法はその順序が複雑なだけでなく、生産コストが高い。また、過量の洗浄溶液とリンス用脱イオン水を連続して使用することによって環境公害を起こす可能性が高い。また、SPM、APM及びHPM溶液は強力な酸化剤の過酸化水素(H2O2)を含むため、洗浄後に基板上に化学的酸化膜が形成される。また、集積回路製造工程時に集積回路基板が大気中の酸素や水分と反応し、基板表面に薄い自然酸化膜が生じうる。このように生じた酸化膜は集積回路装置の特性に悪影響を及ぼす。特に、自然酸化膜がコンタクト表面に生じればコンタクト抵抗を増加させ、ゲート酸化膜の成長前に自然酸化膜が生じればゲート酸化膜の特性を低下させる。
【0008】
酸化膜除去方法として最も広く知られた方法が、フッ酸洗浄液を用いる湿式洗浄方法である。フッ酸洗浄液は、基板と酸化膜との間に高い蝕刻選択比を維持するだけでなく、酸化膜洗浄後にシリコン基板の表面上に水素パッシべーション膜を形成するという点では効果的である。
【0009】
しかし、フッ酸洗浄液を用いた湿式洗浄方法には短所がある。すなわち、この方法はイン-シチュ(in-situ)工程で進行し難く、長時間がかかるために汚染物質を順に制御し難い。その上、洗浄工程の後続工程のウェーハ乾燥工程時に各種汚染が生じうるが、このような汚染に対する制御がほとんど不可能であるという致命的な短所がある。
【0010】
また、狭くて深いコンタクトホールの洗浄時に、洗浄液がコンタクトホールに流入し、コンタクトホールから排出し難く、酸化膜の完全な除去が不可能であり、洗浄残留物の除去も容易ではないという問題点もある。
【0011】
【発明が解決しようとする課題】
本発明が解決しようとする技術的課題は集積回路基板上の汚染物質の除去方法を提供することである。
【0012】
【課題を解決するための手段】
本発明の技術的課題は、集積回路の基板から汚染源を除去する方法において、1)前記基板をヒドロキシル芳香族化合物から構成されたキレート剤を含む過酸化水素洗浄溶液で処理する段階と、2)前記基板を水素ガス及びフッ素含有ガスで処理する段階と、3)前記基板をアニーリングする、それによって、上記2)の段階で形成された反応層と、前記反応層が存在した所の酸化膜を除去する、段階とを順に含むことを特徴とする方法によってなされうる。
【0013】
本発明の一態様において、過酸化水素洗浄溶液がアンモニウム、過酸化水素、脱イオン水及びキレート剤を含み、この洗浄溶液の温度が約40℃ないし約80℃である。
【0014】
基板を水素ガス及びフッ素-含有ガスで処理する段階が約−25℃ないし約50℃の温度及び約0.01torrないし約10torrの圧力下で実施されることが望ましい。
【0015】
基板をアニーリングする段階は約100℃ないし約500℃の温度で約20秒ないし約60秒で実施される。
【0016】
フッ素-含有ガスが三フッ化窒素(NF3)、六フッ化硫黄(SF6)及び三フッ化塩素(ClF3)よりなる群から選択された少なくとも一つであり、フッ素-含有ガスの供給量が前記水素ガスに対して約0.1〜約5000vol%であることが望ましい。
【0017】
この方法は、望ましくは基板を洗浄溶液で処理した後にオゾン水でリンスする段階をさらに含むことができる。洗浄溶液の処理段階とオゾン水のリンス段階は同一バス内で実施され、オゾン水の温度は約0ないし約30℃である。オゾン水は脱イオン水とオゾンを含むが、オゾンの含量が脱イオン水に対して約1ppmないし約1,000ppmである。
【0018】
【発明の実施の形態】
以下、本発明の望ましい具現例を示した添付図面を挙げて発明をより詳細に説明する。
【0019】
図1は本発明に係る洗浄方法を示すフローチャートである。まず、汚染物質が形成されている集積回路基板、例えば、半導体基板または液晶表示装置基板を準備する。次いで、前記基板を洗浄溶液で処理する(段階10)。洗浄溶液は塩基性過酸化水素水溶液とキレート剤とを含む。この洗浄溶液を基板表面上に噴射したり、基板を洗浄溶液が入っているバスまたは浴にディッピングしたりする方法で洗浄できる。キレート剤は金属汚染物質を除去するのに使われうる。通常、使われるキレート剤の種類には制限がない。しかし、望ましくはクエン酸、グルコン酸のようなカルボン酸化合物と、ヒドロキシエタンジホスホン酸、エチレンジアミンテトラキス-メチレンホスホン酸のようなホスホン酸化合物と、カテコール、レゾルシノール、ヒドロキノン、ピロガロールのようなヒドロキシ芳香族化合物から選択された1種ないし3種を使用できる。望ましいキレート剤添加量は、洗浄用液中の水含量を基準として、約500ppmないし約5,000ppmである。もし約500ppm未満であれば金属汚染物質の除去効果が低いし、約5,000ppmを超過する場合には有機汚染物質が生じうる。
【0020】
塩基性過酸化水素水溶液を用いた洗浄工程は、基板の一部で除去された金属物質が基板の他の部分に再付着する、いわゆる"金属逆汚染"によって金属汚染物質を完全に除去し難い場合がある。一方、キレート剤は洗浄溶液内で金属イオンと配位結合して錯化合物を形成することによって、金属汚染物により基板が再汚染されないようにする。また、洗浄溶液は何回使用してもきれいなために繰り返して使用できる。本発明において、この段階は望ましくは約40℃ないし約80℃の温度範囲で実施されうる。
【0021】
次いで、洗浄溶液で処理した基板を脱イオン水またはオゾン水でリンスして、基板上の残留物を除去する(段階20)。オゾン水を用いてリンスすれば、洗浄溶液処理段階(段階10)時にキレート剤から出る有機汚染物を効果的に除去できるという利点がある。オゾン水を用いる場合、望ましくはオゾン水の温度が約0℃ないし約30℃で、オゾン水中のオゾン濃度が約1ppmないし約1,000ppmである。もし、オゾン水の温度が約0℃より低ければO3の溶解が容易でより高いO3濃度を得られるという利点はあるが、反応性が落ちるのでオゾン水を用いたリンス効果が落ち、約30℃を超過する場合にはO3が容易に溶解され難いという問題点がある。リンス工程は、基板上に脱イオン水またはオゾン水を持続的に流しながら実施するオーバーフロー法、または基板をオゾン水が入っているバスに入れて取り出すクィックダンプ法中でいずれかによって実施しても構わない。
【0022】
次に、前記基板を水素ガスとフッ素-含有ガスで化学的に処理する(段階30)。この時、水素ガスはプラズマ状態で工程チャンバに供給され、フッ素-含有ガスは自然状態で工程チャンバに供給される。望ましくは、前記水素ガスとフッ素-含有ガスを所定比で混合した後、キャリアガスの窒素及び/またはアルゴンガスと共に工程チャンバに供給する。
【0023】
前記フッ素含有ガスにはフッ化窒素(NF3)、六フッ化硫黄(SF6)または三フッ化塩素(ClF3)を使用できるが、望ましくはフッ化窒素を使用する。フッ素-含有ガスの混合比は、水素ガスに対して、約0.1〜約5000vol%であることが望ましい。
【0024】
水素ガスとフッ素-含有ガスの注入後、約−25ないし約50℃、望ましくは約0℃ないし約50℃の温度、及び約0.01torrないし約10torrの圧力で工程条件を制御しながら約20ないし約600秒間反応を実施する。前述したように工程チャンバ内に水素ガスとフッ素-含有ガスを供給して反応条件を設定すれば、水素ガスとフッ素-含有ガスが基板表面に形成された酸化膜と化学的反応を起こして酸化膜を除去する。この時、前記酸化膜は大気中の酸素や水分と反応して自発的に形成される自然酸化膜である場合もあり、任意の酸化膜パターンを形成するために蝕刻される被蝕刻酸化膜である場合もある。
【0025】
基板を水素ガスプラズマとフッ素-含有ガスで処理する段階(段階30)は、既存のRIE方法とは違って、化学的反応にのみ基づくのでウェーハ表面が傷つく恐れがないだけでなくチャージ損傷される恐れもない。例えば、工程チャンバに供給された水素ガスと三フッ化窒素ガスは酸化膜、すなわち二酸化シリコンと化学的反応を起こして前記供給ガスと酸化膜が出合う所に、例えば、(NH4)2SiF6のような反応層を形成する。このように形成された反応層は化学的反応に対する障壁層で作用するが、すなわち供給ガスと酸化膜との持続的な化学的反応を妨害する。
【0026】
基板を水素ガス及びフッ素-含有ガスで処理した後、基板をアニーリングして障壁層で作用する反応層を気化させて除去する(段階40)。前記アニーリング工程は低温で、具体的に約100ないし約500℃の温度で、約20ないし約600秒間実施される。もし、アニーリング温度と時間範囲が前記範囲を外れれば、反応層が充分に除去されないという問題点がある。このようなアニーリング工程は、イン-シチュまたはエックス-シチュ(ex-situ)状態中で、いずれかの状態で進行しても構わない。
【0027】
アニーリング工程により反応層を除去すれば、前記反応層が存在した所の酸化膜は除去されると同時に、基板表面は水素でパッシべーションされる。
【0028】
前記ガス供給段階とアニーリング段階は除去されねばならない酸化膜が自然酸化膜の場合には一般に1回の工程だけでも充分であるが、除去されねばならない酸化膜が一般のパターンを形成するための酸化膜の場合には酸化膜の厚さによって1回以上反復して実施することが望ましい。
【0029】
【実施例】
以下、実施例及び比較例をあげて本発明をより詳細に説明する。
【0030】
(実施例1)
先ず基板2枚を準備し、これら各々をメタルスタンダードソリューション(metal standard solution)で処理して、その表面を金属汚染物で強制汚染させた。金属汚染物の水準は全て1E13atoms/cm2であった。次いで、これら基板の各々を通常のAPM洗浄溶液とキレート剤含有APM洗浄溶液で各々処理した後に、処理された基板の金属汚染度をまた測定した。その結果を下記の表1に示した。
【0031】
【表1】
Figure 0003789083
【0032】
前記表1に示したように、通常のAPMで処理した後にFeの汚染水準は減少した。しかし、Al汚染物質の水準は増加した。反対に、キレート剤含有APMで処理した後は2種の金属(FeとAl)とも大幅に増加した。
【0033】
(実施例2)
基板2枚を準備した後、これら基板を各々洗浄した後、その上にゲート酸化膜を蒸着した。まず、2枚の基板中で、1枚はAPM洗浄及びHF洗浄を順に実施する通常の洗浄方法で処理し、他の1枚はキレート剤が添加されたAPM洗浄溶液でまず洗浄した後、水素プラズマとフッ素含有ガスの混合物を供給して乾燥式洗浄する本発明の洗浄方法によって処理した。
【0034】
次いで、スパイダーマスクを用いて各々をパターニングした後、各々パターンが形成された基板でゲート酸化膜に対するTDDB(Time Dependent Dielectric Breakdown)特性を測定して、ゲート酸化膜の信頼度を評価した。この時、TDDB測定は室温で50Aの電流及び−7.4Vの電圧の条件下で実施した。その結果を図2に示した。図2において、曲線(a)は通常の洗浄方法で処理した場合のTDDB特性を、曲線(b)は本発明に係る洗浄方法で処理した場合のTDDB特性を示したものである。図2の結果から分かるように、所定のフェイル発生率で本発明に係る曲線(b)の経過時間が曲線(a)よりもっと長かった。したがって、本発明に係る洗浄方法で処理した場合、ゲート酸化膜に対する信頼性により優れたことが分かる。
【0035】
【発明の効果】
本発明に係る望ましい基板洗浄方法により、有機汚染物、パーチクル、金属汚染物のような各種汚染物が効果的に除去できる。特に、金属汚染物の再付着による逆汚染問題がないし、自然酸化膜などの各種酸化膜を下部膜質の損傷なしに効果的に除去できるという利点がある。
【図面の簡単な説明】
【図1】本発明に係る洗浄方法を順に示したフローチャートである。
【図2】従来の洗浄方法で処理された基板に対するTDDB特性(a)及び本発明に係る洗浄方法で処理された基板に関するTDDB特性(b)を各々示したグラフである。

Claims (19)

  1. 集積回路の基板から汚染源を除去する方法において、
    1)前記基板をヒドロキシル芳香族化合物から構成されたキレート剤を含む過酸化水素洗浄溶液で処理する段階と、
    2)前記基板を水素ガス及びフッ素含有ガスで処理する段階と、
    3)前記基板をアニーリングする、それによって、上記2)の段階で形成された反応層と、前記反応層が存在した所の酸化膜を除去する、段階とを順に含むことを特徴とする方法。
  2. 前記過酸化水素洗浄溶液がアンモニウム、過酸化水素、脱イオン水及びキレート剤を含むことを特徴とする請求項1に記載の方法。
  3. 前記キレート剤の含量が洗浄溶液中の水含量を基準として約500ppmないし約5,000ppmであることを特徴とする請求項1に記載の方法。
  4. 前記洗浄溶液の温度が約40℃ないし約80℃であることを特徴とする請求項1に記載の方法。
  5. 前記フッ素−含有ガスが三フッ化窒素(NF)、六フッ化硫黄(SF)及び三フッ化塩素(ClF)よりなる群から選択されたものであることを特徴とする請求項1に記載の方法。
  6. フッ素−含有ガスの供給量が前記水素ガスに対して約0.1〜約5000vol%であることを特徴とする請求項1に記載の方法。
  7. 前記水素ガスとフッ素−含有ガスが工程チャンバ内部に供給され、前記水素ガスはプラズマ状態であり、前記フッ素−含有ガスは自然状態であることを特徴とする請求項1に記載の方法。
  8. 窒素またはアルゴンから選択された1種以上のキャリアガスを前記水素ガス及びフッ素含有ガスと共に工程チャンバ内部に供給することを特徴とする請求項1に記載の方法。
  9. 基板を水素ガス及びフッ素−含有ガスで処理する段階が約−25℃ないし約50℃の温度及び約0.01torrないし約10torrの圧力下で実施されることを特徴とする請求項1に記載の方法。
  10. 基板を水素ガス及びフッ素−含有ガスで処理する段階が約20秒ないし約600秒で実施されることを特徴とする請求項1に記載の方法。
  11. 基板を水素ガス及びフッ素−含有ガスで処理する段階及び基板をアニーリングする段階が同じ工程チャンバ内で実施されることを特徴とする請求項1に記載の方法。
  12. 基板を洗浄溶液で処理した後にオゾン水を用いてリンスする段階をさらに含むことを特徴とする請求項1に記載の方法。
  13. 前記洗浄溶液処理及びオゾン水を用いたリンスが同一バス内で実施されることを特徴とする請求項12に記載の方法。
  14. 前記オゾン水の温度が約0℃ないし約30℃であることを特徴とする請求項12に記載の方法。
  15. 前記オゾン水が脱イオン水とオゾンを含み、オゾンの含量が脱イオン水に対して約1ppmないし約1,000ppmであることを特徴とする請求項12に記載の方法。
  16. 前記オゾン水の温度が約0℃ないし約30℃であることを特徴とする請求項13に記載の方法。
  17. 前記オゾン水が脱イオン水とオゾンを含み、オゾンの含量が脱イオン水に対して約1ppmないし約1,000ppmであることを特徴とする請求項13に記載の方法。
  18. 基板をアニーリングする段階が約100℃ないし約500℃で約20秒ないし約600秒で実施されることを特徴とする請求項1に記載の方法。
  19. 基板を水素ガス及びフッ素−含有ガスで処理する段階と基板をアニーリングする段階が2回以上反復的に進行されることを特徴とする請求項1に記載の方法。
JP2001168176A 2000-07-18 2001-06-04 洗浄溶液を用いた集積回路基板の汚染物質の除去方法 Expired - Lifetime JP3789083B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
KR00P40986 2000-07-18
KR10-2000-0040986A KR100366623B1 (ko) 2000-07-18 2000-07-18 반도체 기판 또는 lcd 기판의 세정방법

Publications (2)

Publication Number Publication Date
JP2002050604A JP2002050604A (ja) 2002-02-15
JP3789083B2 true JP3789083B2 (ja) 2006-06-21

Family

ID=19678436

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2001168176A Expired - Lifetime JP3789083B2 (ja) 2000-07-18 2001-06-04 洗浄溶液を用いた集積回路基板の汚染物質の除去方法

Country Status (3)

Country Link
US (1) US6513538B2 (ja)
JP (1) JP3789083B2 (ja)
KR (1) KR100366623B1 (ja)

Families Citing this family (213)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060151007A1 (en) * 1997-05-09 2006-07-13 Bergman Eric J Workpiece processing using ozone gas and chelating agents
US7223676B2 (en) * 2002-06-05 2007-05-29 Applied Materials, Inc. Very low temperature CVD process with independently variable conformality, stress and composition of the CVD layer
US6939434B2 (en) * 2000-08-11 2005-09-06 Applied Materials, Inc. Externally excited torroidal plasma source with magnetic control of ion distribution
US20070042580A1 (en) * 2000-08-10 2007-02-22 Amir Al-Bayati Ion implanted insulator material with reduced dielectric constant
US7294563B2 (en) * 2000-08-10 2007-11-13 Applied Materials, Inc. Semiconductor on insulator vertical transistor fabrication and doping process
US7166524B2 (en) * 2000-08-11 2007-01-23 Applied Materials, Inc. Method for ion implanting insulator material to reduce dielectric constant
US7037813B2 (en) * 2000-08-11 2006-05-02 Applied Materials, Inc. Plasma immersion ion implantation process using a capacitively coupled plasma source having low dissociation and low minimum plasma voltage
US7288491B2 (en) * 2000-08-11 2007-10-30 Applied Materials, Inc. Plasma immersion ion implantation process
US7465478B2 (en) * 2000-08-11 2008-12-16 Applied Materials, Inc. Plasma immersion ion implantation process
US7479456B2 (en) * 2004-08-26 2009-01-20 Applied Materials, Inc. Gasless high voltage high contact force wafer contact-cooling electrostatic chuck
US7094670B2 (en) * 2000-08-11 2006-08-22 Applied Materials, Inc. Plasma immersion ion implantation process
US20050230047A1 (en) * 2000-08-11 2005-10-20 Applied Materials, Inc. Plasma immersion ion implantation apparatus
US7183177B2 (en) * 2000-08-11 2007-02-27 Applied Materials, Inc. Silicon-on-insulator wafer transfer method using surface activation plasma immersion ion implantation for wafer-to-wafer adhesion enhancement
US7303982B2 (en) * 2000-08-11 2007-12-04 Applied Materials, Inc. Plasma immersion ion implantation process using an inductively coupled plasma source having low dissociation and low minimum plasma voltage
US7320734B2 (en) * 2000-08-11 2008-01-22 Applied Materials, Inc. Plasma immersion ion implantation system including a plasma source having low dissociation and low minimum plasma voltage
JP3929261B2 (ja) * 2000-09-25 2007-06-13 株式会社日立国際電気 基板処理装置および基板処理方法
US7111629B2 (en) * 2001-01-08 2006-09-26 Apl Co., Ltd. Method for cleaning substrate surface
SG96665A1 (en) * 2001-11-21 2003-06-16 Environmental Technology Inst An apparatus and method for cleaning glass substrates using a cool hydrogen flame
KR20040024051A (ko) * 2002-09-12 2004-03-20 어드벤스드 알케미(주) 반도체 소자의 세정액 및 이를 이용한 세정 방법
EP1562225A4 (en) * 2002-11-08 2007-04-18 Wako Pure Chem Ind Ltd CLEANING COMPOSITION AND METHOD FOR CLEANING WITH THE COMPOSITION
US7799141B2 (en) * 2003-06-27 2010-09-21 Lam Research Corporation Method and system for using a two-phases substrate cleaning compound
US7648584B2 (en) * 2003-06-27 2010-01-19 Lam Research Corporation Method and apparatus for removing contamination from substrate
US7913703B1 (en) 2003-06-27 2011-03-29 Lam Research Corporation Method and apparatus for uniformly applying a multi-phase cleaning solution to a substrate
US8316866B2 (en) * 2003-06-27 2012-11-27 Lam Research Corporation Method and apparatus for cleaning a semiconductor substrate
US8522801B2 (en) * 2003-06-27 2013-09-03 Lam Research Corporation Method and apparatus for cleaning a semiconductor substrate
US20040261823A1 (en) * 2003-06-27 2004-12-30 Lam Research Corporation Method and apparatus for removing a target layer from a substrate using reactive gases
US7737097B2 (en) * 2003-06-27 2010-06-15 Lam Research Corporation Method for removing contamination from a substrate and for making a cleaning solution
US20050124160A1 (en) * 2003-12-05 2005-06-09 Taiwan Semiconductor Manufacturing Co. Novel multi-gate formation procedure for gate oxide quality improvement
US8323420B2 (en) 2005-06-30 2012-12-04 Lam Research Corporation Method for removing material from semiconductor wafer and apparatus for performing the same
US8522799B2 (en) * 2005-12-30 2013-09-03 Lam Research Corporation Apparatus and system for cleaning a substrate
US8043441B2 (en) * 2005-06-15 2011-10-25 Lam Research Corporation Method and apparatus for cleaning a substrate using non-Newtonian fluids
US7862662B2 (en) * 2005-12-30 2011-01-04 Lam Research Corporation Method and material for cleaning a substrate
US7416370B2 (en) * 2005-06-15 2008-08-26 Lam Research Corporation Method and apparatus for transporting a substrate using non-Newtonian fluid
US7568490B2 (en) * 2003-12-23 2009-08-04 Lam Research Corporation Method and apparatus for cleaning semiconductor wafers using compressed and/or pressurized foams, bubbles, and/or liquids
US20050230350A1 (en) * 2004-02-26 2005-10-20 Applied Materials, Inc. In-situ dry clean chamber for front end of line fabrication
US20050205835A1 (en) * 2004-03-19 2005-09-22 Tamboli Dnyanesh C Alkaline post-chemical mechanical planarization cleaning compositions
US20050211171A1 (en) * 2004-03-26 2005-09-29 Applied Materials, Inc. Chemical vapor deposition plasma reactor having an ion shower grid
US7695590B2 (en) * 2004-03-26 2010-04-13 Applied Materials, Inc. Chemical vapor deposition plasma reactor having plural ion shower grids
US7767561B2 (en) * 2004-07-20 2010-08-03 Applied Materials, Inc. Plasma immersion ion implantation reactor having an ion shower grid
US8058156B2 (en) * 2004-07-20 2011-11-15 Applied Materials, Inc. Plasma immersion ion implantation reactor having multiple ion shower grids
US7666464B2 (en) * 2004-10-23 2010-02-23 Applied Materials, Inc. RF measurement feedback control and diagnostics for a plasma immersion ion implantation reactor
US7422775B2 (en) * 2005-05-17 2008-09-09 Applied Materials, Inc. Process for low temperature plasma deposition of an optical absorption layer and high speed optical annealing
US7312162B2 (en) * 2005-05-17 2007-12-25 Applied Materials, Inc. Low temperature plasma deposition process for carbon layer deposition
US20060260545A1 (en) * 2005-05-17 2006-11-23 Kartik Ramaswamy Low temperature absorption layer deposition and high speed optical annealing system
US7312148B2 (en) * 2005-08-08 2007-12-25 Applied Materials, Inc. Copper barrier reflow process employing high speed optical annealing
US7429532B2 (en) * 2005-08-08 2008-09-30 Applied Materials, Inc. Semiconductor substrate process using an optically writable carbon-containing mask
US7323401B2 (en) * 2005-08-08 2008-01-29 Applied Materials, Inc. Semiconductor substrate process using a low temperature deposited carbon-containing hard mask
US7335611B2 (en) * 2005-08-08 2008-02-26 Applied Materials, Inc. Copper conductor annealing process employing high speed optical annealing with a low temperature-deposited optical absorber layer
US20070048980A1 (en) * 2005-08-24 2007-03-01 International Business Machines Corporation Method for post-rie passivation of semiconductor surfaces for epitaxial growth
SG154438A1 (en) 2005-12-30 2009-08-28 Lam Res Corp Cleaning compound and method and system for using the cleaning compound
US20080009134A1 (en) * 2006-07-06 2008-01-10 Tsung-Yu Hung Method for fabricating metal silicide
US20080268617A1 (en) * 2006-08-09 2008-10-30 Applied Materials, Inc. Methods for substrate surface cleaning suitable for fabricating silicon-on-insulator structures
US20080057678A1 (en) * 2006-08-31 2008-03-06 Kishor Purushottam Gadkaree Semiconductor on glass insulator made using improved hydrogen reduction process
US20080148595A1 (en) * 2006-12-20 2008-06-26 Lam Research Corporation Method and apparatus for drying substrates using a surface tensions reducing gas
WO2008089168A2 (en) * 2007-01-19 2008-07-24 Applied Materials, Inc. Plasma immersion chamber
US7897213B2 (en) * 2007-02-08 2011-03-01 Lam Research Corporation Methods for contained chemical surface treatment
US20100151677A1 (en) * 2007-04-12 2010-06-17 Freescale Semiconductor, Inc. Etch method in the manufacture of a semiconductor device
US8211846B2 (en) 2007-12-14 2012-07-03 Lam Research Group Materials for particle removal by single-phase and two-phase media
JP5286290B2 (ja) * 2008-02-15 2013-09-11 ライオン株式会社 洗浄剤組成物および電子デバイス用基板の洗浄方法、並びに電子デバイス用基板
SG173462A1 (en) * 2009-03-05 2011-09-29 Applied Materials Inc Methods for depositing layers having reduced interfacial contamination
US20120080053A1 (en) * 2009-04-30 2012-04-05 Lion Corporation Method for cleaning of semiconductor substrate and acidic solution
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
JP5713808B2 (ja) * 2010-07-09 2015-05-07 東京エレクトロン株式会社 プラズマ処理方法及び半導体装置の製造方法
CN102453957A (zh) * 2010-10-25 2012-05-16 上海华虹Nec电子有限公司 降低锗硅外延表面缺陷的方法
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8771539B2 (en) 2011-02-22 2014-07-08 Applied Materials, Inc. Remotely-excited fluorine and water vapor etch
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US8771536B2 (en) 2011-08-01 2014-07-08 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
US8679982B2 (en) 2011-08-26 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and oxygen
US8679983B2 (en) 2011-09-01 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and nitrogen
US8927390B2 (en) 2011-09-26 2015-01-06 Applied Materials, Inc. Intrench profile
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
WO2013070436A1 (en) 2011-11-08 2013-05-16 Applied Materials, Inc. Methods of reducing substrate dislocation during gapfill processing
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US8765574B2 (en) 2012-11-09 2014-07-01 Applied Materials, Inc. Dry etch process
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US9064816B2 (en) 2012-11-30 2015-06-23 Applied Materials, Inc. Dry-etch for selective oxidation removal
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US8801952B1 (en) 2013-03-07 2014-08-12 Applied Materials, Inc. Conformal oxide dry etch
US10170282B2 (en) 2013-03-08 2019-01-01 Applied Materials, Inc. Insulated semiconductor faceplate designs
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US8895449B1 (en) 2013-05-16 2014-11-25 Applied Materials, Inc. Delicate dry clean
US9114438B2 (en) 2013-05-21 2015-08-25 Applied Materials, Inc. Copper residue chamber clean
CN104226625B (zh) * 2013-06-07 2016-08-10 中国航天科工集团第三研究院第八三五八研究所 一种高反射膜表面层的去除方法
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US8956980B1 (en) 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
US8951429B1 (en) 2013-10-29 2015-02-10 Applied Materials, Inc. Tungsten oxide processing
US9236265B2 (en) 2013-11-04 2016-01-12 Applied Materials, Inc. Silicon germanium processing
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9117855B2 (en) 2013-12-04 2015-08-25 Applied Materials, Inc. Polarity control for remote plasma
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9263278B2 (en) 2013-12-17 2016-02-16 Applied Materials, Inc. Dopant etch selectivity control
US9190293B2 (en) 2013-12-18 2015-11-17 Applied Materials, Inc. Even tungsten etch for high aspect ratio trenches
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9136273B1 (en) 2014-03-21 2015-09-15 Applied Materials, Inc. Flash gate air gap
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9847289B2 (en) 2014-05-30 2017-12-19 Applied Materials, Inc. Protective via cap for improved interconnect performance
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9159606B1 (en) 2014-07-31 2015-10-13 Applied Materials, Inc. Metal air gap
US9165786B1 (en) 2014-08-05 2015-10-20 Applied Materials, Inc. Integrated oxide and nitride recess for better channel contact in 3D architectures
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9478434B2 (en) 2014-09-24 2016-10-25 Applied Materials, Inc. Chlorine-based hardmask removal
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3435698B2 (ja) * 1992-03-11 2003-08-11 三菱瓦斯化学株式会社 半導体基板の洗浄液
JP3084497B2 (ja) * 1992-03-25 2000-09-04 東京エレクトロン株式会社 SiO2膜のエッチング方法
US5275687A (en) * 1992-11-20 1994-01-04 At&T Bell Laboratories Process for removing surface contaminants from III-V semiconductors
JP2760418B2 (ja) * 1994-07-29 1998-05-28 住友シチックス株式会社 半導体ウエーハの洗浄液及びこれを用いた半導体ウエーハの洗浄方法
KR0140652B1 (ko) * 1994-12-21 1998-07-15 김주용 반도체 기판의 세정방법
KR0170459B1 (ko) * 1994-12-28 1999-03-30 김주용 웨이퍼 세정방법 및 그 장치
KR100510440B1 (ko) * 1997-08-20 2005-10-21 삼성전자주식회사 세정용액및이를이용한반도체소자의세정방법
US5962384A (en) * 1997-10-28 1999-10-05 International Business Machines Corporation Method for cleaning semiconductor devices
JP3039493B2 (ja) * 1997-11-28 2000-05-08 日本電気株式会社 基板の洗浄方法及び洗浄溶液
US6029608A (en) * 1998-07-30 2000-02-29 Johnson; Terry D. Animal containment device
US6313042B1 (en) * 1999-09-03 2001-11-06 Applied Materials, Inc. Cleaning contact with successive fluorine and hydrogen plasmas
US6348420B1 (en) * 1999-12-23 2002-02-19 Asm America, Inc. Situ dielectric stacks

Also Published As

Publication number Publication date
KR20020007604A (ko) 2002-01-29
KR100366623B1 (ko) 2003-01-09
US6513538B2 (en) 2003-02-04
US20020028585A1 (en) 2002-03-07
JP2002050604A (ja) 2002-02-15

Similar Documents

Publication Publication Date Title
JP3789083B2 (ja) 洗浄溶液を用いた集積回路基板の汚染物質の除去方法
JP2581268B2 (ja) 半導体基板の処理方法
JP4224652B2 (ja) レジスト剥離液およびそれを用いたレジストの剥離方法
US8951433B2 (en) Compositions for use in semiconductor devices
KR100368193B1 (ko) 수성 세정 조성물
KR100319881B1 (ko) 집적 회로 기판 표면의 불순물을 제거하기 위한 세정 수용액 및 이를 이용한 세정 방법
US6703319B1 (en) Compositions and methods for removing etch residue
JP3046208B2 (ja) シリコンウェハおよびシリコン酸化物の洗浄液
KR100356528B1 (ko) 반도체 장치의 제조 방법
JPH11243085A (ja) エッチング剤組成
US6303482B1 (en) Method for cleaning the surface of a semiconductor wafer
US20020119245A1 (en) Method for etching electronic components containing tantalum
JP2643814B2 (ja) 半導体基板の洗浄方法
US6444582B1 (en) Methods for removing silicon-oxy-nitride layer and wafer surface cleaning
US7985297B2 (en) Method of cleaning a quartz part
JPH1174180A (ja) 半導体素子の製造方法
US6652666B2 (en) Wet dip method for photoresist and polymer stripping without buffer treatment step
US6423646B1 (en) Method for removing etch-induced polymer film and damaged silicon layer from a silicon surface
JPH07321080A (ja) シリコンウェハーの洗浄方法
JPH0750281A (ja) シリコンウェハーの洗浄方法
JP2003316028A (ja) レジスト残渣除去剤および洗浄剤
JP2001326209A (ja) シリコン基板の表面処理方法
US20030221703A1 (en) Method of removing germanium contamination on semiconductor substrate
KR20070023954A (ko) 기판의 세정 방법
JPH03222328A (ja) 処理液およびそれを用いた処理方法

Legal Events

Date Code Title Description
A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20050531

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20050705

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20051005

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20051101

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20060223

A911 Transfer to examiner for re-examination before appeal (zenchi)

Free format text: JAPANESE INTERMEDIATE CODE: A911

Effective date: 20060228

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20060322

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20060327

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

Ref document number: 3789083

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20100407

Year of fee payment: 4

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20110407

Year of fee payment: 5

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20120407

Year of fee payment: 6

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130407

Year of fee payment: 7

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20140407

Year of fee payment: 8

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250