JP3781939B2 - Negative resist composition and method for forming resist pattern - Google Patents

Negative resist composition and method for forming resist pattern Download PDF

Info

Publication number
JP3781939B2
JP3781939B2 JP2000061090A JP2000061090A JP3781939B2 JP 3781939 B2 JP3781939 B2 JP 3781939B2 JP 2000061090 A JP2000061090 A JP 2000061090A JP 2000061090 A JP2000061090 A JP 2000061090A JP 3781939 B2 JP3781939 B2 JP 3781939B2
Authority
JP
Japan
Prior art keywords
polymer
group
alkali
negative resist
resist composition
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP2000061090A
Other languages
Japanese (ja)
Other versions
JP2001249455A (en
Inventor
耕司 野崎
崇久 並木
映 矢野
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Fujitsu Ltd
Original Assignee
Fujitsu Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Fujitsu Ltd filed Critical Fujitsu Ltd
Priority to JP2000061090A priority Critical patent/JP3781939B2/en
Priority to TW089117944A priority patent/TWI294991B/en
Priority to KR1020000051745A priority patent/KR100658476B1/en
Priority to US09/654,433 priority patent/US6506534B1/en
Priority to DE10043678A priority patent/DE10043678A1/en
Priority to FR0011226A priority patent/FR2798202B1/en
Publication of JP2001249455A publication Critical patent/JP2001249455A/en
Priority to US10/291,723 priority patent/US6773867B2/en
Priority to US10/291,671 priority patent/US6794113B2/en
Priority to US10/291,608 priority patent/US6794112B2/en
Priority to US10/291,730 priority patent/US6787288B2/en
Application granted granted Critical
Publication of JP3781939B2 publication Critical patent/JP3781939B2/en
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Landscapes

  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Materials For Photolithography (AREA)
  • Compositions Of Macromolecular Compounds (AREA)
  • Addition Polymer Or Copolymer, Post-Treatments, Or Chemical Modifications (AREA)

Description

【0001】
【発明の属する技術分野】
本発明は、塩基性水溶液によって現像可能なネガ型レジスト組成物及びこれを用いたパターン形成方法に関する。近年、半導体集積回路は高集積化が進みLSIやVLSIが実用化されて配線パターンの最小線幅は0.2μm以下の領域にまで及んでおり、更に進んだ微細加工技術を確立することへの要求が益々、増大している。そのために、リソグラフィ分野ではこの要求の解決策として露光光源の波長を遠紫外領域の短波長へと移行させ、さらに深紫外領域の波長の光源を用いた露光技術も間もなく量産体制に入ると言われている。これに伴いレジスト材料に関しても上記のような短波長での光吸収を抑制でき、感度が良好であり、さらに高いドライエッチング耐性等を兼ね備えた材料の開発が急務となっている。
【0002】
【従来の技術】
近年、半導体製造における新しい露光光源としてフッ化クリプトンエキシマレーザ(波長248nm、以下KrFと略す)を用いたフォトリソグラフィが盛んに研究され、量産化も盛んに行われている。この様な短波長光源に対応できる高感度かつ高解像度を持ったレジストとして、化学増幅型と呼ばれる概念を用いたレジスト組成物が米IBM社のH.Itoらによって提案されている。
( J.M. Frechet et al., Proc. Microcircuit Eng., 260(1982), H. Ito et al., Digest of Technical Papers of 1982 Symposium on VLSI Technology, 86(1983), H. Ito et al., " Polymers in Electronics " , ACS Symposium Series 242, T. Davidson, ed., ACS, 11(1984)USP4, 491, 628(1985))。その基本概念は、レジスト膜中で触媒反応を起こさせて、見かけの量子収率を向上させ、高感度化を図るものである。
【0003】
これまで非常に広く研究及び利用がされているt−ブトキシカルボニル(t−BOC)化ポリビニルフェノール(PVP)に、光によって酸を発生する光酸発生剤(PAG:Photo Acid Generator)を加えた化学増幅型ポジレジストを例にとってみると、レジストの露光部では、露光後の加熱(PEB)によって、保護基であるt−BOC基が脱離し、イソブテンと二酸化炭素となる。脱離時に生じるプロトン酸が触媒となって連鎖的に脱保護反応が進行し、露光部の極性が大きく変化する。これに対して、適切な現像液を選択することにより、レジストパターンを形成するというものである。
【0004】
【発明が解決しようとする課題】
ところで、近年、盛んに研究されている超解像技術の1つに、位相シフトマスクやレベンソン型マスクと呼ばれる光の位相を変化させるマスクを用いる手法があり、露光波長以下の解像性と十分な焦点深度を得る手法として有望である。
【0005】
これらのマスクを用いる場合は、そのマスクパターンの制約からネガ型レジストが適していることが多く、この点からネガ型レジストに対する要望はかねてから強かった。これらのマスクは、KrFを光源とする場合は0.20μm以下の解像性が必要な場合に適用されると目されており、この様に微細なパターンを膨潤を伴うことなく解像できるレジストの開発が急務である。さらに、より波長の短いフッ化アルゴン(ArF)エキシマレーザ(波長193nm)、EB(電子線)を用いたリソグラフィの研究も盛んであり、0.13μm以下のパターン形成には必須となる技術である。従って、このようなさらなる微細加工の期待がかかる
ArF、EBにも適用可能なネガ型レジストの開発は産業上極めて有益である。
【0006】
そして、アルカリ現像型のKrFあるいはEB用のネガ型レジストとしては、酸触媒反応によって引き起こされる極性変化を利用するもの(例えば、H. Ito et al., Proc. SPIE, 1466, 408(1991), S. Uchino et al., J. Photopolym. Sci. Technol., 11(4), 555-564(1998) 等)と酸触媒架橋反応を利用するもの(例えば、 J. W. Thackeray et al., Proc. SPIE, 1086 34(1989), M. T. Allen et al., J. Photopolym. Sci. Technol., 4(3), 379-387(1991), Liu H. I, J. Vac. Sci. Technol., B6, 379(1988)等)がある。また、ArF用ネガ型レジストては、架橋型(例えば、A. Katsuyama et al., Abstracted Paper of Third International Syumposium on 193 nm Lithography, 51(1997), K. Maeda et al., J. Photopolym. Sci. Technol., 11(4), 507-512(1998) 等)等が知られている。
【0007】
しかしながら、実用化されているネガ型レジストはもっぱら後者の架橋型レジストであり、この機構は露光部の架橋反応を利用して分子量を増大させることによって、現像液への未露光部との溶解度差を生じさせパターンニングを行うものである。そのためにパターンの膨潤による微細加工の限界が避けられない。
【0008】
したがって、本発明の主な目的はパターンの膨潤という問題が無く、短波長の露光光源により実用可能な感度で微細なパターンを形成できる新規なネガ型レジスト組成物を提供することである。また、本発明の目的には、KrF或いはArFエキシマレーザ等に代表される深紫外領域の露光光源にも対応可能で、ドライエッチング耐性にも優れた新規なレジスト組成物を提供することを含む。さらに、本発明の目的には、露光部と未露光部の極性の差を大きくして、高感度、高コントラスト及び高解像度とを兼ね備えている微細なパターンが形成可能な新規なレジスト組成物を提供することも含む。
【0009】
そしてさらに、本発明のもう1つの目的は上記のようなレジスト組成物を使用してレジストパターンを形成する方法を提供することである。
【0010】
【課題を解決するための手段】
本発明者等は、上記した課題を解決すべく鋭意研究の結果、化学増幅レジスト組成物において、アルカリ可溶性基を有して塩基性水溶液に可溶な皮膜形成性の第1の重合体を基剤樹脂として使用し、かつアルコール構造を側鎖に有する第2の重合体をレジスト組成物に含むことが重要であるという知見を得て本発明を完成するに至ったものである。
【0011】
すなわち、上記目的は請求項1に記載の如く、
アルカリ可溶性基を有する第1の重合体と、前記アルカリ可溶性基と反応し得るアルコール構造を側鎖に有する第2の重合体と、結像用放射線を吸収して分解すると前記第1の重合体のアルカリ可溶性基と前記第2の重合体のアルコールとの反応を励起させる酸を発生可能な光酸発生剤とを含み、
自体塩基性水溶液に可溶であり、前記結像用放射線に露光されると前記光酸発生剤の作用により、前記露光部が前記塩基性水溶液に不溶となるネガ型レジスト組成物により達成される。
【0012】
請求項1記載の発明によれば、ネガ型レジスト組成物が結像用放射線に露光されると、光酸発生剤は第1の重合体のアルカリ可溶性基と第2の重合体のアルコールとの反応を励起させる酸を発生させ、これにより酸触媒反応が起こり露光部分を塩基性水溶液に対して不溶化することができる。
【0013】
また、請求項2に記載の如く、請求項1記載のネガ型レジスト組成物において、前記光酸発生剤により励起される反応は前記アルカリ可溶性基を保護する保護型反応及び/又は前記アルカリ可溶性基の塩基性水溶液への不溶化を促進する不溶促進型反応とすることができる。
【0014】
上記アルコールが第1の重合体のアルカリ可溶性基と反応する際に、アルコールの反応部位が第1の重合体のアルカリ可溶性基を保護するようにエーテル結合、エステル結合等を形成するので塩基性水溶液に不溶化する。よって、未露光部と露光部の極性差が大きくなるので、露光部分の膨潤という問題を生ずること無く、高感度、高コントラスト及び高解像度を兼ね備えた微細なレジストパターンを形成できるネガ型レジスト組成物となる。
【0015】
また、上記保護型の反応に伴ってアルコールが、第1の重合体のアルカリ可溶性基の性質を低下させるようなアルカリ不溶化促進型の反応を生じさせてもよく、この場合も未露光部と溶解度差が増すので同様にネガ型の微細なレジストパターンを形成できる。
【0016】
また、請求項3に記載の如く、請求項1又は2記載のネガ型レジスト組成物において、前記アルコール構造は3級アルコール構造であることが好ましい。第2の重合体の側鎖に3級アルコール構造を含むと第1の重合体のアルカリ可溶性基との間で脱水反応が生じ易くなり、上記第1の重合体と第2の重合体との反応を促進することができる。
【0017】
また、請求項4に記載の如く、請求項3記載のネガ型レジスト組成物において、前記3級アルコール構造は下記一般式(1)から(4)いずれかで示される構成とすることができる。
【0018】
【化5】

Figure 0003781939
(式(1)において、Rは第2の重合体の主鎖に繋がる原子団を表わす。R、Rは任意のアルキル基であり、炭素数が1から8であり直鎖若しくは枝分かれ構造又は環状構造を含む。)
【0019】
【化6】
Figure 0003781939
(式(2)においてRは前記定義と同じである。nは2から9である。Rは炭素数が1から8であり、直鎖若しくは枝分かれ構造又は環状構造を含む。)
【0020】
【化7】
Figure 0003781939
(式(3)においてRは前記定義と同じである。Yは水素原子又は、炭素数が1から6の任意のアルキル基、アルコキシカルボニル基、ケトン基、水酸基、シアノ基を表わす。)
【0021】
【化8】
Figure 0003781939
(式(4)においてR及びYは前記定義と同じである。)
前記構造を有する3級アルコールは、光酸発生剤からの酸の存在下で第1の重合体のアルカリ可溶性基と不溶化する反応を生じて、露光部分を塩基性水溶液に対してより確実に不溶とすることができる。
【0022】
また、第1の重合体に対して第2の重合体が相溶性を備えていればよく、第1の重合体及び第2の重合体それぞれは、その主鎖部分について特に限定されるものではないが、請求項5に記載の如く、請求項1から4いずれかに記載のネガ型レジスト組成物において、前記第1の重合体及び第2の重合体のそれぞれは、アクリル酸系、メタクリル酸系、イタコン酸系、ビニル安息香酸系、ビニルフェノール系、ビシクロ[2.2.1]ヘプト−5−エン−2−カルボン酸系、N−置換マレイミド系の化合物及びこれらの誘導体からなる群から選択された少なくとも1つのモノマ単位からなる構成とすることができる。第1の重合体と第2の重合体それぞれのモノマ単位は、同一でも異なってもよい。また、それぞれが単一のモノマで形成される場合だけでなく共重合の形態となってもよい。
【0023】
基材樹脂となる第1の重合体において、アルカリ可溶性基を有するモノマユニットの占める割合は、樹脂自体が適切なアルカリ可溶性を示す限りにおいては問われないが、ネガレジストとして実現可能と考えられる適切なアルカリ溶解速度(2.38%TMAH現像液での溶解速度が100Å/sから30,000Å/s程度)を得ることを考慮する必要がある。この様なアルカリ溶解速度を満たしている場合は、1成分のモノマユニットからなる単独重合体をアルカリ可溶性基材樹脂として使用可能であり、そのような組成も好ましい。この様な樹脂としては、例えばポリビニルフェノール、ポリビニル安息香酸、ポリメタクリル酸、ポリアクリル酸なとが挙げられる。
【0024】
また、2成分以上のモノマユニットからなる重合体の場合でカルボキシル基をアルカリ可溶性基とする場合、そのモノマユニットの含有率は10〜90mol%が好ましく、より好ましくは30〜70mol%が推奨される。このモノマユニットの含有率が1mol%を下回ると、アルカリ溶解性が不十分なため満足のいくパターニングが不可能となり、また逆に90mol%を上回ると、アルカリ可溶性が強すぎるため塩基性水溶疲への溶解速度が速すぎてしまい、極性変化によるパターニングは不可能となる。かかるモノマユニットの含有率は、さらに好ましくは30〜50mol%である。
【0025】
また、フェノール性水酸基をアルカリ可溶性基とする場合、そのモノマユニットの含有率は20〜99mol%が好ましく、より好ましくは50から95mol%が奨励される。このモノマユニットの含有率が30mol%を下回ると、アルカリ溶解性が不十分なため、満足のいくパターンニングが不可能となる。かかるモノマユニットの含有率は、さらに好ましくは80〜95mol%である。
【0026】
また、本ネガ型レジスト組成物でアルコール構造を有する第2の重合体の含有量は、特に限定さるものではなく、第1の重合体との関係で組成物全体としてアルカリ溶解性を維持できかつ第1の重合体のアルカリ可溶性基を不溶化できるような含有量であればよい。上記ネガ型レジスト組成物において、前記第2の重合体の含有量は、前記第1の重合体及び第2の重合体の総ポリマ重量に対して、0.1から80wt%であることが好ましい。
【0027】
また、本ネガ型レジスト組成物で第2の重合体の分子量は特に限定されず、第1の重合体との関係で組成物全体としてアルカリ溶解性を維持できればよい。上記ネガ型レジスト組成物において、前記第2の重合体の分子量は500から100,00の範囲が推奨される。
【0028】
また、請求項6に記載の如く、請求項1から5いずれかに記載のネガ型レジスト組成物において、アルコール構造を有する化合物がさらに添加されていることとしてもよい。第2の重合体のアルコール構造が不足する場合にアルコール構造を有する他の化合物をさらに添加することにより、本ネガ型レジストの露光部分の塩基性水溶液に対する不溶化を確実に促進することができる。
【0029】
また、前記アルコール構造を有する化合物は3級アルコール構造を含むことが好ましい。この化合物は、アルコール構造を有する第2の重合体と同様に第1の重合体のアルカリ可溶性基と反応して、露光部分で第1の重合体の有するアルカリ可溶性基の塩基性水溶液に対する不溶化を促進することができる。
【0030】
採用可能なアルコール構造としては、アリルアルコ−ル構造、2級あるいは3級アルコ−ル構造を有するものが挙けられるが、3級構造を有するものがより好ましい。これらは前記したアルカリ可溶性基と反応可能であり、ネガ型パターンの形成に大きく寄与するためとりわけ効果的である
また、上記アルコール構造を有する化合物が常用のレジスト処理で気化しその機能を失わない程度の沸点を有するという観点から、上記ネガ型レジスト組成物において、前記アルコール構造を有する化合物の沸点は少なくとも130℃以上であることが好ましい。
【0031】
また、上記ネガ型レジストにおいて、前記アルコール構造を有する化合物が脂環式構造又は多環性脂環式構造含むことが好ましい。このような構造を有していればエッチング時でのエッチング耐性を向上させることもできる。
【0032】
また、請求項7に記載の如く、請求項6記載のネガ型レジストにおいて、前記アルコール構造を有する化合物は1つの水酸基に加え、ケトン基、アルコキシカルボニル基、および他の水酸基のいずれかを少なくとも含むことが好ましい。
【0034】
また、上記いずれかに記載のネガ型レジスト組成物において、前記第1の重合体の分子量は2000から1000,000の範囲が推奨される。
【0035】
なお、本発明のネガレジスト組成物での光酸発生剤(PAG)の含有量は、それが露光光源に曝された後、発生する酸の強さに依存するが、通常0.1〜50wt%(第1の重合体及び第2の重合体の総ポリマ重量に対する百分率)が推奨されるが、より好ましくは1〜15wt%が推奨される。また、本発明に用いられる基材樹脂の分子量(重量平均分子量)は2,000〜100万の範囲が堆奨されるが、好ましくは5,000から10万、より好ましくは3,000〜50,000の範囲が推奨される。同様に、アルカリ可溶性基と反応しうるアルコ−ル構造を側鎖に有する第2の重合体の分子量(重量平均分子量)は300〜100万の範囲が堆奨されるが、好ましくは500〜10万、より好ましくは1,000〜10,000の範囲が推奨される。
【0036】
また、本発明のレジスト組成物は、好ましくは乳酸エチル、メチルアミルケトン、メチル−3−メトキプロピオネート、エチル−3−エトキシプロピオネート、プロピレングリコ−ルメチルエーテルアセテート及びそれらの混合物からなる群から選択された溶媒に溶解した溶液の形で提供される。また、このレジスト溶液は、必要に応じて酢酸ブチル、γ−ブチロラクトン、プロピレングリコ−ルメチルエーテル、及びその混合物からなる群から選択された溶媒を補助溶媒としてさらに含んでいてもよい。
【0037】
さらに、本発明のもう1の目的は、請求項8に記載の如く、請求項1からいずれかに記載のネガ型レジスト組成物を被処理基板上に塗布してレジスト膜を形成し、前記光酸発生剤の分解を促す結像用放射線により前記レジスト膜に対して選択的な露光を行い、露光後のレジスト膜を塩基性水溶液で現像する一連の工程を含んでなる、レジストパターンの形成方法により達成することができる。
【0038】
本発明によるレジストパターンの形成方法において、被処理基板上に形成されたレジスト膜は、それを選択的露光工程に供する前及びその後で加熱処理を施すことが好ましい。すなわち本発明では、レジスト膜をその露光前にプリベーク処理するとともに、露光の後であって現像を行う前に先にPEB(ポストエクスポージャー・ベイキング)として説明したところのポスベーク処理をすることが好ましい。これらの加熱処理は、定法に従って有利に実施することができる。
【0039】
さらにまた、本発明のレジスト組成物は、露光光源の波長(150〜300nm)における吸光度が1.75/μm以下てあることが十分なパターンニグ特性を得る上で望ましいが、EBを光源とする場合は吸光度に特に制限はない。
【0040】
現像液として使用される塩基性水溶液は、水酸化カリウム等に代表されるI、II族に属する金属水酸化物の水溶液や、水酸化テトラアルキルアンモニウム等の金属イオンを含有しない有機塩基の水溶液が挙げられるが、より好ましくは水酸化テトラメチルアンモニウム(TMAH)の水溶液であり、現像効果の向上のために界面活性剤の様な添加物を加えてもよい。
【0041】
【発明の実施の形態】
本発明によるレジスト組成物及びレジストパターン形成方法は、以下の詳細な説明に示すように、種々の好ましい形態で実施することができる。
【0042】
本発明は、被処理基板上にネガティブなレジストパターを形成する、塩基性水溶液により現像可能な化学増幅型のネガレジスト組成物に関するものである。
【0043】
このレジスト組成物は、(a)アルカリ可溶性基を含有する皮膜形成性の第1の重合体と、(b)側鎖にアルコ−ル構造を有する第2の重合体と、(c)結像用放射線を吸収して分解すると、前記重合体中のアルコ−ル構造を有する部分と前記第1の重合体のアルカリ可溶性基を反応させうる酸を発生可能なPAG(光酸発生剤)とを含んでなり、それ自体が塩基性水溶液に可溶なものである。
【0044】
本発明のレジスト組成物における化学増幅のメカニズムを説明すると、次の通りである。ここでは、アルカリ可溶性基を有する第1の重合体としてアルカリ可溶部にビニルフェノールを有する樹脂を用い、第2の重合体として前記式(3)に示したアルコ−ル構造を側鎖に有する樹脂を用いた例を以下に示す。
【0045】
レジスト組成物中のPAGは、レジスト膜の形成後に現像用の結像放射線に曝露されると、その放射線を吸収して酸を発生する。次いで、好ましくはこの露光後のレジスト膜を加熱すると、先に生じた酸が触媒的に作用して、膜の露光部において次のような3級アルコ−ルの脱水反応が起こり、近傍のフェノール環と反応して重合体のアルカリ可溶性基の性質が塩基性水溶液に不溶となるように変化する。
【0046】
【化9】
Figure 0003781939
この反応においては、脱水反応後のカチオンはビニルフェノールの水酸基、又はそのオルト位の炭素と求電子置換反応を生じる。前者では、直接アルカリ可溶性基と反応しアルカリ溶解性を低下させ、後者ではアダマンチル基の強い疎水性とその立体障害によってアルカリ溶解性を低下させる。すなわち前者の場合は、第1の重合体のフェノール環の水酸基が第2の重合体のアルコールの反応部位であるOH基により保護される反応が起こるので、露光部の極性が変化して露光部でのアルカリ溶解性が著しく低下する。また、後者の場合にも、第1の重合体のフェノール環と第2の重合体のアルコールのOH基がオルト位で結合することで立体障害を生じるので露光部でのアルカリ溶解性が低下する。従って、露光部ではアルカリ溶解性が著しく低下し、ネガ型パターンを与える。上記保護型反応と立体障害に基づくアルカリ不溶化促進反応はいずれか一方が生じる形態でもよく、保護型反応を主とする反応は露光部の極性変化を最も利用できるので好ましい。この例に示される反応はKrF、EBを光源として露光を行った場合に主に適用できる。
【0047】
次に第1の重合体のアルカリ可溶部にカルボン酸ユニットを持つアクリル酸を用い、アルコ−ル構造を有する第2の重合体について式(3)の化合物を側鎖に用いた例を示す。上記の例と同様に脱水反応が起こり、近傍のカルボン酸と反応し、第1の重合体のアルカリ可溶性が低下する。従って、露光部でアルカリ溶解性が著しく低下し、ネガ型パターンを与える。この例ではアルコールによる脱水反応によりカルボン酸を保護する反応のみが生じている。この例に示される反応はArFを光源として露光を行った場合に主に適用できる。
【0048】
【化10】
Figure 0003781939
上記説明から明らかなように、本発明のレジスト組成物では、第1の重合体(基材樹脂)中のアルカリ可溶性基と反応可能なアルコールを有する第2の重合体(追加の樹脂)を含み、その反応によってプロトン酸を再生する増幅型のため高感度を達成できる。また、官能基が保護された後はアルカリ可溶性基が消失(エーテルやエステルに変化)するため、レジスト膜の露光部はアルカリ不溶となる。従って、塩基性水溶液で現像後、ネガティブパターンを形成できる。なお、本発明では重合体において生じる極性変化を利用してパターン形成を行っているため、膨潤のないパターンが得られることになる。
【0049】
また、本発明のレジスト組成物において基材樹脂として用いられるアルカリ可溶性重合体には、それが特に3元共重合体の形態をとる場合において.その第1のモノマ単位にカルボン酸やフェノールに代表される比較的強いアルカリ可溶性基を有し、その第2のモノマ単位に例えばラクトン環構造、酸無水物、イミド環構造などを有する弱いアルカリ可溶性基を用いることもできる。このような場合には、強いアルカリ可溶性基と弱いアルカリ可溶性基の含有量をコントロールすることによって、基材樹脂のアルカリ溶解速度を好ましい値に調整することが容易になる。また、第3のモノマユニットにはエッチング耐性を有する官能基を有するものを用いることも可能であり、それはレジストとして非常に好ましい。
【0050】
さらに本レジスト組成物の第2の重合体に含まれるアルコール構造が3級アルコ−ルである場合は、脱水反応がより起こり易く、非常に好ましい構造である。また、樹脂中のアルコ−ル構造を有するユニット以外に、上記したような反応が期待できるアルコ−ル構造を有する化合物を別途添加剤としてを含ませることも可能であり、そのような材料構成も好ましい。このアルコ−ル構造含有化合物の構造は特に問わないがエッチング耐性に寄与させることも考慮すると、多環性脂環式化合物やベンゼン環を有する化合物が好ましい。さらに、このアルコ−ル構造含有化合物が、第2の重合体の側鎖と同様に、酸で脱水し易い3級アルコ−ル構造を有していることも極めて好ましい。
【0051】
本発明のレジスト組成物において基材樹脂として用いられるアルカリ可溶性の第1の重合体の構造は、上記したような条件、特に適切なアルカリ溶解速度を有するという条件を満たす限りにおいて、特に限定できるものではないけれども、ノボラックレジスト並のドライエッチング耐性を得ることを考慮に入れた場合、多環性脂環式炭化水素系化合物をエステル基に有するアクリレート系モノマー単位やメタアクリレート系モノマー単位との重合体、ビニルフェノール系重合体、N−置換マレイミド系重合体、スチレン系重合体などを使用することが推奨される。特に、アクリレート系及びメタクリレート系重合体は、露光光源として深紫外線、特に220nm以下の波長を持つ光源を適用する場合に、その波長の光の吸収が小さい点において重要である。換言すると、深紫外線を露光光源とする場合には、一般的に深紫外領域の光を大きく吸収する芳香族環や、共役二重結合等のモル吸光係数の大きい発色団を含まないような構造を有する重合体を使用することが望ましい。
【0052】
特にArFエキシマレーザのような極短波長領域の露光波長を光源として用いる場合には、ドライエッチング耐性とともに当該波長(193nm)における透明性が必要となるため、上記したようにドライエッチング耐性の高いアダマンチル基、ビシクロ[2.2.1]オクチル基、ノルボルニル基等に代表されるような多環性脂環式炭化水素構造を含有するエステル基を有する重合体の使用が推奨される。
【0053】
本発明の実施において、有利に使用することができるアルコ−ル構造を有する第2の重合体の構造は特に限定されるわけではないものの、比較的高い分子量のものを用いる場合は、基材樹脂と相分離を起こさないように相溶性に注意する必要がある。従って、相分離を起こさない組み合わせとしてはオリゴマ程度の低い分子量のものが推奨されるが、ビニルフェノール類とアクリル系樹脂に代表されるような相溶性の高い組み合わせにおいてはこの限りではなく、この様な樹脂系の組み合わせもまた好ましい。第2の重合体の主鎖は第1の重合体と同様なモノマを用いることができる。
【0054】
第2の重合体の有するアルコール構造は、以下に列挙するものに限定されるわけではないけれども、例えば次のような構造を側鎖に挙げられることができる。
【0055】
【化11】
Figure 0003781939
(上記構造式において、 R〜Rは水素原子、又は1〜6個の炭素原子を含むアルキル基であり、直鎖でも枝分かれ構造でも環状構造を有していてもよく、また同一でも異なっていてもよい。Xは水素原子又はメチル基を示す。Yは水素を含む任意の置換基であり、炭素数1〜6の任意のアルキル基、アルコキシカルボニル基、ケトン基、水酸基、シアノ基を表す。nは1〜6の整数を示す。)アルコ−ル構造を有する第2の重合体は、以下に列挙するものに限定されるわけではないけれども次のような重合体を包含する。なお、式中のl、m、nは上記した重量平均分子量を得るのに必要なモノマ単位(繰り返し単位)の数である。
【0056】
【化12】
Figure 0003781939
(上記構造式において、Zは先に挙げたアルコ−ル構造を有する部分であり、Xは水素原子又はアルキル基を示す。Rは任意のアルキル基であり、直鎖、技分かれ、環状構造であってもよく置換基に芳香族を含んでもよい。)
【0057】
【化13】
Figure 0003781939
(上記構造式において、X、Y、Z及びRは前記と同じ定義である。Rは任意のアルキル基であり、直鎖、技分かれ、環状構造であってもよく置換基に芳香族を含んでもよい。)
【0058】
【化14】
Figure 0003781939
(上記構造式において、X、Y、Z、R及びRは前記と同じ定義である。)
【0059】
【化15】
Figure 0003781939
ビニル安息香酸系重合体
(上記構造式において、X、Y、Z及びRは前記と同じ定義である。)
この他、マレイン酸やフマル酸のジエステル、イタコン酸のジエステル等も有利に使用することができる。
【0060】
さらに、前述したように本発明のレジスト組成物に添加されるアルコ−ル構造を有する化合物として、例えば以下のようなアルコ−ル化合物を有利に使用できるが、3級アルコ−ル構造が好ましい。
【0061】
【化16】
Figure 0003781939
(上記構造式において、XXは水素原子、又は炭素数1〜8個のアルキル基であり、直鎖、枝分かれ、環状構造であり、さらに置換基を有していてもよい。nは1〜6である。YYは任意の置換基であり、炭素数1〜6の任意のアルキル基、アルコキシカルボンニル基、ケトン基、水酸基、シアノ基を表す)
本発明で挙げたアルカリ可溶性基を有する第1の重合体並びに側鎖にアルコール構造を有する第2の重合体は、高分子化学において一般的に用いられている重合法を用いて調整することができる。例えば、所定のモノマー成分をフリーラジカル開始剤としてAIBN(2,2’−アゾビスイソブチロニトリル)の存在下で加熱することによって有利に調整することができる。
【0062】
また、メタクリレート重合体は深紫外領域で高い透明性を有することがよく知られており第1の重合体及び第2の重合体の構造において、露光波長付近でモル吸光係数の大きな発色団を含まない構造を適宜選択すれば、適量のPAG(光酸発生剤)と組み合わせて深紫外線を用いた露光にも有利に対応できる高感度なレジストとなる。
【0063】
前記したようなアルカリ可溶性の第1の重合体は、アルコ−ルの存在下、酸触媒反応により、塩基性水溶液に対して不溶化の反応を生じるアルカリ可溶性基を有しており、それらの反応によりプロトン酸を再生できるため、高感度を達成することがてきる。また、反応後にはアルカリ可溶性基が消失、又は立体障害等でアルカリ溶解性が低下するため、レジスト膜の露光部は塩基性水溶液に不溶となり、従って現像によって未露光部が溶解したネガティブパターンが得られる。この場合、基材樹脂において生じる極性変化を主に利用しているため、膨潤のないパターンが得られる。
【0064】
本発明の化学増幅型レジストにおいて、上記したような酸感応性重合体と組み合わせて用いられるPAGは、レジストの化学において一般的に用いられているPAG、すなわち紫外線、遠紫外線、真空紫外線、X線等の放射線の照射によりプロトン酸を生じる物質を用いることができる。本発明において使用できるPAGは、以下に列挙するものに限定はされないけれども、次のようなものを包含する。
【0065】
【化17】
Figure 0003781939
(上式において、R’’は置換若しくは非置換の芳香族環又は脂環式基を表し、X”は例えばBF、PF6、AsF、SbF CFSO、ClOのいずれかを表す。)
【0066】
【化18】
Figure 0003781939
【0067】
【化19】
Figure 0003781939
(上式においてX’は例えばCl又はBrを表わす。)
これらのPAG(光酸発生剤)は、本発明のレジスト組成物中において、いろいろな量で使用することができる。PAGの使用量は、0.1〜50wt%(第1及び第2の重合体を合わせた総ポリマ重量に対する百分率)が推奨されるが、より好ましくは1〜15wt%が堆奨される。しかしながら、本発明のレジスト組成物では露光波長における吸光度が1.75/μm以下となるように、重合体並びにPAGの構造、及びPAGの使用量を考慮することが好ましい。当然のことながら、露光光源として電子線を用いる場合には透明性に関する問題は生じないのでPAGの含有量の制限は特にない。
【0068】
本発明のレジスト組成物は、通常上記したアルカリ可溶性の第1の重合体と、好ましくは3級アルコール構造を側鎖に有する第2の重合体、及びPAGを適当な有機溶媒に溶解して、レジスト溶液の形で有利に使用することができる。また、必要に応じて前記したような3級アルコ−ル構造を有する化合物をさらに添加することも可能でありそのような構成もまた好ましい。
【0069】
上記レジスト組成物の調整に有用な有機溶媒は、乳酸エチル、メチルアミルケトン、メチル−3−メトキシプロピオネート、エチル−3−エトキシプロピオネート、及びプロピレングリコールメチルエーテルアセテート等が堆奨されるが、これらに限定はされない。また、これらの溶液は単独で使用しても良いが、必要に応じて、2種類以上の溶媒を混合使用してもよい。これらの溶媒の使用量は特に限定されないが、スピン塗布等の塗布に適当な粘度及び所望のレジスト膜厚を得るのに十分な量で使用するのが好ましい。
【0070】
また、本発明のレジスト溶液には必要に応じて、上記したような溶媒(主溶媒)に加えて補助溶媒を使用してもよい。補助溶媒は、溶質の溶解性並びに溶液の塗布均一性によっては必要ないが、溶解度の低い溶質を用いた場合や塗布均一性が所望の状態でない場合に、通常主溶媒に対して1〜30wt%の範囲で添加することが好ましく、より好ましくは10〜20wt%である。有用な補助溶媒の例は以下に限定されるものではないが、酢酸ブチル、γ−ブチロラクトン、プロピレングリコ−ルメチルエーテル等を包含する。
【0071】
さらに、本発明は上述したようなネガ型レジスト組成物を使用して、被処理基板上にレジストパターンを形成する方法を提供する。本発明のネガティブレジストパターンの形成は、通常次のようにして実施することができる。
【0072】
まず、被処理基板上に本発明のレジスト組成物を塗布しレジスト膜を形成する。被処理基板は、半導体装置、その他の装置において通常用いられている基板であることができ、そのいくつかの例として、シリコン基板、ガラス基板、非磁性セラミックス基板などを挙げることができる。また、これらの基板上には、必要に応じて追加の層、例えばシリコン酸化膜層、配線用金属層、層間絶縁膜層、磁性膜などが存在していてもよく、また各種の配線、回路等が作り込まれていてもよい。さらにこれらの基板は、それに対するレジスト膜の密着性を高めるため、定法に従って疎水化処理されていてもよい。適当な疎水化処理剤としては、例えば1,1,1,3,3,3−へキサメチルジシラザン(HMDS)等を挙げることができる。
【0073】
レジスト組成物の塗布は、上記したように、それをレジスト溶液として被処理基板上に塗布することができる。レジスト溶液の塗布は、スピン塗布、ロール塗布、ディップ塗布などの常用の技法があるが、特にスピン塗布が有用である。レジスト膜厚は約0.1〜200μmの範囲が推奨されるが、KrFやArFなどのエキシマレーザでの露光の場合は、0.1〜15μmの範囲が推奨される。なお、形成されるレジストの膜厚は、その使途などの要因に応じて広く変更することができる。
【0074】
基板上に塗布したレジスト膜は、それを結像用放射線で選択的に露光する前に、約60〜180℃の温度で約30〜120秒間に亘ってプリベークすることが好ましい。このプリベークは、レジストプロセスでの常用の加熱手段を用いて実施することができる。適当な加熱手段として、例えばホットプレート、赤外線加熱オーブン、マイクロ波加熱オーブンなどを挙げることができる。
【0075】
次いで、プリベーク後のレジスト膜を常用の露光装置で結像用の放射線で選択的に露光する。適当な露光装置は、市販の紫外線(遠紫外線、深紫外線)露光装置、X線露光装置、電子ビーム露光装置、その他である。露光はその都度適当な条件を選択することができるが、特に本発明では前述したようにエキシマレーザ(波長248nmのKrFレーザ及び波長193nmのArFレーザ)を露光光源として有利に使用することができる。付言すると、本願明細書では、「放射線」なる語を用いた場合、これらのいかなる光源からの放射線をも意味するものとする。
【0076】
露光後のレジスト膜をPEBすることによって、酸を触媒として主に保護型の反応によりアルカリ可溶性基を塩基性水溶液に対して不溶化するような極性変化が生じる。この露光後ベークは保護反応が十分に生じる範囲であれば先のプリベ−クと同様にして行うことができる。例えば、ベーク温度は約60〜180℃の温度で約30〜120秒間に亘って行うことができるが、所望のパターンサイズ、形状などによって調節することが好ましい。
【0077】
PEBの後、レジスト膜を現像液としての塩基性水溶液で現像する。この現像には、スピンデベロッパ、ディップデベロッパ、スプレーデベロッパ等の常用の現像装置を使用することができる。ここで現像液として使用される塩基性水溶液は、特に制限はされないが水酸化カリウム等に代表されるI、II族に属する金属水酸化物の水溶液や、水酸化テトラアルキルアンモニウム等の金属イオンを含有しない有機塩基性の水溶液が挙げられるが、より好ましくは水酸化テトラメチルアンモニウム(TMAH)の水溶液であり、現像硬化の向上のため界面活性剤の様な添加物を加えてもよい。現像の結果として、レジスト膜の未露光量域が溶解除去され、露光量域のみがネガティブレジストパターンとして基板上に残留する。
[実施例]
下記の実施例は、本発明の酸感応性重合体の合成及びレジスト組成物の調整、並びにレジストパターンの形成に関して、詳細に説明したものである。なお、下記実施例はほんの1例であって、本発明の範囲を何ら限定するものではない。
実施例1
丸善石油製ポリビニルフェノールに3−ヒドロキシアダマンチルメタクリレートのホモポリマ(分子量2000)を7wt%加え、PGMEA(プロピレングリコールメチルエーテルアセテート)に溶解して樹脂溶液とした。得られた溶液に5wt%のトリフェニルスルフォニウムトリフルオロメタンスルホネートを加えて十分に溶解させた。得られたレジスト溶液を0.2μmのテフロンメンブランフィルタで濾過した後、HMDS処理を施したシリコン基板上にスピンコートし、110℃で60秒プリベークを行い、0.5μm厚のレジスト皮膜を形成した。これをKrFエキシマレーザステッパ(NA=0.45)で露光した後、120℃で60秒間ベークし、2.38%のテトラメチルアンモニウムハイドロオキシド(TMAH)現像液で現像後、脱イオン水でリンスした。露光量14.0mJ/cmで0.25μmL/Sが解像した。このレジストパターンでは膨潤を生じていなかった。
実施例2
前記実施例1のレジスト溶液を用いて、同様にHMDS処理を施したシリコン基板上に0.5μm厚のレジスト皮膜を形成した。これをEB露光装置(50kV)で露光した後120℃で60秒間ベークし、2.38%のテトラメチルアンモニウムハイドロオキシド(TMAH)現像液で現像後、脱イオン水でリンスした。露光量12μC/cm2で0.15μmL/Sが解像した。このレジストパターンでは膨潤を生じていなかった。
実施例3
前記実施例1の樹脂溶液に1−アダマンタノールをポリビニルフェノール重量に対して10wt%加えた。樹脂に対してジフェニルヨードニウムトリフルオロメタンスルホネートを5wt%加えてレジストとした。これをHMDS処理を施したシリコン基板上にスピンコ−トし、110℃で60秒プリベークを行い、0.5μm厚のレジスト皮膜を形成した。これをKrFエキシマレーザ露光装置で露光した後、120℃で60秒間ベークし、2.38%テトラメチルアンモニウムハイドロオキシド(TMAH)現像液で現像後、脱イオン水でリンスした。露光量8mJ/cmで0.25μmL/Sが解像した。このレジストパターンでは膨潤を生じていなかった。
実施例4
前記実施例1の樹脂溶液に3−ヒドロキシビシクロ[2.2.2]オクタンを樹脂重量に対して10wt%、補助溶媒として10wt%のγ一ブチロラクトンも含ませた。樹脂に対してジフェニルヨードニウムトリフルオロメタンスルホネートを5wt%加えてレジストとした。これをHMDS処理を施したシリコン基板上にスピンコートし、110℃で60秒プリベークを行い、0.5μm厚のレジスト皮膜を形成した。これをKrFエキシマレーザ露光装置で露光した後、120℃で60秒間ベークし、2.38%テトラメチルアンモニウムハイドロオキシド(TMAH)現像液で現像後、脱イオン水でリンスした。露光量9mJ/cmで0.25μmL/Sが解像した。このレジストパターンでは膨潤を生じていなかった。
実施例5
前記実施例4のレジストをEB露光装置(50kV)で露光した後、120℃で60秒間ベークし、2.38%テトラメチルアンモニウムハイドロオキシド(TMAH)現像液で現像後、脱イオン水でリンスした。露光量15μC/cmで0.15μmL/Sが解像した。このレジストパターンでは膨潤を生じていなかった。
実施例6
3−ヒドロキシアダマンチルメタクリレート、4−アセトキシスチレンを仕込み比2:8で仕込んで基材樹脂を合成した。得られた樹脂をアルカリ溶液で処理し、アセチル基を加溶媒分解し3−ヒドロキシアダマンチルメタクリレート−ビニルフェノール共重合体を得た(分子量4500)。丸善石油製ポリビニルフェノールにこれを15wt%加え、PGMEA(プロピレングリコールメチルエーテルアセテート)に溶解し、5wt%のトリフェニルスルフォニウムトリフルオロメタンスルホネートを加えて十分に溶解させた。得られたレジスト溶液を0.2μmのテフロンメンブランフィルタで濾過した後、HMDS処理を施したシリコン基板上にスピンコートし、110℃で60秒プリベークを行い、0.5μm厚のレジスト皮膜を形成した。これをKrFエキシマレーザステッパ(NA=0.45)で露光した後、120℃で60秒間べ−クし、2.38%テトラメチルアンモニウムハイドロオキシド(TMAH)現像液で現像後、脱イオン水でリンスした。露光量12mJ/cmで0.25μmL/Sが解像した。このレジストパターンでは膨潤を生じていなかった。
実施例7
前記実施例6のレジストをEB露光装置(50kV)で露光した後、120℃で60秒間ベークし、2.38%テトラメチルアンモニウムハイドロオキシド(TMAH)現像液で現像後、脱イオン水でリンスした。露光量18μC/cmで0.12μmL/Sが解像した。このレジストパターンでは膨潤を生じていなかった。
実施例8
前記実施例6の樹脂溶液に1−アダマンタノールを樹脂重量に対して5wt%、5wt%のトリフェニルスルフォニウムトリフルオロメタンスルホネートを添加してレジストを調整した。得られたレジスト溶液を0.2μmのテフロンメンブランフィルタで濾過した後、HMDS処理を施したシリコン基板上にスピンコートし、110℃で60秒プリベークを行い、0.5μm厚のレジスト皮膜を形成した。これをKrFエキシマレーザステッパ(NA=0.45)で露光した後、110℃で60秒間べ−クし、2.38%テトラメチルアンモニウムハイドロオキシド(TMAH)現像液で現像後、脱イオン水でリンスした。露光量10mJ/cmで0.25μmL/Sが解像した。このレジストパターンでは膨潤を生じていなかった。
実施例9
前記実施例6のレジストに3−ヒドロキシビシクロ[2.2.2]オクタンを樹脂重量に対して8wt%添加してレジストを調製した。得られたレジスト溶液を0.2μmのテフロンメンブランフィルタで濾過した後、HMDS処理を施したシリコン基板上にスピンコートし、110℃で60秒プリベークを行い、0.5μm厚のレジスト皮膜を形成した。これをKrFエキシマレーザステッパ(NA=0.45)で露光した後、120℃で60秒間べ−クし、2.38%テトラメチルアンモニウムハイドロオキシド(TMAH)現像液で現像後、脱イオン水でリンスした。露光量9mJ/cmで0.25μmL/Sが解像した。このレジストパターンでは膨潤を生じていなかった。
実施例10
前記実施例8のレジストをEB露光装置(50kV)で露光した後、120℃で60秒間ベークし、2.38%テトラメチルアンモニウムハイドロオキシド(TMAH)現像液で現像後、脱イオン水でリンスした。露光量12μC/cmで0.12μmL/Sが解像した。このレジストパターンでは膨潤を生じていなかった。
実施例11
前記実施例9のレジストをEB露光装置(50kV)で露光した後、120℃で60秒間ベークし、2.38%テトラメチルアンモニウムハイドロオキシド(TMAH)現像液で現像後、脱イオン水でリンスした。露光量15μC/cmで0.12μmL/Sが解像した。このレジストパターンでは膨潤を生じていなかった。
実施例12
ビニル安息香酸エチル、3−ヒドロキシアダマンチルアクリレートを仕込み比7:3で仕込んで樹脂を合成した(分子量3000)。これを単分散ポリビニルフェノール(分子量5000)に15wt%加え、PGMEA(プロピレングリコールメチルエーテルアセテート)に溶解し樹脂溶液とした。得られた溶液に5wt%のトリフェニルスルフォニウムトリフルオロメタンスルホネートを加えて十分に溶解させた。得られたレジスト溶液を0.2μmのテフロンメンブランフィルタで濾過した後、HMDS処理を施したシリコン基板上にスピンコートし、110℃で60秒プリベークを行い、0.5μm厚のレジスト皮膜を形成した。これをKrFエキシマレーザステッパ(NA=0.45)で露光した後、130℃で60秒間べ−クし、2.38%テトラメチルアンモニウムハイドロオキシド(TMAH)現像液で現像後、脱イオン水でリンスした。露光量17.5mJ/cmで0.28μmL/Sが解像した。このレジストパターンでは膨潤を生じていなかった。
実施例13
前記実施例12の樹脂溶液に1−アダマンタノールを樹脂重量に対して10wt%、補助溶媒として10wt%のγ−ブチロラクトンも含ませた。得られた溶液に5wt%のトリフェニルスルフォニウムトリフルオロメタンスルホネートを加えて十分に溶解させた。得られたレジスト溶液を0.2μmのテフロンメンブランフィルタで濾過した後、HMDS処理を施したシリコン基板上にスピンコートし、110℃で60秒プリベークを行い、0.5μm厚のレジスト皮膜を形成した。これをKrFエキシマレーザステッパ(NA=0.45)で露光した後、120℃で60秒間べ−クし、2.38%テトラメチルアンモニウムハイドロオキシド(TMAH)現像液で現像後、脱イオン水でリンスした。露光量12mJ/cmで0.25μmL/Sが解像した。このレジストパターンでは膨潤を生じていなかった。
実施例14
前記実施例13のレジストをEB露光装置(50kV)で露光した後、120℃で60秒間ベークし、2.38%テトラメチルアンモニウムハイドロオキシド(TMAH)現像液で現像後、脱イオン水でリンスした。露光量15μC/cmで0.12μmL/Sが解像した。このレジストパターンでは膨潤を生じていなかった。
以上本発明の好ましい実施例について詳述したが、本発明は係る特定の実施形態に限定されるものではなく、特許請求の範囲に記載された本発明の要旨の範囲内において、種々の変形・変更が可能である。
【0078】
なお、前述した本発明によるレジスト組成物に関して、更に以下の項を開示する。
【0079】
(1) アルカリ可溶性基を有する第1の重合体と、前記アルカリ可溶性基と反応し得るアルコール構造を側鎖に有する第2の重合体と、結像用放射線を吸収して分解すると前記第1の重合体のアルカリ可溶性基と前記第2の重合体のアルコールとの反応を励起させる酸を発生可能な光酸発生剤とを含み、
自体塩基性水溶液に可溶であり、前記結像用放射線に露光されると前記光酸発生剤の作用により、前記露光部が前記塩基性水溶液に不溶となるネガ型レジスト組成物。
【0080】
(2) 前記光酸発生剤により励起される反応は前記アルカリ可溶性基を保護する保護型反応及び/又は前記アルカリ可溶性基の塩基性水溶液への不溶化を促進する不溶促進型反応であることを特徴とする項1記載のネガ型レジスト組成物。
【0081】
(3) 前記アルコール構造は3級アルコール構造であることを特徴とする項1又は2記載のネガ型レジスト組成物。
【0082】
(4) 前記3級アルコール構造は上記一般式(1)から(4)いずれかで示されることを特徴とする項3記載のネガ型レジスト組成物。
【0083】
(5) 前記第1の重合体及び第2の重合体のそれぞれは、アクリル酸系、メタクリル酸系、イタコン酸系、ビニル安息香酸系、ビニルフェノール系、ビシクロ[2.2.1]ヘプト−5−エン−2−カルボン酸系、N−置換マレイミド系の化合物及びこれらの誘導体からなる群から選択された少なくとも1つのモノマ単位からなることを特徴とする項1から4いずれかに記載のネガ型レジスト組成物。
【0084】
(6) 前記第2の重合体の含有量は、前記第1の重合体及び第2の重合体の総ポリマ重量に対して、0.1から80wt%であることを特徴とする請求項1から5いずれかに記載のネガ型レジスト組成物。
【0085】
(7) 前記第2の重合体の分子量は500から100,00であることを特徴とする項1から6いずれかに記載のネガ型レジスト組成物。
【0086】
(8) アルコール構造を有する化合物がさらに添加されていることを特徴とする項1から7いずれかに記載のネガ型レジスト組成物。
【0087】
(9) 前記アルコール構造を有する化合物は3級アルコール構造を含むことを特徴とする項8記載のネガ型レジスト組成物。
【0088】
(10) 前記アルコール構造を有する化合物の沸点は少なくとも130℃以上であることを特徴とする項8又は9記載のネガ型レジスト組成物。
【0089】
(11) 前記アルコール構造を有する化合物が脂環式構造又は多環性脂環式構造含むことを特徴とする項8から10いずれかに記載のネガ型レジスト。
【0090】
(12) 前記アルコール構造を有する化合物は少なくとも1つ以上の水酸基、ケトン基、アルキルオキシカルボニル基を含むことを特徴とする項8から11いずれかに記載のネガ型レジスト。
【0091】
(13) 前記第1の重合体は、ラクトン環、イミド環、酸無水物からなる群から選択されたアルカリ可溶性基をさらに含むことを特徴とする項1から12いずれかに記載のネガ型レジスト組成物。
(14) 前記第1の重合体の分子量は2000から1000,000である、ことを特徴とする項1から13いずれかに記載のネガ型レジスト組成物。
【0092】
(15) 露光光源の波長における吸光度が1.75/μm以下であることを特徴とする項1から14いずれかに記載のネガ型レジスト組成物。
【0093】
(16) 乳酸エチル、メチルアミルケトン、メチル−3−メトキプロピオネート、エチル−3−エトキシプロピオネート及びプロピレングリコ−ルメチルエーテルアセテートからなる溶媒群から選択した溶媒を単独或いは複数組み合わせた溶媒を含むことを特徴とする項1から14いずれかに記載のネガ型レジスト組成物。
【0094】
(17) 酢酸ブチル、γ−ブチロラクトン、プロピレングリコ−ルメチルエーテル及びその混合物からなる群から選択された溶媒を補助溶媒としてさらに含むことを特徴とする項16記載のネガ型レジスト組成物。
【0095】
(18) 項1から17いずれかに記載のネガ型レジスト組成物を被処理基板上に塗布してレジスト膜を形成し、前記光酸発生剤の分解を促す結像用放射線により前記レジスト膜に対して選択的な露光を行い、露光後のレジスト膜を塩基性水溶液で現像する一連の工程を含んでなる、ことを特徴とするレジストパターンの形成方法。
【0096】
【発明の効果】
以上詳述したところから明らかなように、請求項1からに記載した発明によれば、アルコール構造を側鎖に有する第2の重合体がアルカリ可溶性基を有する第1の重合体と共に存在するので、露光による光酸発生剤の励起により上記アルコールが上記アルカリ可溶性基を塩基性水溶液に不溶化する保護反応等を生じさせて露光部の極性変化を大きくすることができる。よって、実用可能な感度で膨潤のない緻細なネガティブレジストパターンを形成できる新規なネガレジスト組成物を提供できる。また、本ネガレジスト組成物は、従来のレジスト組成物に比較して、高い感度が得られ、極性変化を利用したパターンの形成を行えるため、高いコントラストと解像性を容易に得ることができる。また、請求項8に記載したレジストパターンの形成方法によれば、上記新規なネガ型レジストを用いるので、膨潤のない高感度、高コントラスト及び高解像度でレジストパターンを形成できる。[0001]
BACKGROUND OF THE INVENTION
The present invention relates to a negative resist composition developable with a basic aqueous solution and a pattern forming method using the same. In recent years, semiconductor integrated circuits have been highly integrated and LSI and VLSI have been put to practical use, and the minimum line width of wiring patterns has reached an area of 0.2 μm or less. The demand is increasing. Therefore, in the lithography field, as a solution to this requirement, it is said that the wavelength of the exposure light source is shifted to a short wavelength in the far ultraviolet region, and that exposure technology using a light source in the deep ultraviolet region will soon enter mass production. ing. Accordingly, it is urgent to develop a resist material that can suppress light absorption at short wavelengths as described above, has good sensitivity, and has high dry etching resistance.
[0002]
[Prior art]
In recent years, photolithography using a krypton fluoride excimer laser (wavelength: 248 nm, hereinafter abbreviated as KrF) as a new exposure light source in semiconductor manufacturing has been actively researched and mass-produced. As a resist having a high sensitivity and a high resolution capable of handling such a short wavelength light source, a resist composition using a concept called a chemically amplified type is a product of H.D. Proposed by Ito et al.
(JM Frechet et al., Proc. Microcircuit Eng., 260 (1982), H. Ito et al., Digest of Technical Papers of 1982 Symposium on VLSI Technology, 86 (1983), H. Ito et al., "Polymers in Electronics ", ACS Symposium Series 242, T. Davidson, ed., ACS, 11 (1984) USP 4, 491, 628 (1985)). The basic concept is to cause a catalytic reaction in the resist film, improve the apparent quantum yield, and increase the sensitivity.
[0003]
Chemistry in which photoacid generator (PAG) that generates acid by light is added to t-butoxycarbonyl (t-BOC) -modified polyvinylphenol (PVP), which has been studied and used so far. Taking an amplification type positive resist as an example, in the exposed portion of the resist, the t-BOC group, which is a protective group, is eliminated by heating after exposure (PEB) to become isobutene and carbon dioxide. Protonic acid generated at the time of desorption becomes a catalyst, and the deprotection reaction proceeds in a chain manner, so that the polarity of the exposed portion changes greatly. On the other hand, a resist pattern is formed by selecting an appropriate developer.
[0004]
[Problems to be solved by the invention]
By the way, one of the super-resolution techniques that has been actively studied in recent years is a technique using a mask that changes the phase of light, called a phase shift mask or a Levenson-type mask. It is promising as a technique for obtaining a large depth of focus.
[0005]
When these masks are used, negative resists are often suitable due to the limitations of the mask pattern. From this point, there has been a strong demand for negative resists. These masks are expected to be applied when resolution of 0.20 μm or less is required when KrF is used as a light source, and resists that can resolve such fine patterns without swelling. The development of is urgent. Furthermore, research on lithography using an argon fluoride (ArF) excimer laser (wavelength: 193 nm) and EB (electron beam) with a shorter wavelength is also active, and this technique is essential for pattern formation of 0.13 μm or less. . Therefore, expectation of such further fine processing
The development of a negative resist that can also be applied to ArF and EB is extremely useful in the industry.
[0006]
Further, as an alkaline developing type negative resist for KrF or EB, a resist utilizing a change in polarity caused by an acid-catalyzed reaction (for example, H. Ito et al., Proc. SPIE, 1466, 408 (1991), S. Uchino et al., J. Photopolym. Sci. Technol., 11 (4), 555-564 (1998), etc.) and those utilizing acid-catalyzed crosslinking reactions (eg, JW Thackeray et al., Proc. SPIE) , 1086 34 (1989), MT Allen et al., J. Photopolym. Sci. Technol., 4 (3), 379-387 (1991), Liu H. I, J. Vac. Sci. Technol., B6, 379 (1988)). Further, negative resists for ArF include cross-linked types (for example, A. Katsuyama et al., Abstracted Paper of Third International Syumposium on 193 nm Lithography, 51 (1997), K. Maeda et al., J. Photopolym. Sci). Technol., 11 (4), 507-512 (1998), etc.) are known.
[0007]
However, the negative resists in practical use are exclusively the latter cross-linked resists, and this mechanism uses the cross-linking reaction of the exposed part to increase the molecular weight, thereby increasing the solubility difference from the unexposed part in the developer. Patterning is performed. Therefore, the limit of fine processing due to pattern swelling is inevitable.
[0008]
Accordingly, the main object of the present invention is to provide a novel negative resist composition that does not have the problem of pattern swelling and can form a fine pattern with a practical sensitivity by using an exposure light source with a short wavelength. Another object of the present invention is to provide a novel resist composition that can be applied to an exposure light source in the deep ultraviolet region typified by KrF or ArF excimer laser and has excellent dry etching resistance. Furthermore, for the purpose of the present invention, a novel resist composition capable of forming a fine pattern having high sensitivity, high contrast, and high resolution by increasing the difference in polarity between an exposed portion and an unexposed portion. Including providing.
[0009]
Still another object of the present invention is to provide a method of forming a resist pattern using the resist composition as described above.
[0010]
[Means for Solving the Problems]
As a result of intensive studies to solve the above-mentioned problems, the present inventors have found that the chemically amplified resist composition is based on a film-forming first polymer having an alkali-soluble group and soluble in a basic aqueous solution. The present invention has been completed with the knowledge that it is important that the resist composition contains a second polymer having an alcohol structure as a side chain in the resist composition.
[0011]
That is, the object is as described in claim 1.
A first polymer having an alkali-soluble group; a second polymer having an alcohol structure capable of reacting with the alkali-soluble group in a side chain; and the first polymer upon decomposition by absorbing imaging radiation. A photoacid generator capable of generating an acid that excites a reaction between the alkali-soluble group of the second polymer and the alcohol of the second polymer,
The negative resist composition is itself soluble in a basic aqueous solution, and when exposed to the imaging radiation, the exposed portion becomes insoluble in the basic aqueous solution by the action of the photoacid generator. .
[0012]
According to the first aspect of the present invention, when the negative resist composition is exposed to imaging radiation, the photoacid generator is composed of an alkali-soluble group of the first polymer and an alcohol of the second polymer. An acid that excites the reaction is generated, whereby an acid-catalyzed reaction occurs and the exposed portion can be insolubilized in the basic aqueous solution.
[0013]
Moreover, in the negative resist composition according to claim 1, the reaction excited by the photoacid generator is a protective reaction for protecting the alkali-soluble group and / or the alkali-soluble group. It can be set as an insolubility promotion type reaction which promotes insolubilization to basic solution.
[0014]
When the alcohol reacts with the alkali-soluble group of the first polymer, an ether bond, an ester bond, etc. are formed so that the reaction site of the alcohol protects the alkali-soluble group of the first polymer. Insolubilized. Therefore, since the polarity difference between the unexposed area and the exposed area becomes large, a negative resist composition capable of forming a fine resist pattern having high sensitivity, high contrast and high resolution without causing the problem of swelling of the exposed area. It becomes.
[0015]
In addition, the alcohol may cause an alkali insolubilization promoting reaction that lowers the properties of the alkali-soluble group of the first polymer in accordance with the protection-type reaction. Since the difference increases, a negative fine resist pattern can be formed in the same manner.
[0016]
Further, as described in claim 3, in the negative resist composition according to claim 1 or 2, the alcohol structure is preferably a tertiary alcohol structure. If the side chain of the second polymer contains a tertiary alcohol structure, a dehydration reaction easily occurs between the alkali-soluble groups of the first polymer, and the first polymer and the second polymer The reaction can be promoted.
[0017]
Further, as described in claim 4, in the negative resist composition according to claim 3, the tertiary alcohol structure may have a structure represented by any one of the following general formulas (1) to (4).
[0018]
[Chemical formula 5]
Figure 0003781939
(In the formula (1), R represents an atomic group connected to the main chain of the second polymer. R 1 , R 2 Is an arbitrary alkyl group having 1 to 8 carbon atoms, and includes a linear or branched structure or a cyclic structure. )
[0019]
[Chemical 6]
Figure 0003781939
(In the formula (2), R is the same as defined above. N is 2 to 9. R X Has 1 to 8 carbon atoms and includes a linear or branched structure or a cyclic structure. )
[0020]
[Chemical 7]
Figure 0003781939
(In formula (3), R is as defined above. Y represents a hydrogen atom or any alkyl group having 1 to 6 carbon atoms, an alkoxycarbonyl group, a ketone group, a hydroxyl group, or a cyano group.)
[0021]
[Chemical 8]
Figure 0003781939
(In formula (4), R and Y are as defined above.)
The tertiary alcohol having the above structure causes a reaction to insolubilize with the alkali-soluble group of the first polymer in the presence of an acid from the photoacid generator, and the exposed portion is more reliably insoluble in the basic aqueous solution. It can be.
[0022]
Moreover, the 2nd polymer should just be compatible with the 1st polymer, and each of the 1st polymer and the 2nd polymer is not specifically limited about the main chain part. However, as described in claim 5, in the negative resist composition according to any one of claims 1 to 4, each of the first polymer and the second polymer is acrylic acid-based, methacrylic acid , Itaconic acid, vinyl benzoic acid, vinyl phenol, bicyclo [2.2.1] hept-5-ene-2-carboxylic acid, N-substituted maleimide compounds and their derivatives It can be set as the structure which consists of the selected at least 1 monomer unit. The monomer units of the first polymer and the second polymer may be the same or different. Moreover, not only the case where each is formed with a single monomer but also a form of copolymerization.
[0023]
In the first polymer serving as the base resin, the proportion of monomer units having alkali-soluble groups is not limited as long as the resin itself exhibits appropriate alkali-solubility, but it is considered appropriate to be realized as a negative resist. It is necessary to consider obtaining a high alkali dissolution rate (a dissolution rate in a 2.38% TMAH developer is about 100 Å / s to 30,000 Å / s). When such an alkali dissolution rate is satisfied, a homopolymer composed of one component monomer unit can be used as the alkali-soluble base resin, and such a composition is also preferable. Examples of such a resin include polyvinyl phenol, polyvinyl benzoic acid, polymethacrylic acid, and polyacrylic acid.
[0024]
In the case of a polymer composed of two or more monomer units, when the carboxyl group is an alkali-soluble group, the monomer unit content is preferably 10 to 90 mol%, more preferably 30 to 70 mol%. . When the monomer unit content is less than 1 mol%, satisfactory patterning becomes impossible due to insufficient alkali solubility. Conversely, when the monomer unit content exceeds 90 mol%, alkali solubility is too strong, resulting in basic aqueous fatigue. The dissolution rate is too fast, and patterning by polarity change becomes impossible. The content of such monomer units is more preferably 30 to 50 mol%.
[0025]
When the phenolic hydroxyl group is an alkali-soluble group, the monomer unit content is preferably 20 to 99 mol%, more preferably 50 to 95 mol%. When the monomer unit content is less than 30 mol%, satisfactory patterning becomes impossible due to insufficient alkali solubility. The content of such monomer units is more preferably 80 to 95 mol%.
[0026]
Further, the content of the second polymer having an alcohol structure in the present negative resist composition is not particularly limited, and can maintain alkali solubility as a whole composition in relation to the first polymer, and What is necessary is just a content that can insolubilize the alkali-soluble group of the first polymer. In the negative resist composition, the content of the second polymer is preferably 0.1 to 80 wt% with respect to the total polymer weight of the first polymer and the second polymer. .
[0027]
In addition, the molecular weight of the second polymer is not particularly limited in the present negative resist composition, and it is only necessary to maintain alkali solubility as a whole composition in relation to the first polymer. In the negative resist composition, the molecular weight of the second polymer is recommended to be in the range of 500 to 100,000.
[0028]
Further, as described in claim 6, in the negative resist composition according to any one of claims 1 to 5, a compound having an alcohol structure may be further added. When the alcohol structure of the second polymer is insufficient, the addition of another compound having an alcohol structure can surely promote insolubilization of the exposed portion of the negative resist in the basic aqueous solution.
[0029]
The compound having an alcohol structure preferably contains a tertiary alcohol structure. This compound reacts with the alkali-soluble group of the first polymer in the same manner as the second polymer having an alcohol structure to insolubilize the alkali-soluble group of the first polymer in the basic aqueous solution at the exposed portion. Can be promoted.
[0030]
Examples of the alcohol structure that can be employed include those having an allyl alcohol structure, a secondary or tertiary alcohol structure, and those having a tertiary structure are more preferred. These are particularly effective because they can react with the alkali-soluble groups described above and contribute greatly to the formation of negative patterns.
In addition, from the viewpoint that the compound having the alcohol structure has a boiling point such that the compound does not vaporize and lose its function in a conventional resist treatment, the boiling point of the compound having the alcohol structure in the negative resist composition is at least 130 ° C. The above is preferable.
[0031]
In the negative resist, the compound having an alcohol structure preferably includes an alicyclic structure or a polycyclic alicyclic structure. If it has such a structure, the etching tolerance at the time of etching can also be improved.
[0032]
Further, as described in claim 7, in the negative resist according to claim 6, the compound having the alcohol structure is In addition to one hydroxyl group, it contains at least one of a ketone group, an alkoxycarbonyl group, and another hydroxyl group It is preferable.
[0034]
In the negative resist composition described above, the molecular weight of the first polymer is recommended to be in the range of 2,000 to 1,000,000.
[0035]
The content of the photoacid generator (PAG) in the negative resist composition of the present invention depends on the strength of the acid generated after it is exposed to the exposure light source, but is usually 0.1 to 50 wt. % (Percentage of total polymer weight of the first polymer and the second polymer) is recommended, but more preferably 1-15 wt%. The molecular weight (weight average molecular weight) of the base resin used in the present invention is recommended in the range of 2,000 to 1,000,000, preferably 5,000 to 100,000, more preferably 3,000 to 50,000. A range of is recommended. Similarly, the molecular weight (weight average molecular weight) of the second polymer having an alcohol structure capable of reacting with an alkali-soluble group in the side chain is recommended to be in the range of 3 to 1 million, preferably 500 to 10 million. A range of 10,000, more preferably 1,000 to 10,000 is recommended.
[0036]
The resist composition of the present invention preferably comprises ethyl lactate, methyl amyl ketone, methyl-3-methoxypropionate, ethyl-3-ethoxypropionate, propylene glycol methyl ether acetate, and mixtures thereof. It is provided in the form of a solution dissolved in a solvent selected from the group. The resist solution may further contain a solvent selected from the group consisting of butyl acetate, γ-butyrolactone, propylene glycol methyl ether, and a mixture thereof as an auxiliary solvent, if necessary.
[0037]
Furthermore, another object of the present invention is to As described in claim 8 From claim 1 7 A negative resist composition according to any one of the above is applied onto a substrate to be processed to form a resist film, and the resist film is selectively exposed with imaging radiation that promotes decomposition of the photoacid generator. This can be achieved by a resist pattern forming method comprising a series of steps of developing and developing the exposed resist film with a basic aqueous solution.
[0038]
In the method for forming a resist pattern according to the present invention, the resist film formed on the substrate to be processed is preferably subjected to a heat treatment before and after it is subjected to a selective exposure step. That is, in the present invention, it is preferable to pre-bake the resist film before the exposure and to perform the post-bake treatment described as PEB (post-exposure baking) after the exposure and before development. These heat treatments can be advantageously performed according to a conventional method.
[0039]
Furthermore, in the resist composition of the present invention, it is desirable that the absorbance at the wavelength of the exposure light source (150 to 300 nm) is 1.75 / μm or less in order to obtain sufficient pattern nigging characteristics. There is no particular limitation on the absorbance.
[0040]
The basic aqueous solution used as the developer is an aqueous solution of a metal hydroxide belonging to Group I or II represented by potassium hydroxide or an aqueous solution of an organic base not containing a metal ion such as tetraalkylammonium hydroxide. More preferred is an aqueous solution of tetramethylammonium hydroxide (TMAH), and an additive such as a surfactant may be added to improve the developing effect.
[0041]
DETAILED DESCRIPTION OF THE INVENTION
The resist composition and resist pattern forming method according to the present invention can be carried out in various preferred forms as shown in the following detailed description.
[0042]
The present invention relates to a chemically amplified negative resist composition that forms a negative resist pattern on a substrate to be processed and that can be developed with a basic aqueous solution.
[0043]
The resist composition includes (a) a film-forming first polymer containing an alkali-soluble group, (b) a second polymer having an alcohol structure in the side chain, and (c) an image. A PAG (photoacid generator) capable of generating an acid capable of reacting an alkali-soluble group of the first polymer with a portion having an alcohol structure in the polymer upon absorption of radiation for use. It is itself soluble in a basic aqueous solution.
[0044]
The mechanism of chemical amplification in the resist composition of the present invention will be described as follows. Here, as the first polymer having an alkali-soluble group, a resin having vinylphenol in the alkali-soluble part is used, and as the second polymer, the alcohol structure shown in the above formula (3) is included in the side chain. An example using a resin is shown below.
[0045]
When the PAG in the resist composition is exposed to imaging radiation for development after formation of the resist film, it absorbs the radiation and generates an acid. Next, preferably, when the resist film after the exposure is heated, the acid generated earlier acts catalytically, and the following tertiary alcohol dehydration reaction occurs in the exposed part of the film, and the nearby phenol By reacting with the ring, the nature of the alkali-soluble group of the polymer is changed so as to be insoluble in the basic aqueous solution.
[0046]
[Chemical 9]
Figure 0003781939
In this reaction, the cation after the dehydration reaction undergoes an electrophilic substitution reaction with the hydroxyl group of vinylphenol or carbon at the ortho position thereof. In the former, it reacts directly with an alkali-soluble group to lower the alkali solubility, and in the latter, the alkali solubility is lowered due to the strong hydrophobicity of the adamantyl group and its steric hindrance. That is, in the former case, a reaction occurs in which the hydroxyl group of the phenol ring of the first polymer is protected by the OH group, which is the reaction site of the alcohol of the second polymer. Alkali solubility at the time is significantly reduced. Also in the latter case, steric hindrance occurs when the phenolic ring of the first polymer and the OH group of the alcohol of the second polymer are bonded at the ortho position, so that the alkali solubility in the exposed area is lowered. . Accordingly, the alkali solubility is remarkably lowered at the exposed portion, giving a negative pattern. Either one of the protective reaction and the alkali insolubility promoting reaction based on steric hindrance may occur, and the reaction mainly including the protective reaction is preferable because the polarity change of the exposed portion can be most utilized. The reaction shown in this example is mainly applicable when exposure is performed using KrF and EB as light sources.
[0047]
Next, an example in which acrylic acid having a carboxylic acid unit in the alkali-soluble part of the first polymer is used and the compound of formula (3) is used for the side chain of the second polymer having an alcohol structure is shown. . As in the above example, a dehydration reaction takes place, reacts with a nearby carboxylic acid, and the alkali solubility of the first polymer decreases. Accordingly, the alkali solubility is remarkably lowered at the exposed portion, and a negative pattern is provided. In this example, only the reaction for protecting the carboxylic acid is caused by the dehydration reaction with alcohol. The reaction shown in this example is mainly applicable when exposure is performed using ArF as a light source.
[0048]
[Chemical Formula 10]
Figure 0003781939
As apparent from the above description, the resist composition of the present invention includes a second polymer (additional resin) having an alcohol capable of reacting with an alkali-soluble group in the first polymer (base resin). High sensitivity can be achieved because the amplification type regenerates the protonic acid by the reaction. In addition, since the alkali-soluble group disappears (changes to ether or ester) after the functional group is protected, the exposed portion of the resist film becomes insoluble in alkali. Accordingly, a negative pattern can be formed after development with a basic aqueous solution. In the present invention, since pattern formation is performed using the change in polarity generated in the polymer, a pattern without swelling is obtained.
[0049]
In addition, the alkali-soluble polymer used as the base resin in the resist composition of the present invention is particularly suitable when it takes the form of a terpolymer. The first monomer unit has a relatively strong alkali-soluble group typified by carboxylic acid and phenol, and the second monomer unit has a weak alkali-solubility having, for example, a lactone ring structure, an acid anhydride, or an imide ring structure. Groups can also be used. In such a case, it becomes easy to adjust the alkali dissolution rate of the base resin to a preferred value by controlling the contents of strong alkali-soluble groups and weak alkali-soluble groups. Further, as the third monomer unit, one having a functional group having etching resistance can be used, which is very preferable as a resist.
[0050]
Further, when the alcohol structure contained in the second polymer of the resist composition is a tertiary alcohol, the dehydration reaction is more likely to occur, which is a very preferable structure. In addition to the unit having an alcohol structure in the resin, a compound having an alcohol structure that can be expected to undergo the above-described reaction can be separately added as an additive. preferable. The structure of the alcohol structure-containing compound is not particularly limited, but a polycyclic alicyclic compound or a compound having a benzene ring is preferable in consideration of contributing to etching resistance. Furthermore, it is also very preferable that the alcohol structure-containing compound has a tertiary alcohol structure that can be easily dehydrated with an acid, like the side chain of the second polymer.
[0051]
The structure of the alkali-soluble first polymer used as the base resin in the resist composition of the present invention can be particularly limited as long as it satisfies the above-described conditions, particularly the condition of having an appropriate alkali dissolution rate. However, in consideration of obtaining dry etching resistance comparable to that of a novolak resist, a polymer of an acrylate monomer unit or a methacrylate monomer unit having a polycyclic alicyclic hydrocarbon compound in an ester group It is recommended to use a vinylphenol polymer, an N-substituted maleimide polymer, a styrene polymer, or the like. In particular, acrylate-based and methacrylate-based polymers are important in that the absorption of light of that wavelength is small when a deep ultraviolet light, particularly a light source having a wavelength of 220 nm or less, is used as an exposure light source. In other words, in the case where deep ultraviolet light is used as an exposure light source, a structure that generally does not contain an aromatic ring that greatly absorbs light in the deep ultraviolet region or a chromophore having a large molar extinction coefficient such as a conjugated double bond. It is desirable to use a polymer having
[0052]
In particular, when an exposure wavelength in an extremely short wavelength region such as an ArF excimer laser is used as a light source, since transparency at the wavelength (193 nm) is required in addition to dry etching resistance, adamantyl having high dry etching resistance as described above. It is recommended to use a polymer having an ester group containing a polycyclic alicyclic hydrocarbon structure represented by a group, bicyclo [2.2.1] octyl group, norbornyl group and the like.
[0053]
In the practice of the present invention, the structure of the second polymer having an alcohol structure that can be advantageously used is not particularly limited. However, when a relatively high molecular weight is used, a base resin is used. It is necessary to pay attention to the compatibility so as not to cause phase separation. Therefore, a combination with a low molecular weight such as an oligomer is recommended as a combination that does not cause phase separation, but this is not the case with highly compatible combinations such as those represented by vinylphenols and acrylic resins. Also preferred are resin combinations. As the main chain of the second polymer, the same monomer as that of the first polymer can be used.
[0054]
Although the alcohol structure of the second polymer is not limited to those listed below, for example, the following structure can be mentioned in the side chain.
[0055]
Embedded image
Figure 0003781939
(In the above structural formula, R 1 ~ R 3 Is a hydrogen atom or an alkyl group containing 1 to 6 carbon atoms, and may have a linear, branched or cyclic structure, and may be the same or different. X represents a hydrogen atom or a methyl group. Y is an arbitrary substituent containing hydrogen, and represents an arbitrary alkyl group having 1 to 6 carbon atoms, an alkoxycarbonyl group, a ketone group, a hydroxyl group, or a cyano group. n shows the integer of 1-6. The second polymer having an alcohol structure includes, but is not limited to, the following polymers. In the formula, l, m, and n are the number of monomer units (repeating units) necessary to obtain the above-described weight average molecular weight.
[0056]
Embedded image
Figure 0003781939
(In the above structural formula, Z is a moiety having the above-mentioned alcohol structure, and X represents a hydrogen atom or an alkyl group. R R Is an arbitrary alkyl group, which may have a straight chain, a technical group or a cyclic structure, and may contain an aromatic group as a substituent. )
[0057]
Embedded image
Figure 0003781939
(In the above structural formula, X, Y, Z and R R Is as defined above. R X Is an arbitrary alkyl group, which may have a straight chain, a technical group or a cyclic structure, and may contain an aromatic group as a substituent. )
[0058]
Embedded image
Figure 0003781939
(In the above structural formula, X, Y, Z, R R And R X Is as defined above. )
[0059]
Embedded image
Figure 0003781939
Vinylbenzoic acid polymer
(In the above structural formula, X, Y, Z and R R Is as defined above. )
In addition, maleic acid and fumaric acid diesters, itaconic acid diesters, and the like can also be used advantageously.
[0060]
Further, as described above, as a compound having an alcohol structure added to the resist composition of the present invention, for example, the following alcohol compounds can be advantageously used, but a tertiary alcohol structure is preferable.
[0061]
Embedded image
Figure 0003781939
(In the above structural formula, XX represents a hydrogen atom or an alkyl group having 1 to 8 carbon atoms, which is a linear, branched, or cyclic structure, and may further have a substituent. N is 1 to 6) YY is an arbitrary substituent, and represents an arbitrary alkyl group having 1 to 6 carbon atoms, an alkoxycarboninyl group, a ketone group, a hydroxyl group, or a cyano group.
The first polymer having an alkali-soluble group and the second polymer having an alcohol structure in the side chain mentioned in the present invention can be prepared by using a polymerization method generally used in polymer chemistry. it can. For example, it can be advantageously adjusted by heating a given monomer component in the presence of AIBN (2,2′-azobisisobutyronitrile) as a free radical initiator.
[0062]
It is well known that methacrylate polymers have high transparency in the deep ultraviolet region, and the structures of the first polymer and the second polymer contain a chromophore having a large molar extinction coefficient near the exposure wavelength. If an appropriate structure is selected, a high-sensitivity resist that can advantageously cope with exposure using deep ultraviolet rays in combination with an appropriate amount of PAG (photoacid generator).
[0063]
The alkali-soluble first polymer as described above has an alkali-soluble group that causes an insolubilization reaction to a basic aqueous solution by an acid-catalyzed reaction in the presence of alcohol. Since the protonic acid can be regenerated, high sensitivity can be achieved. In addition, after the reaction, the alkali-soluble group disappears or the alkali solubility decreases due to steric hindrance, etc., so that the exposed portion of the resist film becomes insoluble in the basic aqueous solution, and thus a negative pattern in which the unexposed portion is dissolved by development is obtained. It is done. In this case, since the polarity change which occurs in the base resin is mainly used, a pattern without swelling can be obtained.
[0064]
The PAG used in combination with the acid-sensitive polymer as described above in the chemically amplified resist of the present invention is a PAG generally used in resist chemistry, that is, ultraviolet rays, far ultraviolet rays, vacuum ultraviolet rays, X-rays. A substance that generates a protonic acid upon irradiation with radiation such as can be used. The PAG that can be used in the present invention is not limited to those listed below, but includes the following.
[0065]
Embedded image
Figure 0003781939
(In the above formula, R ″ represents a substituted or unsubstituted aromatic ring or alicyclic group, and X ″ represents, for example, BF. 4 , PF 6 , AsF 6 , SbF 6 CF 3 SO 3 , ClO 4 Represents one of the following. )
[0066]
Embedded image
Figure 0003781939
[0067]
Embedded image
Figure 0003781939
(In the above formula, X ′ represents Cl or Br, for example)
These PAGs (photoacid generators) can be used in various amounts in the resist composition of the present invention. The amount of PAG used is 0.1 to 50 wt% (percentage of the total polymer weight of the first and second polymers combined), but more preferably 1 to 15 wt% is recommended. However, in the resist composition of the present invention, it is preferable to consider the structure of the polymer and PAG and the amount of PAG used so that the absorbance at the exposure wavelength is 1.75 / μm or less. Of course, when an electron beam is used as the exposure light source, there is no particular limitation on the content of PAG because there is no problem with transparency.
[0068]
The resist composition of the present invention is usually prepared by dissolving the alkali-soluble first polymer described above, preferably the second polymer having a tertiary alcohol structure in the side chain, and PAG in a suitable organic solvent, It can be advantageously used in the form of a resist solution. Further, if necessary, a compound having a tertiary alcohol structure as described above can be further added, and such a configuration is also preferable.
[0069]
Examples of organic solvents useful for the preparation of the resist composition include ethyl lactate, methyl amyl ketone, methyl-3-methoxypropionate, ethyl-3-ethoxypropionate, and propylene glycol methyl ether acetate. However, it is not limited to these. Moreover, although these solutions may be used independently, you may use it in mixture of 2 or more types of solvents as needed. The amount of these solvents used is not particularly limited, but it is preferably used in an amount sufficient to obtain a viscosity suitable for coating such as spin coating and a desired resist film thickness.
[0070]
In addition to the above-described solvent (main solvent), an auxiliary solvent may be used in the resist solution of the present invention as necessary. The auxiliary solvent is not necessary depending on the solubility of the solute and the coating uniformity of the solution, but usually 1-30 wt% with respect to the main solvent when a solute with low solubility is used or when the coating uniformity is not in a desired state. It is preferable to add in the range of 10-20 wt%. Examples of useful co-solvents include, but are not limited to, butyl acetate, γ-butyrolactone, propylene glycol methyl ether, and the like.
[0071]
Furthermore, the present invention provides a method for forming a resist pattern on a substrate to be processed using a negative resist composition as described above. The negative resist pattern of the present invention can be usually formed as follows.
[0072]
First, the resist composition of the present invention is applied on a substrate to be processed to form a resist film. The substrate to be processed can be a substrate usually used in a semiconductor device or other devices, and examples thereof include a silicon substrate, a glass substrate, and a nonmagnetic ceramic substrate. In addition, additional layers such as a silicon oxide film layer, a metal layer for wiring, an interlayer insulating film layer, a magnetic film, and the like may be present on these substrates as necessary. Etc. may be built in. Further, these substrates may be subjected to a hydrophobic treatment according to a conventional method in order to improve the adhesion of the resist film thereto. Examples of suitable hydrophobizing agents include 1,1,1,3,3,3-hexamethyldisilazane (HMDS).
[0073]
As described above, the resist composition can be applied on the substrate to be processed as a resist solution. As for the application of the resist solution, there are conventional techniques such as spin coating, roll coating, and dip coating, but spin coating is particularly useful. A resist film thickness of about 0.1 to 200 μm is recommended, but in the case of exposure with an excimer laser such as KrF or ArF, a range of 0.1 to 15 μm is recommended. The film thickness of the resist to be formed can be widely changed depending on factors such as its use.
[0074]
The resist film coated on the substrate is preferably pre-baked at a temperature of about 60 to 180 ° C. for about 30 to 120 seconds before it is selectively exposed with imaging radiation. This pre-baking can be performed using a heating means commonly used in a resist process. Examples of suitable heating means include a hot plate, an infrared heating oven, and a microwave heating oven.
[0075]
Next, the resist film after pre-baking is selectively exposed with imaging radiation using a conventional exposure apparatus. Suitable exposure apparatuses are commercially available ultraviolet (far ultraviolet, deep ultraviolet) exposure apparatuses, X-ray exposure apparatuses, electron beam exposure apparatuses, and others. An appropriate condition can be selected for each exposure. In particular, in the present invention, an excimer laser (a KrF laser having a wavelength of 248 nm and an ArF laser having a wavelength of 193 nm) can be advantageously used as an exposure light source as described above. In addition, in the present specification, when the term “radiation” is used, it means radiation from any of these light sources.
[0076]
By PEBing the resist film after the exposure, a change in polarity is caused such that the alkali-soluble group is insolubilized in the basic aqueous solution mainly by a protective reaction using an acid as a catalyst. This post-exposure baking can be performed in the same manner as the previous pre-baking as long as the protective reaction is sufficiently caused. For example, the baking temperature can be about 60 to 180 ° C. for about 30 to 120 seconds, but is preferably adjusted according to the desired pattern size and shape.
[0077]
After PEB, the resist film is developed with a basic aqueous solution as a developer. For this development, a conventional developing device such as a spin developer, a dip developer, or a spray developer can be used. The basic aqueous solution used as the developer here is not particularly limited, but an aqueous solution of a metal hydroxide belonging to Group I or II represented by potassium hydroxide or the like, or a metal ion such as tetraalkylammonium hydroxide. An organic basic aqueous solution not containing is mentioned, but an aqueous solution of tetramethylammonium hydroxide (TMAH) is more preferable, and an additive such as a surfactant may be added to improve development and curing. As a result of the development, the unexposed area of the resist film is dissolved and removed, and only the exposed area remains on the substrate as a negative resist pattern.
[Example]
The following examples describe in detail the synthesis of the acid-sensitive polymer of the present invention, the preparation of the resist composition, and the formation of the resist pattern. In addition, the following Example is only an example and does not limit the scope of the present invention at all.
Example 1
7% by weight of 3-hydroxyadamantyl methacrylate homopolymer (molecular weight 2000) was added to Maruzen Petroleum polyvinylphenol and dissolved in PGMEA (propylene glycol methyl ether acetate) to obtain a resin solution. 5 wt% of triphenylsulfonium trifluoromethanesulfonate was added to the resulting solution and sufficiently dissolved. The obtained resist solution was filtered through a 0.2 μm Teflon membrane filter, and then spin-coated on a HMDS-treated silicon substrate and pre-baked at 110 ° C. for 60 seconds to form a 0.5 μm thick resist film. . This was exposed with a KrF excimer laser stepper (NA = 0.45), baked at 120 ° C. for 60 seconds, developed with 2.38% tetramethylammonium hydroxide (TMAH) developer, and rinsed with deionized water. did. Exposure 14.0mJ / cm 2 The resolution was 0.25 μmL / S. This resist pattern did not swell.
Example 2
Using the resist solution of Example 1, a resist film having a thickness of 0.5 μm was formed on a silicon substrate similarly subjected to HMDS treatment. This was exposed with an EB exposure apparatus (50 kV), baked at 120 ° C. for 60 seconds, developed with a 2.38% tetramethylammonium hydroxide (TMAH) developer, and rinsed with deionized water. Exposure 12μC / cm 2 Resolved 0.15 μmL / S. This resist pattern did not swell.
Example 3
10 wt% of 1-adamantanol was added to the resin solution of Example 1 based on the weight of polyvinylphenol. A resist was prepared by adding 5 wt% of diphenyliodonium trifluoromethanesulfonate to the resin. This was spin-coated on a HMDS-treated silicon substrate and pre-baked at 110 ° C. for 60 seconds to form a 0.5 μm thick resist film. This was exposed with a KrF excimer laser exposure apparatus, baked at 120 ° C. for 60 seconds, developed with a 2.38% tetramethylammonium hydroxide (TMAH) developer, and rinsed with deionized water. Exposure 8mJ / cm 2 The resolution was 0.25 μmL / S. This resist pattern did not swell.
Example 4
In the resin solution of Example 1, 10% by weight of 3-hydroxybicyclo [2.2.2] octane with respect to the resin weight and 10% by weight of γ-butyrolactone as an auxiliary solvent were also included. A resist was prepared by adding 5 wt% of diphenyliodonium trifluoromethanesulfonate to the resin. This was spin-coated on a HMDS-treated silicon substrate and pre-baked at 110 ° C. for 60 seconds to form a 0.5 μm thick resist film. This was exposed with a KrF excimer laser exposure apparatus, baked at 120 ° C. for 60 seconds, developed with a 2.38% tetramethylammonium hydroxide (TMAH) developer, and rinsed with deionized water. Exposure amount 9mJ / cm 2 The resolution was 0.25 μmL / S. This resist pattern did not swell.
Example 5
The resist of Example 4 was exposed with an EB exposure apparatus (50 kV), baked at 120 ° C. for 60 seconds, developed with a 2.38% tetramethylammonium hydroxide (TMAH) developer, and rinsed with deionized water. . Exposure 15μC / cm 2 Resolved 0.15 μmL / S. This resist pattern did not swell.
Example 6
A base resin was synthesized by charging 3-hydroxyadamantyl methacrylate and 4-acetoxystyrene at a charging ratio of 2: 8. The obtained resin was treated with an alkaline solution, and the acetyl group was solvolyzed to obtain a 3-hydroxyadamantyl methacrylate-vinylphenol copolymer (molecular weight 4500). 15 wt% of this was added to Maruzen Petroleum polyvinylphenol, dissolved in PGMEA (propylene glycol methyl ether acetate), and 5 wt% of triphenylsulfonium trifluoromethanesulfonate was added and sufficiently dissolved. The obtained resist solution was filtered through a 0.2 μm Teflon membrane filter, and then spin-coated on a HMDS-treated silicon substrate and pre-baked at 110 ° C. for 60 seconds to form a 0.5 μm thick resist film. . This was exposed with a KrF excimer laser stepper (NA = 0.45), baked at 120 ° C. for 60 seconds, developed with a 2.38% tetramethylammonium hydroxide (TMAH) developer, and then with deionized water. Rinse. Exposure 12mJ / cm 2 The resolution was 0.25 μmL / S. This resist pattern did not swell.
Example 7
The resist of Example 6 was exposed with an EB exposure apparatus (50 kV), baked at 120 ° C. for 60 seconds, developed with a 2.38% tetramethylammonium hydroxide (TMAH) developer, and rinsed with deionized water. . Exposure 18μC / cm 2 Resolved 0.12 μmL / S. This resist pattern did not swell.
Example 8
A resist was prepared by adding 1-adamantanol to the resin solution of Example 6 at 5 wt% and 5 wt% triphenylsulfonium trifluoromethanesulfonate based on the resin weight. The obtained resist solution was filtered through a 0.2 μm Teflon membrane filter, and then spin-coated on a HMDS-treated silicon substrate and pre-baked at 110 ° C. for 60 seconds to form a 0.5 μm thick resist film. . This was exposed with a KrF excimer laser stepper (NA = 0.45), baked at 110 ° C. for 60 seconds, developed with a 2.38% tetramethylammonium hydroxide (TMAH) developer, and then with deionized water. Rinse. Exposure 10mJ / cm 2 The resolution was 0.25 μmL / S. This resist pattern did not swell.
Example 9
A resist was prepared by adding 8 wt% of 3-hydroxybicyclo [2.2.2] octane to the resist of Example 6 based on the resin weight. The obtained resist solution was filtered through a 0.2 μm Teflon membrane filter, and then spin-coated on a HMDS-treated silicon substrate and pre-baked at 110 ° C. for 60 seconds to form a 0.5 μm thick resist film. . This was exposed with a KrF excimer laser stepper (NA = 0.45), baked at 120 ° C. for 60 seconds, developed with a 2.38% tetramethylammonium hydroxide (TMAH) developer, and then with deionized water. Rinse. Exposure amount 9mJ / cm 2 The resolution was 0.25 μmL / S. This resist pattern did not swell.
Example 10
The resist of Example 8 was exposed with an EB exposure apparatus (50 kV), baked at 120 ° C. for 60 seconds, developed with a 2.38% tetramethylammonium hydroxide (TMAH) developer, and rinsed with deionized water. . Exposure 12μC / cm 2 Resolved 0.12 μmL / S. This resist pattern did not swell.
Example 11
The resist of Example 9 was exposed with an EB exposure apparatus (50 kV), baked at 120 ° C. for 60 seconds, developed with a 2.38% tetramethylammonium hydroxide (TMAH) developer, and rinsed with deionized water. . Exposure 15μC / cm 2 Resolved 0.12 μmL / S. This resist pattern did not swell.
Example 12
Resin was synthesized by charging ethyl benzoate and 3-hydroxyadamantyl acrylate at a charging ratio of 7: 3 (molecular weight 3000). This was added to monodispersed polyvinylphenol (molecular weight 5000) at 15 wt% and dissolved in PGMEA (propylene glycol methyl ether acetate) to obtain a resin solution. 5 wt% of triphenylsulfonium trifluoromethanesulfonate was added to the resulting solution and sufficiently dissolved. The obtained resist solution was filtered through a 0.2 μm Teflon membrane filter, and then spin-coated on a HMDS-treated silicon substrate and pre-baked at 110 ° C. for 60 seconds to form a 0.5 μm thick resist film. . This was exposed with a KrF excimer laser stepper (NA = 0.45), baked at 130 ° C. for 60 seconds, developed with a 2.38% tetramethylammonium hydroxide (TMAH) developer, and then with deionized water. Rinse. Exposure 17.5mJ / cm 2 The resolution was 0.28 μmL / S. This resist pattern did not swell.
Example 13
The resin solution of Example 12 contained 1-adamantanol in an amount of 10 wt% with respect to the resin weight and 10 wt% γ-butyrolactone as an auxiliary solvent. 5 wt% of triphenylsulfonium trifluoromethanesulfonate was added to the resulting solution and sufficiently dissolved. The obtained resist solution was filtered through a 0.2 μm Teflon membrane filter, and then spin-coated on a HMDS-treated silicon substrate and pre-baked at 110 ° C. for 60 seconds to form a 0.5 μm thick resist film. . This was exposed with a KrF excimer laser stepper (NA = 0.45), baked at 120 ° C. for 60 seconds, developed with a 2.38% tetramethylammonium hydroxide (TMAH) developer, and then with deionized water. Rinse. Exposure 12mJ / cm 2 The resolution was 0.25 μmL / S. This resist pattern did not swell.
Example 14
The resist of Example 13 was exposed with an EB exposure apparatus (50 kV), baked at 120 ° C. for 60 seconds, developed with a 2.38% tetramethylammonium hydroxide (TMAH) developer, and rinsed with deionized water. . Exposure 15μC / cm 2 Resolved 0.12 μmL / S. This resist pattern did not swell.
The preferred embodiments of the present invention have been described in detail above, but the present invention is not limited to such specific embodiments, and various modifications and changes can be made within the scope of the present invention described in the claims. It can be changed.
[0078]
In addition, the following terms are further disclosed regarding the resist composition by this invention mentioned above.
[0079]
(1) The first polymer having an alkali-soluble group, the second polymer having an alcohol structure capable of reacting with the alkali-soluble group in the side chain, and the first polymer upon decomposition by absorbing imaging radiation. A photoacid generator capable of generating an acid that excites a reaction between the alkali-soluble group of the polymer and the alcohol of the second polymer,
A negative resist composition which is itself soluble in a basic aqueous solution and becomes insoluble in the basic aqueous solution by the action of the photoacid generator when exposed to the imaging radiation.
[0080]
(2) The reaction excited by the photoacid generator is a protective reaction for protecting the alkali-soluble group and / or an insolubility-promoting reaction for promoting insolubilization of the alkali-soluble group in a basic aqueous solution. The negative resist composition according to Item 1.
[0081]
(3) The negative resist composition according to item 1 or 2, wherein the alcohol structure is a tertiary alcohol structure.
[0082]
(4) The negative resist composition according to item 3, wherein the tertiary alcohol structure is represented by any one of the general formulas (1) to (4).
[0083]
(5) Each of the first polymer and the second polymer is acrylic acid-based, methacrylic acid-based, itaconic acid-based, vinyl benzoic acid-based, vinylphenol-based, bicyclo [2.2.1] hept- Item 5. The negative according to any one of Items 1 to 4, which comprises at least one monomer unit selected from the group consisting of 5-ene-2-carboxylic acid-based compounds, N-substituted maleimide-based compounds and derivatives thereof. Type resist composition.
[0084]
(6) The content of the second polymer is 0.1 to 80 wt% with respect to the total polymer weight of the first polymer and the second polymer. To 5. The negative resist composition according to any one of 5 to 5.
[0085]
(7) The negative resist composition according to any one of items 1 to 6, wherein the second polymer has a molecular weight of 500 to 100,000.
[0086]
(8) The negative resist composition according to any one of items 1 to 7, further comprising a compound having an alcohol structure.
[0087]
(9) The negative resist composition according to item 8, wherein the compound having an alcohol structure contains a tertiary alcohol structure.
[0088]
(10) The negative resist composition according to item 8 or 9, wherein the compound having an alcohol structure has a boiling point of at least 130 ° C. or higher.
[0089]
(11) The negative resist according to any one of Items 8 to 10, wherein the compound having an alcohol structure contains an alicyclic structure or a polycyclic alicyclic structure.
[0090]
(12) The negative resist according to any one of Items 8 to 11, wherein the compound having an alcohol structure contains at least one hydroxyl group, ketone group, or alkyloxycarbonyl group.
[0091]
(13) The negative resist according to any one of Items 1 to 12, wherein the first polymer further includes an alkali-soluble group selected from the group consisting of a lactone ring, an imide ring, and an acid anhydride. Composition.
(14) The negative resist composition as described in any of (1) to (13) above, wherein the molecular weight of the first polymer is 2,000 to 1,000,000.
[0092]
(15) The negative resist composition as described in any one of items 1 to 14, wherein the absorbance at the wavelength of the exposure light source is 1.75 / μm or less.
[0093]
(16) Solvent selected from a solvent group consisting of ethyl lactate, methyl amyl ketone, methyl-3-methoxypropionate, ethyl-3-ethoxypropionate and propylene glycol methyl ether acetate, alone or in combination Item 15. The negative resist composition according to any one of Items 1 to 14, wherein
[0094]
(17) The negative resist composition according to item 16, further comprising a solvent selected from the group consisting of butyl acetate, γ-butyrolactone, propylene glycol methyl ether and a mixture thereof as an auxiliary solvent.
[0095]
(18) The negative resist composition according to any one of items 1 to 17 is applied on a substrate to be processed to form a resist film, and the resist film is formed by imaging radiation that promotes decomposition of the photoacid generator. A method for forming a resist pattern, comprising a series of steps of selectively exposing the resist film and developing the exposed resist film with a basic aqueous solution.
[0096]
【The invention's effect】
As is clear from the above detailed description, from claim 1 7 Since the second polymer having an alcohol structure in the side chain is present together with the first polymer having an alkali-soluble group, the alcohol is converted into the alkali by excitation of the photoacid generator by exposure. It is possible to increase the polarity change of the exposed portion by causing a protective reaction or the like that insolubilizes the soluble group in the basic aqueous solution. Therefore, it is possible to provide a novel negative resist composition capable of forming a fine negative resist pattern having practical sensitivity and no swelling. In addition, the present negative resist composition has higher sensitivity than conventional resist compositions and can form a pattern utilizing polarity change, so that high contrast and resolution can be easily obtained. . Also, Claim 8 According to the described resist pattern forming method, since the novel negative resist is used, the resist pattern can be formed with high sensitivity, high contrast and high resolution without swelling.

Claims (8)

アルカリ可溶性基を有する第1の重合体と、前記アルカリ可溶性基と反応し得るアルコール構造を側鎖に有する第2の重合体と、結像用放射線を吸収して分解すると前記第1の重合体のアルカリ可溶性基と前記第2の重合体のアルコールとの反応を励起させる酸を発生可能な光酸発生剤とを含み、自体塩基性水溶液に可溶であり、前記結像用放射線に露光されると前記光酸発生剤の作用により、前記露光部が前記塩基性水溶液に不溶となるネガ型レジスト組成物。  A first polymer having an alkali-soluble group; a second polymer having an alcohol structure capable of reacting with the alkali-soluble group in a side chain; and the first polymer upon decomposition by absorbing imaging radiation. A photoacid generator capable of generating an acid that excites the reaction between the alkali-soluble group of the second polymer and the alcohol of the second polymer, is itself soluble in a basic aqueous solution, and is exposed to the imaging radiation. Then, the negative resist composition in which the exposed portion becomes insoluble in the basic aqueous solution by the action of the photoacid generator. 前記光酸発生剤により励起される反応は前記アルカリ可溶性基を保護する保護型反応及び/又は前記アルカリ可溶性基の塩基性水溶液への不溶化を促進する不溶促進型反応であることを特徴とする請求項1記載のネガ型レジスト組成物。  The reaction excited by the photoacid generator is a protection-type reaction that protects the alkali-soluble group and / or an insolubility-promoting reaction that promotes insolubilization of the alkali-soluble group in a basic aqueous solution. Item 5. The negative resist composition according to Item 1. 前記アルコール構造は3級アルコール構造であることを特徴とする請求項1又は2記載のネガ型レジスト組成物。  3. The negative resist composition according to claim 1, wherein the alcohol structure is a tertiary alcohol structure. 前記3級アルコール構造は下記一般式(1)から(4)いずれかで示されることを特徴とする請求項3記載のネガ型レジスト組成物。
Figure 0003781939
(式(1)において、Rは第2の重合体の主鎖に繋がる原子団を表わす。R1、R2は任意のアルキル基であり、炭素数が1から8であり直鎖若しくは枝分かれ構造又は環状構造を含む。)
Figure 0003781939
(式(2)においてRは前記定義と同じである。nは2から9である。RXは炭素数が1から8であり、直鎖若しくは枝分かれ構造又は環状構造を含む。)
Figure 0003781939
(式(3)においてRは前記定義と同じである。Yは水素原子又は、炭素数が1から6の任意のアルキル基、アルコキシカルボニル基、ケトン基、水酸基、シアノ基を表わす。)
Figure 0003781939
(式(4)においてR及びYは前記定義と同じである。)
The negative resist composition according to claim 3, wherein the tertiary alcohol structure is represented by any one of the following general formulas (1) to (4).
Figure 0003781939
(In the formula (1), R represents an atomic group connected to the main chain of the second polymer. R1 and R2 are arbitrary alkyl groups, have 1 to 8 carbon atoms, and have a linear or branched structure or cyclic structure. Including structure.)
Figure 0003781939
(In the formula (2), R is as defined above. N is 2 to 9. RX has 1 to 8 carbon atoms and includes a linear or branched structure or a cyclic structure.)
Figure 0003781939
(In formula (3), R is as defined above. Y represents a hydrogen atom or any alkyl group having 1 to 6 carbon atoms, an alkoxycarbonyl group, a ketone group, a hydroxyl group, or a cyano group.)
Figure 0003781939
(In formula (4), R and Y are as defined above.)
前記第1の重合体及び第2の重合体のそれぞれは、アクリル酸系、メタクリル酸系、イタコン酸系、ビニル安息香酸系、ビニルフェノール系、ビシクロ[2.2.1]ヘプト−5−エン−2−カルボン酸系、N−置換マレイミド系の化合物及びこれらの誘導体からなる群から選択された少なくとも1つのモノマ単位からなることを特徴とする請求項1から4いずれかに記載のネガ型レジスト組成物。  Each of the first polymer and the second polymer is acrylic acid-based, methacrylic acid-based, itaconic acid-based, vinyl benzoic acid-based, vinylphenol-based, bicyclo [2.2.1] hept-5-ene. 5. The negative resist according to claim 1, wherein the negative resist comprises at least one monomer unit selected from the group consisting of 2-carboxylic acid-based, N-substituted maleimide-based compounds, and derivatives thereof. Composition. アルコール構造を有する化合物がさらに添加されていることを特徴とする請求項1から5いずれかに記載のネガ型レジスト組成物。  6. The negative resist composition according to claim 1, further comprising a compound having an alcohol structure. 前記アルコール構造を有する化合物は1つの水酸基に加え、ケトン基、アルコキシカルボニル基、および他の水酸基のいずれかを少なくとも含むことを特徴とする請求項6記載のネガ型レジスト。The negative resist according to claim 6, wherein the compound having an alcohol structure includes at least one of a ketone group, an alkoxycarbonyl group, and another hydroxyl group in addition to one hydroxyl group . 請求項1から7いずれかに記載のネガ型レジスト組成物を被処理基板上に塗布してレジスト膜を形成し、前記光酸発生剤の分解を促す結像用放射線により前記レジスト膜に対して選択的な露光を行い、露光後のレジスト膜を塩基性水溶液で現像する一連の工程を含んでなる、ことを特徴とするレジストパターンの形成方法。A negative resist composition according to any one of claims 1 to 7 is applied onto a substrate to be processed to form a resist film, and imaging radiation that promotes decomposition of the photoacid generator is applied to the resist film. A method for forming a resist pattern, comprising a series of steps of performing selective exposure and developing the exposed resist film with a basic aqueous solution.
JP2000061090A 1999-09-02 2000-03-06 Negative resist composition and method for forming resist pattern Expired - Fee Related JP3781939B2 (en)

Priority Applications (10)

Application Number Priority Date Filing Date Title
JP2000061090A JP3781939B2 (en) 2000-03-06 2000-03-06 Negative resist composition and method for forming resist pattern
TW089117944A TWI294991B (en) 1999-09-02 2000-09-01 Negative resist composition, method for the formation of resist patterns and process for the production of electronic devices
KR1020000051745A KR100658476B1 (en) 1999-09-02 2000-09-01 Negative resist composition and method for the formation of resist patterns
US09/654,433 US6506534B1 (en) 1999-09-02 2000-09-01 Negative resist composition, method for the formation of resist patterns and process for the production of electronic devices
DE10043678A DE10043678A1 (en) 1999-09-02 2000-09-03 Negative resist composition, process for forming resist patterns and process for manufacturing electronic devices
FR0011226A FR2798202B1 (en) 1999-09-02 2000-09-04 NEGATIVE RESIST COMPOSITION, METHOD FOR FORMING A NEGATIVE RESIST PATTERN, AND METHOD FOR PRODUCING ELECTRONIC DEVICES USING THE SAME
US10/291,723 US6773867B2 (en) 1999-09-02 2002-11-12 Negative resist composition, method for the formation of resist patterns and process for the production of electronic devices
US10/291,671 US6794113B2 (en) 1999-09-02 2002-11-12 Negative resist composition, method for the formation of resist patterns and process for the production of electronic devices
US10/291,608 US6794112B2 (en) 1999-09-02 2002-11-12 Negative resist composition, method for the formation of resist patterns and process for the production of electronic devices
US10/291,730 US6787288B2 (en) 1999-09-02 2002-11-12 Negative resist composition, method for the formation of resist patterns and process for the production of electronic devices

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2000061090A JP3781939B2 (en) 2000-03-06 2000-03-06 Negative resist composition and method for forming resist pattern

Publications (2)

Publication Number Publication Date
JP2001249455A JP2001249455A (en) 2001-09-14
JP3781939B2 true JP3781939B2 (en) 2006-06-07

Family

ID=18581297

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2000061090A Expired - Fee Related JP3781939B2 (en) 1999-09-02 2000-03-06 Negative resist composition and method for forming resist pattern

Country Status (1)

Country Link
JP (1) JP3781939B2 (en)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2016052095A1 (en) 2014-09-30 2016-04-07 富士フイルム株式会社 Active light sensitive or radiation sensitive resin composition, active light sensitive or radiation sensitive film, mask blank provided with active light sensitive or radiation sensitive film, pattern forming method, method for manufacturing electronic device, and electronic device
JP6375438B2 (en) 2015-02-27 2018-08-15 富士フイルム株式会社 Actinic ray-sensitive or radiation-sensitive resin composition, actinic ray-sensitive or radiation-sensitive film, mask blank provided with actinic ray-sensitive or radiation-sensitive film, pattern formation method, and electronic device manufacturing method

Also Published As

Publication number Publication date
JP2001249455A (en) 2001-09-14

Similar Documents

Publication Publication Date Title
JP3859353B2 (en) Negative resist composition and method for forming resist pattern
TWI229782B (en) Resist composition suitable for short wavelength exposure and resist pattern forming method
US6887644B1 (en) Polymer compound for a chemical amplification resist and a fabrication process of a semiconductor device using such a chemical amplification resist
JP3433017B2 (en) Photosensitive composition
KR100658476B1 (en) Negative resist composition and method for the formation of resist patterns
JP4865073B2 (en) Negative resist composition, method of forming resist pattern, and method of manufacturing semiconductor device
JP5105667B2 (en) Negative resist composition, method of forming resist pattern, and method of manufacturing semiconductor device
JP3228193B2 (en) Negative photoresist composition and pattern forming method using the same
US7122288B2 (en) Negative resist composition, a method for forming a resist pattern thereof, and a method for fabricating a semiconductor device
JP4144957B2 (en) Resist composition and method for forming resist pattern
JP2003055408A (en) Photoresist monomer, photoresist polymer, method for manufacturing photoresist polymer, photoresist composition, method for forming photoresist pattern, and semiconductor element
JP3859352B2 (en) Negative resist composition and method for forming resist pattern
JP2003105035A (en) Photoresist monomer, photoresist polymer, manufacturing method of photoresist polymer, photoresist composition, photoresist pattern-forming method, and semiconductor element
JP3781939B2 (en) Negative resist composition and method for forming resist pattern
TWI307451B (en) Photoresist composition
JP4127937B2 (en) Resist composition and method for forming resist pattern
KR100570208B1 (en) Organic anti-reflective coating polymer, its preparation method and organic anti-reflective coating composition comprising the same
JPH1078658A (en) Chemical amplification type resist composition and resist pattern forming method
JP3347530B2 (en) Resist composition and method of forming resist pattern
JP2003040931A (en) Photoresist monomer, photoresist polymer, production method of photoresist polymer, photoresist composition, forming method of photoresist pattern, and semiconductor device
KR100570207B1 (en) Organic anti-reflective coating polymer, its preparation method and organic anti-reflective coating composition comprising the same
KR100557610B1 (en) Novel photoresist monomer, polymer thereof and photoresist composition containing it
KR100557617B1 (en) Photoresist Polymer and Photoresist Composition Containing It

Legal Events

Date Code Title Description
A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20050401

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20051213

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20060209

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20060307

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20060308

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20100317

Year of fee payment: 4

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20100317

Year of fee payment: 4

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20110317

Year of fee payment: 5

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20110317

Year of fee payment: 5

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20120317

Year of fee payment: 6

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130317

Year of fee payment: 7

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130317

Year of fee payment: 7

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20140317

Year of fee payment: 8

LAPS Cancellation because of no payment of annual fees