JP3672639B2 - Method for manufacturing semiconductor device - Google Patents

Method for manufacturing semiconductor device Download PDF

Info

Publication number
JP3672639B2
JP3672639B2 JP26251995A JP26251995A JP3672639B2 JP 3672639 B2 JP3672639 B2 JP 3672639B2 JP 26251995 A JP26251995 A JP 26251995A JP 26251995 A JP26251995 A JP 26251995A JP 3672639 B2 JP3672639 B2 JP 3672639B2
Authority
JP
Japan
Prior art keywords
hydrogen
film
manufacturing
semiconductor device
gas
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP26251995A
Other languages
Japanese (ja)
Other versions
JPH0982706A (en
Inventor
舜平 山崎
光範 坂間
武 深田
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Semiconductor Energy Laboratory Co Ltd
Original Assignee
Semiconductor Energy Laboratory Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Semiconductor Energy Laboratory Co Ltd filed Critical Semiconductor Energy Laboratory Co Ltd
Priority to JP26251995A priority Critical patent/JP3672639B2/en
Priority to TW085110692A priority patent/TW371796B/en
Priority to KR1019960039195A priority patent/KR100319333B1/en
Priority to US08/706,666 priority patent/US6323142B1/en
Priority to CN96122468A priority patent/CN1118867C/en
Priority to CNB021271089A priority patent/CN1311533C/en
Publication of JPH0982706A publication Critical patent/JPH0982706A/en
Priority to KR1020010003535A priority patent/KR100333158B1/en
Priority to US10/037,865 priority patent/US6706648B2/en
Priority to US10/737,855 priority patent/US7491659B2/en
Application granted granted Critical
Publication of JP3672639B2 publication Critical patent/JP3672639B2/en
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Description

【0001】
【産業上の利用分野】
本発明は、有機シラン系のソースを用いた成膜に関し、酸化膜に水素と窒素を混入した膜を形成し、炭素成分が少なく、段差被覆性と不純物のブロックの双方を必要とする半導体装置の製造方法に関する。
【0002】
【従来技術】
半導体装置のうちLSIの分野では、配線間隔が0.2〜0.4μmと狭くなり、然も配線のアスペクト比(高さ/横)が1を超えてきており、層間絶縁膜を形成したのちの平坦化において、絶縁膜のボイドの発生を抑えるために、正珪酸四エチル、化学式Si(OC2 5 4 (いわゆるTEOS)などの有機シラン系をソースガスとして用いて、その段差被覆性の良さを利用した成膜方法が利用されてきている。また、絶縁基板上に多数の薄膜トランジスタを形成する、液晶ディスプレイ分野においても、正珪酸四エチルをソースガスとして用いて、その段差被覆性の良さを利用して、薄膜トランジスタ配線のいわゆる段切れなどを低減させている。また、シリコンウェハなどの高温ではなく、600℃以下のプロセスを用いる液晶ディスプレイ分野では、層間絶縁膜以外にもゲート酸化膜や下地膜としても用いられている。
【0003】
LSIの分野においては、正珪酸四エチルを用いた酸化膜を層間絶縁膜として用いているが、そこでは、酸化膜中に炭素や酸素と水素の結合のが多く残存し、吸湿性の高い膜となっている。また、窒化珪素膜は耐水性および不純物のブロッキング性が高いが、段差被覆性が悪く、膜が硬いために割れやすい欠点を持っている。
【0004】
液晶ディスプレイなどにも応用されている薄膜トランジスタ(TFTとも呼ぶ)では、ガラス基板などの絶縁基板の上に成膜する下地膜、ゲート絶縁膜、層間絶縁膜などにも、正珪酸四エチルなどの有機シラン系をソースガスとして熱CVD法、プラズマCVD法などによって成膜されている。しかしながら、炭素の残存が多く、耐水性および不純物のブロッキング性の特性に問題を残している。
【0005】
正珪酸四エチルを用いた成膜方法として、従来からよく用いられているものとして、プラズマCVD法として、平行平板電極を有する真空引き可能なチャンバーの中に、成膜を行いたい基板を、配置する。この時、平行平板電極の片側を高周波電源に接続しいわゆるカソードに接続する。他方の電極をアースに接続してあり、基板はアース側の電極いわゆるアノード側に配置される。正珪酸四エチルは、常温で液体のために加熱して蒸気圧を高めてチャンバーへ導入するか、タンクにキャリアガスをバブリングさせてキャリアガスとともにチャンバーへ導入させる。プラズマ中で分解された正珪酸四エチルは、前駆体を形成し、基板上にて、流動的に動くことが特徴的で、それによって段差被覆性のよい膜を形成できる特徴をもっている。基板を動く前駆体同士がぶつかり合い、またそれにプラズマ中で形成された酸素イオンや酸素ラジカル、オゾンが衝突して、表面にて引抜き反応を起こし、そこでSiOX を形成する。酸素の量を多く導入すると、表面での正珪酸四エチルから形成された前駆体からの引抜き反応が促進して、炭素の量は減少するが、逆に段差被覆性が悪い膜となる。
【0006】
酸素の導入量を少なめにすると、段差被覆性は向上するが、炭素や酸素と水素の結合が多く残存し、吸湿性の高い膜になる。赤外吸収による測定を行うと、3660cm-2付近の吸収が、時間の経過とともに増えてくるような膜となる。3660cm-2付近の吸収は、主にSi−OHの結合による吸収であり、成膜された膜が吸湿性であることをしめしている。
【0007】
正珪酸四エチルを用いた、他の成膜方法としては、オゾンと熱による常圧CVD法がある。これは、300〜400℃に加熱させた基板上に、N2 によって正珪酸四エチルなどの有機シラン系のタンクをバブリングして反応室に導入させ、また酸素はオゾナイザーを通してオゾンを発生させてチャンバンーに導入させるものである。この方法では、段差被覆性が高くかつ成膜速度も大きいことから、LSIやDRAM等のメモリなどの多層配線が必要とされる層間絶縁膜に用いられる。その後、エッチバックやSOG(SPIN ON GLASS)やCMP(CHEMICAL MECHANICAL POLISHING)などを併用して、いわゆる平坦化を行っている。
【0008】
しかしながら、この常圧CVD法では、膜が非常に粗ないわゆるポーラスな膜となり、単体では、吸湿性が非常に高く、配線間のリークなどを引起し、半導体の信頼性を低下させることになってしまう。また、0.3μmルールが、間近にせまってきた昨今では、配線間の横方向の容量が無視できず、低誘電率の膜が必要になってきている。
【0009】
また本出願人による特願昭62−206087(特開平1−48425)には、有機シラン系ソースと酸化窒素を用いた成膜方法が開示されているが、この方法は、明細書中に書かれているとおり凹凸形状を均一に被膜でき、アルカリ性のブロッキング効果がある。しかしながら、層間絶縁膜として用いるだけであればよいが、絶縁膜をキャパシタや、ゲート絶縁膜等の電気特性を重視するところで用いるためには、有機シラン系ソースに含まれる炭素を出来るだけ除去する必要がある。炭素が、制御できないかぎりその絶縁膜の電気特性を用いた応用は難しい。
【0010】
【発明が解決しようとする課題】
従来技術においては、正珪酸四エチルのごとき有機シラン系ガスをソースとして成膜を行う場合に、段差被覆性を向上させると吸湿性および炭素含有量が増え、その結果信頼性の欠如、半導体特性の不良好性をまねいていた。また、含有炭素量を減少させるために、正珪酸四エチルのごとき有機シラン系ガスに酸素を多量に加えると、段差被覆性を悪化させ、ボイドの発生、配線切れなどをおこし、その結果信頼性の欠如、半導体特性の不良好性をまねいていた。また、酸化膜中にアルカリ金属等の不純物が混入し易く、一旦混入した場合は、それが稼働イオンとして振る舞う場合もある。本発明を用いることで上記のような問題を解消して、段差被覆性がよく、炭素の含有量を従来より減少させ、吸湿性を少なくし、不純物のブロッキング効果の大きくすることが可能である。
【0011】
【課題を解決するための手段】
上記課題を解決するために、本発明の構成の一つは、
プラズマCVD法または常圧CVD法によって有機シラン系のソースと、水素あるいは活性水素、を少なくとも含んだ原料を用いて加熱した基板に酸化膜を成膜する工程を有する半導体装置の製造方法において、
前記酸化膜の成膜中に酸化窒素(NX Y )を添加して成膜すること
を特徴とする半導体装置の製造方法である。
【0012】
本発明の他の構成は、
プラズマCVD法または常圧CVD法によって有機シラン系のソースと、H2 Oを、少なくとも含んだ原料を用いて加熱した基板に酸化膜を成膜する工程を有する半導体装置の製造方法において、
前記酸化膜の成膜中に酸化窒素(NX Y )を添加して成膜すること
を特徴とする半導体装置の製造方法である。
【0013】
本発明の他の構成は、
常圧CVD法によって、有機シラン系のソースと、酸素あるいは酸素から形成したオゾンなどのソース、水素あるいは活性水素、を少なくとも含んだ原料を用いて、オゾン濃度1%以上の濃度で、親水性表面を有する基板を加熱し、少なくとも前記親水性表面上の一部に酸化膜を成膜する工程において、
前記酸化膜の成膜中に酸化窒素(NX Y )を添加して成膜すること
を特徴とする半導体装置の製造方法である。
【0014】
本発明の他の構成は、
プラズマCVD法によって有機シラン系のソースと、酸素あるいは酸素から形成したオゾンなどのソース、水素あるいは活性水素を少なくとも含んだ原料を用いて加熱した基板に酸化膜を成膜する工程を有する半導体装置の製造方法において、
前記酸素あるいは酸素から形成したオゾンなどのソースの量が、前記有機シラン系ソースの15倍以下の量であって、
前記水素あるいは活性水素を前記有機シラン系ソースの0.01倍以上の量を添加して、かつ
前記酸化膜の成膜中に酸化窒素(NX Y )を添加して成膜すること
を特徴とする半導体装置の製造方法である。
【0015】
本発明の他の構成は、
プラズマCVD法によって有機シラン系のソースと、酸素あるいは酸素から形成したオゾンなどのソース、H2 O、を少なくとも含んだ原料を用いて加熱した基板に酸化膜を成膜する工程を有する半導体装置の製造方法において、
前記酸素あるいは酸素から形成したオゾンなどのソースの量が、前記有機シラン系ソースの15倍以下の量であって、
前記酸化膜を成膜する工程中に、キャリアガスを前記有機シラン系ソースの0.1〜1倍の量を前記H2 O中をバブリングさせて前記H2 Oを添加して、かつ 前記酸化膜の成膜中に酸化窒素(NX Y )を添加して成膜すること
を特徴とする半導体装置の製造方法である。
【0016】
本発明の他の構成は、
常圧CVD法によって、有機シラン系のソースと、、水素あるいは活性水素、を少なくとも含んだ原料を用いて加熱した基板に酸化膜を成膜する工程を有する半導体装置の製造方法において、
前記水素あるいは活性水素を前記有機シラン系ソースの0.1倍以上の量を添加して、かつ
前記酸化膜の成膜中に酸化窒素(NX Y )を添加して成膜すること
を特徴とする半導体装置の製造方法である。
【0017】
本発明の他の構成は、
ガラス基板上に、薄膜トランジスタを形成する工程における、活性層となる半導体層の下方に成膜される酸化膜を成膜する工程において、プラズマCVD法または常圧CVD法によって有機シラン系のソースと、水素あるいは活性水素、を少なくとも含んだ原料を用いて加熱した基板に酸化膜を成膜する工程を有する半導体装置の製造方法において、
前記酸化膜の成膜中に酸化窒素(NX Y )を添加して成膜すること
を特徴とする半導体装置の製造方法である。
【0018】
本発明の他の構成は、
ガラス基板上に、薄膜トランジスタを形成する工程における、活性層となる半導体層の上方に成膜される酸化膜を成膜する工程において、プラズマCVD法によって有機シラン系のソースと、水素あるいは活性水素、を少なくとも含んだ原料を用いて加熱した基板に酸化膜を成膜する工程を有する半導体装置の製造方法において、
前記酸化膜の成膜中に酸化窒素(NX Y )を添加して成膜すること
を特徴とする半導体装置の製造方法である。
【0019】
本発明の他の構成は、
ガラス基板上に、薄膜トランジスタを形成する工程における、ゲート絶縁膜の上方に成膜される酸化膜を成膜する工程において、プラズマCVD法または常圧CVD法によって有機シラン系のソースと、水素あるいは活性水素、を少なくとも含んだ原料をを用いて加熱した基板に酸化膜を成膜する工程を有する半導体装置の製造方法において、
前記酸化膜の成膜中に酸化窒素(NX Y )を添加して成膜すること
を特徴とする半導体装置の製造方法である。
【0020】
本発明の他の構成は、
上記半導体装置の製造方法において、有機シラン系ソースが、TEOS,OMCTS,HMDSの何れかを用いることを特徴とする半導体装置の製造方法である。
【0021】
本発明の他の構成は、
上記半導体装置の製造方法において、有機シラン系ソースが、弗素を含んだ材料であることを特徴とする半導体装置の製造方法である。
【0022】
本発明の他の構成は、
上記半導体装置の製造方法において、酸化窒素(NX Y )が、N2 O,NO,N2 3 ,NO2 ,N2 4 ,N2 5 ,NO3 ,N2 6 の何れかを用いることを特徴とする半導体装置の製造方法である。
【0023】
本発明の他の構成は、
上記半導体装置の製造方法において、SIMSによる測定において、
炭素(C)の深さ方向プロファイルの最低値がの3×1019cm-3以下でありかつ、
窒素(N)の深さ方向プロファイルの最大値が1×1019cm-3以上である酸化膜を形成することを特徴とする半導体装置の製造方法である。
【0024】
本出願人は、正珪酸四エチルを用いて、プラズマCVD法によって酸化膜を形成する場合に、酸素と正珪酸四エチルを混合して成膜していたが、炭素を成膜された膜から減少させる方法を種々実験した結果、水素ラジカルと水素イオンなどの活性水素を成膜中に用いることにその効果のあることを発見した。水素ラジカルと水素イオンなどの活性水素は、炭素と反応してCHX 形成し炭素をガス化してしまう。特に炭素の一重結合であるC−Cの結合を切りCH4 やC−OHなどとして、ガス化することで成膜中の炭素を除去することが可能である。
【0025】
また、酸化膜から炭素を減少させるとともに窒素をドープすることができれば酸化膜と窒化膜の双方の利点をいかすことができる。特に、正珪酸四エチルなどの有機シラン系ソースを用いて窒素ドープの酸化膜を形成する場合は、窒素と酸素が結合しているN2 O,NO,N2 3 ,NO2 ,N2 4 ,N2 5 ,NO3 ,N2 6 などのNX Y いわゆる酸化窒素を用いることで酸素と窒素の双方を成膜中に供給することができる。窒素のドープされた酸化膜は、窒素のドープがされていない酸化膜に対して耐水性および不純物のブロッキング性が著しく向上する。特に、Na,Kなどのアルカリ金属は、酸化膜中を稼働する稼働イオンとなり、半導体の電気特性を不安定にする大きな原因となっているが、窒素がドープされた酸化膜では、窒素がドープされていない酸化膜より大幅にブロック性があがり、Na,Kなどのアルカリ金属の稼働性を抑えることができる。
【0026】
水素は、酸素と比較して、炭素に対するいわゆる脱炭素の効果があり、かつ原子が小さいために膜および基板に対する水素イオンによるスパッタ効果は、ほとんど無視してよい程度しかない。そのため、有機シラン系ソース、酸化窒素、水素を混合させてプラズマCVDにより成膜をする場合に、有機シラン系ソースと酸化窒素の混合比は、段差被覆性がよく生産性のよい成膜速度となるように決定し、脱炭素のために水素を混合させる系をとる。特に有機シラン系ソースの0.01〜1倍の導入した時に効果が大きい。それによって、プラズマによって発生した有機シラン系ソースからの前駆体と酸素イオンやオゾンや酸素ラジカルは、基板表面での成膜に関する表面反応を繰り返しながら、前駆体はいろいろな種類の前駆体に変化しながら基板表面を流動して段差被覆性の良い酸化膜を形成していく。そこで酸化膜を前駆体と酸素イオンやオゾンや酸素ラジカルの反応によって形成させていく中で、水素イオンや水素ラジカルが基板表面の炭素原子と反応して炭素をガス化する。ガス化された炭素は、真空ポンプによって排気される。また、酸化窒素は、作製する窒素ドープの酸化膜の特性に応じて酸素と窒素の分子量を適当に選びかつ、酸素が足りない場合は酸素も加えることで特性を変化させることができる。
【0027】
酸化窒素は、窒素と酸素が予め結合されているために、有機シラン系ソースと反応した場合に、成膜された酸化膜中に窒素が結合してドープし易い。酸化窒素の代わりにアンモニアや、窒素を、酸素と混合させても窒素ドープの酸化膜はできるが、アンモニアを分解するためには比較的大きなエネルギーを必要とし、プラズマ法などでは、基板の被形成面を著しく損傷させてしまう。また、窒素では、他の分子との結合がしにくく、ドープ量を制御することが難しい。そのため有機シラン系ソースを用いる酸膜上に窒素をドープするために酸化窒素をもちいることは非常に有利である。
【0028】
常圧CVDを用いた成膜に対して本発明を利用する場合は、水素の一部をを水素ラジカルにするために触媒法を用いる。触媒としては、白金、パラジューム、還元ニッケル、コバルト、チタン、パナジウム、タンタル等の3d−遷移金属またはアルミニウム、ニッケル、白金・珪素、白金・塩素、白金・レニウム、ニッケル・モリブデン、コバルト・モリブデン、等の金属化合物、又は上記遷移金属とアルミナ、シリカゲル等の混合または化合物あるいは、ラネーコバルト、ルテニウム、パラジウム、ニッケル、等あるいはそれらと炭素の混合または化合物が適当であるが、これを粒状、網状または粉末状態で使用する。ただし、低融点で反応性物質の初期吸着速度を著しく高める物質、および物質内に容易に気化し易いナトリウムなどのアルカリ金属を含む物質、例えば銅、タングステン、等は好ましくない。実験によると反応性物質の分解温度以上では触媒に著しい劣化がみられた。触媒の量、密度に関しては反応性気体との有効接触面積に関係するものであり、必要に応じて調整すればよい。水素を加熱した触媒中を通すことで、活性な水素ラジカルを発生させる。酸素はオゾナイザーを通すことで、活性なオゾンを発生させる。
【0029】
基板を加熱した常圧CVD装置において、有機シラン系ソースの入ったタンクをNO,NO2 ,N2 OなどのNX Y いわゆる酸化窒素をキャリアガスとしてバブリングして、オゾナイザーを通して酸素の一部をオゾンにして導入し、触媒を通して水素を導入する。ガスは拡散機構を有するガスノズルから、全て混合して基板上に供給される。常圧CVDにおいて有機シラン系ソースとオゾンのみで成膜を行う場合に、表面の親水性である場合と疎水性である場合とで、形成される酸化膜に大きな違いがある。疎水性の表面をもつ基板上では、正常な成膜が可能であるが、親水性をもつ表面では成膜異常や成膜速度の低下などが発生しやすく少なくとも親水性表面の一部に成膜をする場合に問題を有していた。水素ラジカルを伴う本発明では、脱炭素効果、不純物のブロック効果とともに、基板表面を活性な水素がターミネートすることで疎水性の表面を形成し、成膜異常や成膜速度の低下を防ぐことが可能である。特に酸化窒素のキャリアガスの0.1〜1倍程度の流量の水素を導入すると効果が大きく、有機シラン系ソースを加熱して直接ガス化した場合は、その1〜5倍程度が効果が大きい。
【0030】
酸化窒素をキャリアガスとして用いたが、別に酸化窒素をキャリアガスとせずにキャリアガスは、窒素などで行い、別系統から酸化窒素を導入しても同様の効果を得ることができる。
【0031】
水素ラジカルを発生させることに関しては、プラズマCVD法では、プラズマによって水素ラジカルを発生させ、常圧CVD法では、触媒法によって発生させたがこれは逆にすることも可能である。あらかじめ触媒法にて活性な水素ラジカルを発生させておいて、それをプラズマCVD装置に導入することもできるし、予め放電によって活性な水素ラジカルを形成してその後に、常圧CVD装置のガスノズルで混合することも可能である。
【0032】
また、有機シラン系ソースをもちいて酸化膜を形成する場合は、必ず活性な酸素ラジカルや酸素イオンやオゾンを用いるためにソースとして酸素を使う。しかしながら本発明では、それに活性な水素ラジカルあるいは水素イオンを用いるためにH2 Oを用いることも可能である。ただしH2 Oと有機シラン系ソースは、反応性が高いので、基板上で反応させる前に、配管内で混合をする場合は、配管が詰まるようなことを起こしかねない。プラズマCVDでは、有機シラン系ソースの導入配管とH2 Oの導入配管を分離することがよい。
【0033】
【実施例】
〔実施例1〕図1に本発明を実施するための平行平板型プラズマCVD装置を示す。真空室11は、減圧が可能であり、その中にガスのシャワーヘッドを兼ねるカソード12、成膜される基板13が、ヒータを内在して基板13を加熱することが可能な基板ホルダーの役割をもつアノード14がある。真空室11は、アノード14の周りに真空室11を減圧にし、不要になったガスを排気するためのポンプ15がある。真空室11の圧力をほぼ一定に維持するための圧力コントロールをするための、制御弁16が、真空室11とポンプ15の間に設けてある。カソード12には、整合器17を通してプラズマ電源18が接続されている。導入するガスは、有機シラン系ソースとして本実施例では正珪酸四エチル19a、酸化窒素として本実施例ではN2 O 19bの成膜ソースガス19が、成膜用流量制御器20として、正珪酸四エチル用流量制御器20a、N2 O用流量制御器20bを通してカソード12に接続されている。また、水素21a、脱炭素用キャリアガス21bとしての脱炭素ソース21が水素用流量制御器22a、キャリアガス用流量制御器22bの脱炭素ソース流量制御器22を通してガスを導入できるように接続されている。脱炭素用キャリアガス21bは、H2 O23の入った水用タンク24の中でバブリングできるようになっており、この系のみが他のガスとは別に直接真空室11に接続されて、正珪酸四エチルとH2 Oとの反応によって配管が詰まることを防止している。
【0034】
カソード12内には、ガスを基板13表面に均一に供給するように、拡散板のような攪拌メカニズムが存在する。正珪酸四エチル19aは、常温で液体であり蒸気圧が充分でない場合が多いため、正珪酸四エチルソースのあるタンクに対して、He、Ne、Arなどの不活性ガスをキャリアガスとしてバブリングさせて用いるあるいは酸化窒素をバブリングさせてキャリアガス、と窒素と酸素のソースを兼ねるようにする場合や、タンクを加熱して正珪酸四エチルソースの蒸気圧をあげて真空室11までの配管をタンク加熱温度以上に加熱し、タンクと真空室11の間で凝集しないような工夫をして導入する必要がある。
【0035】
真空室11を真空引きし、その後基板13をアノード14内にあるヒータによって200〜500℃に加熱する。あまり低温にすると、膜の緻密性が粗になり、半導体用の膜としてはほとんど使用できず、典型的には300〜350℃で行った。正珪酸四エチルの入っているタンクを80℃に加熱し、タンクから真空室11までの配管をすべて90℃に加熱して正珪酸四エチル用流量制御器20aによって流量制御を行いながら導入した。脱炭素ソース21として水素21a 用いた。
【0036】
プラズマ電源18からの供給電力としては、0.1〜1.5W/cm2 を供給したが、典型的には0.2〜0.5W/cm2 を印可した。反応圧力は、0.1〜3Torrで典型的には0.8〜1.5Torrで行った。正珪酸四エチル:N2 O:水素=1:1〜15:0〜1の範囲でガスを供給した。カソード12とアノード13の間隔は30〜150mmの間で調整したが、典型的には70mmで行った。
【0037】
水素を0.01添加しただけでも、全ての場合に効果が表れ始め、0.5以上添加しても変わらかった。特に水素添加の効果は、段差被覆性は、ほとんど変化させずに炭素量を減少させ吸湿性を向上させる。また、 N2 Oが正珪酸四エチルの流量に比較して15倍導入された場合は、ほとんど水素の効果がない。従って N2 Oが正珪酸四エチルに比較して15倍以下の量であって、水素量が正珪酸四エチルに比較して、0.01以上添加することで本発明の効果が表れる。この効果はN2 Oの代わりにNO,NO2 などを用いてもほぼ同様のであった。
【0038】
図2に水素とN2 Oの効果をみた各種特性のデータを示す。図2(A)は、正珪酸四エチル:N2 O=1:5で、水素の添加量を変化させた時の炭素の量を示しており、縦軸は炭素量を示しており、SIMS(2次イオン質量分析法)の深さ方向のプロファイルの中で酸化膜中での炭素の最低値を示している。横軸は正珪酸四エチル系ソースに対しての添加した水素量の比率を示している。水素を僅かに添加することで酸化膜中の炭素を減少させることができ、水素を0.5の比率以上添加しても炭素の量はほぼ飽和していることがわかる。水素0.5のときに炭素の深さ方向でのプロファイル中での最低値は約3×1019cm-3であり、水素をそれ以上添加するとこの値より小さくなり、それ以下の添加量ではこの値より大きくなる。プロセス条件によって水素の添加量は変化するが、この炭素の値は一つの目安になる。
【0039】
図2(B)は、正珪酸四エチル:水素=1:0.5で、N2 Oの添加量を変化させたものと、N2 Oのかわりに酸素を用いたものをMOSキャパシタを用いて稼働イオンの電荷の動きを測定したもので、いわゆるBTテストを行った。基板はP型のシリコンウェハで、その上に N2 Oあるいは、酸素を用いて酸化膜を1000Å成膜し、酸化膜の上と、基板の下にアルミを蒸着法にて成膜しMOSキャパシタを形成した。この蒸着のときに、あえてタングステンのコイルによる抵抗加熱によってアルミを成膜して、アルカリ金属を含んだ電極を構成した。MOSキャパシタの上部電極に1MV/cmを印加したまま120℃で30分間窒素中でアニールし、室温まで下げてMOSキャパシタの容量を低周波および高周波測定をおこないフラットバンド電圧を計算(+VFB)し、次に−1MV/cmを印加したまま120℃で30分間窒素中でアニールし、室温まで下げてMOSキャパシタの容量を低周波および高周波測定をおこないフラットバンド電圧を計算(−VFB)した。+VFBと−VFBの差の絶対値をΔVFBとして図2(B)の縦軸としている。
【0040】
横軸は、正珪酸四エチルに対するN2 Oあるいは酸素の比率をしめしている。N2 Oのかわりに酸素をもちいたものは、酸素の添加量によらずΔVFBは、ほぼ一定して大きい。僅かばかり、酸素が少ないときがΔVFBが小さくなっている。一方N2 Oを用いたほうは、N2 Oの添加量を大きくするほどΔVFBは小さくなり、N2 Oを正珪酸四エチルにたいして5以上にすることで飽和をしてくる。ΔVFBが大きいと、膜中のアルカリ金属が、酸化膜に印加された電界によって動くいていることになる。N2 Oをもちいる効果が顕著であることがわかる。また、正珪酸四エチルに対してN2 Oを15以上にすると段差被覆性が悪化することが判った。SIMSにてN2 Oを正珪酸四エチルに対して5倍添加したときの窒素量を測定したところ深さ方向での窒素(N)の最大値が約1×1019cm-3であった。N2 Oが5倍以下では、これより少なく、5倍以上ではこれより多くなることも確認した。本発明に用いるN2 OがNX Y のかたちの分子式であるときこのX,Yの値によって混入の割合は変化するが、特性的にはこの深さ方向での窒素(N)の最大値が約1×1019cm-3がひとつの目安になる。
【0041】
水素の代わりに、H2 Oを導入した場合は、やはり同様の効果が見られた。N2 Oが正珪酸四エチルに比較して15倍以下の量で、H2 Oをバブリングするキャリアガスの量が正珪酸四エチルに比較して、0.1〜1倍にすることで、段差被覆性に殆ど変化を与えずに、炭素量を減少させ、吸湿性を向上させた。ただし、H2 Oの場合は、酸素も含んでいるために、水素の添加とは異なり、多く添加しすぎると段差被覆性に変化を生じさせてしまうために上限があり正珪酸四エチルの量に比較して1倍以上導入すると段差被覆性が悪化する。
【0042】
〔実施例2〕図3に本発明を利用した、常圧CVD装置を示す。基板31は、ヒータを内蔵した基板ホルダー32に配置してあり。ガスの拡散システムを内在したガスノズル33は、本実施例では基板31の上方を往復運動34ができるようになっている。ガスノズル33は、別段本実施例のように往復運動しながら成膜する機構でなくとも、基板31の全面に均一にガスを供給できるように固定してあってもよく、またガスノズル33は固定で基板ホルダー32が移動するものであってもよい。また、本実施例では、基板31は下方にありいわゆるフェースアップで、被成膜面が上を向いているが、逆に基板31を上方にしていわゆるフェースダウンで被成膜面が下を向いた形状にして、ガスノズル33がその下から上の基板31向けてガスを供給してもよい。
【0043】
ガス系は、有機シラン系ソースとしてHMDS(Hexamethyldisiloxane)35にHMDS流量制御器39が接続してガスノズル33に接続されている。
酸素36は、酸素用流量制御器40を通してオゾナイザー43に接続しその後ガスノズル33に接続されている。
酸化窒素として本実施例ではNO2 45を用い、NO2 用流量制御器46を通してガスノズル33に接続している。オゾナイザーでは、効果的に酸素からオゾンを発生させる。キャリアガス37はキャリアガス用流量制御器41を通してガスノズル33に接続されている。HMDS35はこの系では、HMDSの入ったタンクを加熱することで蒸気圧を高めそれを直接HMDS用流量制御器39で制御しているが、窒素やヘリウムなどのガスにて、HMDSの入ったタンクをバブリングし、それをHMDS35として用いることも有用である。
【0044】
また、NO2 によってバブリングすることも効果的である。水素38は、水素用流量制御器42を通して触媒44に接続されている。
触媒44としては、白金、パラジューム、還元ニッケル、コバルト、チタン、パナジウム、タンタル等の3d−遷移金属またはアルミニウム、ニッケル、白金・珪素、白金・塩素、白金・レニウム、ニッケル・モリブデン、コバルト・モリブデン、等の金属化合物、又は上記遷移金属とアルミナ、シリカゲル等の混合または化合物あるいは、ラネーコバルト、ルテニウム、パラジウム、ニッケル、等あるいはそれらと炭素の混合または化合物が適当であるが、これを粒状、網状または粉末状態で使用する。ただし、低融点で反応性物質の初期吸着速度を著しく高める物質、および物質内に容易に気化し易いナトリウムなどのアルカリ金属を含む物質、例えば銅、タングステン、等は好ましくない。
実験によると反応性物質の分解温度以上では触媒に著しい劣化がみられた。
触媒44の量、密度に関しては反応性気体との有効接触面積に関係するものであり、必要に応じて調整すればよい。水素を加熱した触媒中を通すことで、水素の一部を活性な水素ラジカルにする。本実施例では、白金15重量%をアルミナに混合した粒状のものを触媒44として用いた。
【0045】
基板31を300〜500℃に加熱し、典型的には300〜400℃で行った。HMDS35の他にも有機シラン系ソースとしては正珪酸四エチルやOMCTS(Octamethylcyclotetrasiloxane)などがあるが、典型的にはHMDSを用いた。キャリアガスとしては、ヘリウムまたは窒素などを用いるが典型的にはHeを用いた。
【0046】
完成した窒素のドープされた酸化膜は、SIMS(2次イオン質量分析法)での膜中の深さ方向のプロファイルでの炭素の最低値の濃度が約3×1019cm-3以下で有る場合に、キャパシタ等としての電気特性が安定した。この濃度にするためのNO2 量は、HMDS0.1倍以上の流量で添加することで達成できた。また、完成した窒素のドープされた酸化膜は、SIMS(2次イオン質量分析法)での膜中の深さ方向のプロファイルでの窒素の最大値の濃度が約1×1019cm-3以上で有る場合に、アルカリ金属に対するブロッキング効果があった。この濃度に窒素を添加するためには NO2 をHMDSに対して、5倍以上の流量で添加することで達成できた。
【0047】
〔実施例3〕本実施例では、ポリシリコンを用いた薄膜トランジスタ(TFTとも呼ぶ)に本発明を用いた例を示す。
図4にTFTの作製工程を示す。
ガラス基板401の上に下地膜402を成膜する工程を図4(A)に示してあるが、ガラス基板401は、ホウケイ酸ガラス、石英などの可視光に対して透光性の大きいものを用いる。本実施例では、コーニング社のコーニング7059ガラスを用いた。
下地膜402を成膜する際に、本発明を用いた、TFTが完成した後に、ゲート電圧をオン方向に大きくしたときに、チャネルの下にチャネルを流れるキャリア、例えばチャネルがNチャネル型であればチャネルを流れるキャリアは電子であり、チャネルがPチャネル型であればチャネルを流れるキャリアはホールであるが、ゲート電圧をオン方向に大きくしていくと、チャネルの下の基板401側に、逆型のチャネルのようなものが発生することがある。
ゲート電圧を大きくした時のドレイン電流が、本来はチャネルがオン状態になれば、ゲート電圧を大きくしても飽和するが、チャネルの下の基板401側に逆型のチャネルが発生するとそのときにドレイン電流が急激に上がり、ゲート電圧に対するドレイン電流が段をもったようになる。いわゆるキンク効果が発生する。
本発明を、下地膜402を成膜するときに用いることで、キンク効果の発生を防止あるいは減少させる。下地膜402の膜が、不純物を含まない、SiOX であればキンク効果の発生は少なくなる。また、基板401からの不純物の拡散をブロックする必要がある。
【0048】
下地膜402の成膜で、平行平板プラズマCVD装置を用いて正珪酸四エチル(TEOSとも呼ぶ)とNOと水素を用いて、成膜を行った。正珪酸四エチルの代わりにOMCTS(Octamethylcyclotetrasiloxane)やHMDS(Hexamethyldisiloxane)などの有機シランを用いることも有効である。基板温度200〜500℃で、典型的には400℃に加熱して、成膜圧力0.1〜2Torrで典型的には1Torrに設定した。プラズマ電源としては5〜50MHzの高周波を用いるが、典型的には20MHzを用いた。プラズマ電源の供給電力は、0.1〜2W/cm2 を用いたが、典型的には0.3W/cm2 で行った。正珪酸四エチルとNOの比率(流量比)は、正珪酸四エチル:NO=1:5〜20で典型的には、正珪酸四エチル:NO=1:5で行った。水素の量は、正珪酸四エチル:水素=1:0.01〜1の範囲で行ったが、典型的には、正珪酸四エチル:水素=1:0.5で行った。下地膜402は500〜3000Å成膜したが、典型的には2000Åを成膜した。
【0049】
下地膜402の成膜は、平行平板プラズマCVD以外にも、他のプラズマCVD法においても、有機シランを用いて酸化膜を形成する場合は、水素ラジカルと水素イオンによって成膜中の炭素を除去することは非常に効果が大きく、どの様なプラズマCVD法においても有効である。
また、常圧CVDによって、下地膜402を成膜する場合も、触媒法によって水素ラジカルを発生させてそれを成膜中にも用いることで、やはり成膜中の炭素を除去することが可能であり、有機シランを用いた常圧CVD法においても本発明は有効である。
【0050】
常圧CVDを用いた成膜に対して本発明を利用する場合は、水素を水素ラジカルにするために触媒法を用いる。触媒としては、白金、パラジューム、還元ニッケル、コバルト、チタン、パナジウム、タンタル等の3d−遷移金属またはアルミニウム、ニッケル、白金・珪素、白金・塩素、白金・レニウム、ニッケル・モリブデン、コバルト・モリブデン、等の金属化合物、又は上記遷移金属とアルミナ、シリカゲル等の混合または化合物あるいは、ラネーコバルト、ルテニウム、パラジウム、ニッケル、等あるいはそれらと炭素の混合または化合物が適当であるが、これを粒状、網状または粉末状態で使用する。ただし、低融点で反応性物質の初期吸着速度を著しく高める物質、および物質内に容易に気化し易いナトリウムなどのアルカリ金属を含む物質、例えば銅、タングステン、等は好ましくない。
実験によると反応性物質の分解温度以上では触媒に著しい劣化がみられた。
触媒の量、密度に関しては反応性気体との有効接触面積に関係するものであり、必要に応じて調整すればよい。
水素を加熱した触媒中を通すことで、活性な水素ラジカルを発生させる。酸素はオゾナイザーを通すことで、活性なオゾンを発生させる。
基板を加熱した常圧CVD装置において、正珪酸四エチルの入ったタンクを酸化窒素でバブリングして、オゾナイザーを通して酸素を導入し、触媒を通して水素を導入する。ガスは拡散機構を有するガスノズルから、全て混合して基板上に供給される。
【0051】
常圧CVDにおいて正珪酸四エチルとオゾンのみで成膜を行う場合に、表面の親水性である場合と疎水性である場合とで、形成される酸化膜に大きな違いがある。疎水性の表面をもつ基板上では、清浄な成膜が可能であるが、親水性をもつ表面では成膜異常や成膜速度の低下などが発生しやすい。
水素ラジカルを伴う本発明では、脱炭素効果とともに、基板表面を活性な水素がターミネートすることで疎水性の表面を形成し、成膜異常や成膜速度の低下を防ぐことが可能である。特に酸化窒素の0.01〜1倍程度の流量で水素を導入すると効果が大きく、正珪酸四エチルを加熱して直接ガス化した場合は、その0.1〜1倍程度が効果が大きい。
【0052】
基板401の上に下地膜402が成膜されたものに、活性層403としてアモルファスシリコンを成膜したものが、図4(B)である。
アモルファスシリコンは、厚み50〜3000Å程度であり、典型的には400〜1000Åを成膜した。成膜方法としては、プラズマCVD法、減圧熱CVD法、スパッタ法等を用いた。本実施例では、プラズマCVD法にて、シランを分解させて、基板温度200〜400℃で、典型的には250〜350℃で成膜した。
その後、アモルファスシリコンをいわゆる固相成長させて、多結晶化(ポリシリコン化)するが、その方法には、本出願人による特開平6−232059、特開平6−244103、特開平6−244104に記載された発明を用いることで、600℃以下で、固相成長させることができる。固相成長させる前に、アモルファスシリコン中の、水素をある程度取り除いておかなけば、固相成長させるときの加熱によって、水素が急激にアモルファスの中から外にでるためひどい場合は、穴があくことがある。そのために、固相成長前に、400〜500℃で0.5〜5時間窒素中での水素出し工程を入れることは有効である。典型的には400℃で1〜2時間、窒素中にて行った。
【0053】
固相成長を行うと、基板401が石英のように歪み点が高温のもの以外は、熱のサイクルによって、基板が縮むいわゆるシュリンケージの問題が発生する。このシュリンケージは、事前に一度高温にして、その後のプロセスをその温度以下で行うことによって、ある程度回避することが可能である。つまり、固相成長を行うときにこのシュリンケージの対策も同時に行うことになる。
本出願人による特開平6−232059、特開平6−244103、特開平6−244104に記載された発明を用いることで、600℃以下で、固相成長させることができ、例えば500℃による固相成長も可能である。また、この方法を利用しないで固相成長させるためには、600℃で4〜24時間の固相成長時間を必要とする。
固相成長が終了して、活性層403がアモルファスシリコンからポリシリコンに変化するが、活性層403が、ポリシリコンの中に微量のアモルファス成分をもつよな場合は、活性層403に、レーザを照射してレーザ結晶化させることも有効である。
【0054】
また、熱による固相成長をせずに、水素出し工程の後に、レーザを照射して活性層403をアモルファスシリコンから、ポリシリコンにかえることも有効である。レーザの条件は、レーザ源としてArF、ArCl、KrF、KrCl、XeF、XeClなどのいわゆるエキシマレーザを用いる。照射エネルギーとしては、レーザ本体からの出口エネルギーで400〜1000mJで、レーザを光学系にて加工して、基板401表面にて、150〜500mJ/cm2 程度にして照射する。エネルギーはレーザの1回当たりのエネルギーである。基板温度は、室温〜300℃に加熱する。照射の繰り返し周波数は、20〜100Hz程度であり、レーザの基板401上での移動速度は1〜5mm/秒で、ビームをスキャンさせるか、基板401を移動するステージに配置してステージを移動させる。本実施例では、KrFエキシマレーザを用いて、本体出口出力550〜650mJで、基板401上で、180〜230mJ/cm2 で、照射の繰り返し周波数35〜45HZで、基板401を乗せているステージを2.0〜3.0mm/秒の速度で移動しさせた。
【0055】
基板401上の、下地膜402の上の活性層403をアモルファスシリコンからポリシリコンにした後に、活性層403をパターニングしてアイランド404を形成するしたものが図4(C)である。活性層403のパターニングは、公知のフォトリソグラフィーを用いてレジストをパターニングして、その後レジストをマスクとして、活性層403をエッチングしてアイランド404を形成する。エッチングとしては、ウェットエチング、ドライエッチングなどがあるが、本実施例では、CF4 とO2 を用いた平行平板高周波プラズマ処理装置を用いた。
【0056】
アイランド404の上を覆う用に、ゲート絶縁膜405を成膜したものが図4(D)になる。このアイランド404とゲート絶縁膜405の界面が、最終的なTFTの特性に大きな影響を及ぼすために、このゲート絶縁膜405自体の成膜に本発明を用いるが、その成膜まえのアイランド404上のクリーニングが非常に重要になってくる。炭素等の有機物に関しては、過酸化水素水に硫酸を加えた溶液での洗浄やオゾンあるいは酸素プラズマによるドライアッシングなどがよく知られている。しかしながら、本出願人の研究によって炭素の除去に関しては、さらに複雑な状況があることが判明した。
【0057】
炭素の汚染混入がどこからあるのかといえば、フォトリソプロセス中に、任意のパターンを形成するために用いるフォトレジストは感光性有機物であり、炭素汚染の原因にもなる。また、半導体装置の作製において、薄膜プロセスはもはや必須用件であり、そのための真空装置も必須装置となっているが、真空装置の真空にするための真空ポンプには、いまだ油を用いているものもあり、炭素汚染の原因にもなる。それ以外にも、基板キャリアとして用いるテフロン(PFA)、ポリプロピレン(PP)、ポリフッ化ビニリデン(PVDF)、3フッ化エチレン共有合樹脂(ECTFE)、4フッ化エチレン共有合樹脂(ETFE)、ポリエチレン(PE)などからの蒸気圧や、クリーンルーム内の床材、壁材などからの汚染もある。
【0058】
フォトリソ工程後にドライアッシングを行い、各工程の直前に、過酸化水素水に塩酸を1対1で加えた溶液を80℃に加熱して用いていることで有機物の除去を行い(以後、ウェットアッシングと呼ぶ)。すぐに次の処理を行なうようにすることが従来の方法である。
ドライアッシングとウェットアッシングによって、ほとんどの有機物を取り除くことができるように思われていたが、公知のXPSによって、基板表面の炭素汚染を評価すると、C−Cの結合のみほとんど除去されていないことが判明した。
【0059】
基板表面に付着したC−Cの一重結合による不純物を取り除くことに関して水素ラジカルあるいは水素イオンが有効に作用する。水素ラジカルだけでも十分な効果があるが、それに酸素ラジカルあるいはオゾンまたは酸素イオンを加えるとその除去効果は増大することもわかった。これは、炭素結合に対して水素ならびに酸素のラジカル等が反応しCHX 、COX 、COH等の気体を形成し、それによって結果的に炭素がガス化する。
【0060】
水素のラジカルあるいは水素イオンを発生させるためには、例えば平行平板のプラズマ装置の中に、基板を配置する。この時の基板は、プラズマイオン等の損傷を受けないようにするために、陽極(アノード)側に配置した方がよく、基板を加熱できるようにすると、熱による離脱も作用し効果は増進される。
水素ガスを、導入して平行平板の間に、高周波電力を印可すると、プラズマが発生する。プラズマ中では、水素イオン、電子とともに活性の高い中性の水素ラジカルが発生する。このラジカルあるいはイオンの量を増やすには、高周波電力を増加することも有用ではあるが、電子サイクロトロン共鳴を利用したマイクロ波を用いるとさらに水素ラジカルとイオンの量を増加することが可能である。発生した水素ラジカルとイオンは、基板表面に達し、そこで炭素の一重結合C−Cと反応して、其を除去する。反応して、ガス化した炭素は、ポンプによって排気される。
【0061】
アイランド404の表面を清浄にするため、硫酸:過酸化水素水=1:1を80℃に加熱して、その中に5〜10分間浸して、炭素汚染物をある程度除去し、その後塩酸:過酸化水素水=1:1を80℃に加熱して、その中に5〜10分間浸して、重金属を除去する。このような洗浄は、基板401等に、影響を与える場合には、その洗浄を行わない。その後、アイランド404の表面から、炭素の一重結合をすくなくとその一部において含んでいる、炭素汚染物を除去するために、プラズマ処理装置に基板401を配置する。
【0062】
このプラズマ処理装置は、アイランド404の表面を清浄にした後に、ゲート絶縁膜405を成膜するので、ゲート絶縁膜405を成膜する装置と、炭素の一重結合をすくなくとその一部において含んでいる、炭素汚染物を除去するためのプラズマ処理装置は、同一の反応室で行えることが望ましい。ゲート絶縁膜405の成膜と炭素の一重結合をすくなくとその一部において含んでいる、炭素汚染物を除去するためのプラズマ処理装置を兼ねるものとしては、平行平板型のプラズマCVD装置、あるいは、電子サイクロトロン共鳴を利用したマイクロ波プラズマCVD装置、石英チャンバの周りに電極を配置した無電極放電のプラズマCVD装置などがある。本実施例では、平行平板型のプラズマCVD装置を用いた。
【0063】
炭素の一重結合をすくなくとその一部において含んでいる、炭素汚染物を除去するためのプラズマ処理を行う方法として、平行平板型のプラズマ処理装置のアノード側に、アイランド404が形成されている基板401を配置した。平行平板の電極であるアノードとカソードの電極間隔は、30〜150mmの間で調整した。典型的には70mmで行ったが、それより大きくても小さくても条件を選べば問題は少ない。ガスは、カソード電極が、シャワーヘッドになっておりそこからガスが反応空間に導入され、基板401の表面で均一に流れるように、シャワーヘッド内に拡散板等の工夫が施されている。水素ガスと酸素ガスを同量導入させた。量的には、処理室の大きさにもよるが、プラズマ処理を行う圧力が50mTorr〜10Torrの間であり、ガスのレジデンスタイムが5秒以下となるようにした。レジデンスタイムが10秒を越えると、ガス化した炭素の再付着が発生することが時折みられたためにできるだけ、除去した炭素は速やかに排気するためにレジデンスタイムを5秒以下にしたが、大体10秒以下であれば問題ない。例えば40リットルのチャンバーで、圧力1Torrで316SCCMのガスを流せばレジデンスタイムは、約10秒になる。レジデンスタイムは、チャンバー容積とチャンバー内圧力の積をガスの流量で割ったものになるために、レジデンスタイムを小さくするためには、チャンバー容積や圧力を小さくするか、ガス流量を増加することが必要になってくる。
【0064】
本実施例では、チャンバー容積40リットル、処理圧力1Torr、酸素400SCCM、水素400SCCMでレジデンスタイムを約4秒とした。
プラズマ発生手段としては、高周波放電にて行った。高周波の周波数としては、10〜100MHzを用いたが、実施例では20MHzを用いた。印加電力としては、0.1〜2W/cm2 を投入した。電力が0.1W/cm2 を下回ると、除去は可能だが、処理時間がかかりすぎる。
また、2W/cm2 を越えると、電極が加熱されるために其を冷却すること等が必要となり装置の大型化、高価格化をまねいてしまう。本実施例では0.8W/cm2 を投入した。基板は、加熱すると除去能力は増加する。典型的には基板温度200〜500℃程度にすると除去能力が増加する。
しかし、室温〜200℃でも充分な効果があるが、本実施例では、その後にゲート絶縁膜405を形成するために基板温度を同様にするために300〜400℃にて行っている。プラズマ処理時間としては、1〜10分間程度である。これは、各種の条件(ガスのレジデンスタイム、高周波数、投入電力、基板温度)によって大きく変わってくるが、あまり時間をかけることは製造プロセスとしては好ましくない。本実施例では2分間行った。
【0065】
また、水素と酸素を用いて、水素ラジカル等、酸素ラジカル等を発生させる以外に、H2 Oを用いることもできる。H2 Oを導入するには、幾つかの方法がある。He、Ne、Arなどの不活性ガスをキャリアガスとしてH2 Oの入ったタンクをバブリングし、それによってH2 Oを気体として処理室へ運ぶ。また、H2 Oの入ったタンクから処理室までの全てを加熱して、H2 Oの蒸気圧を大きくし気体のまま処理室にはこぶ方法もある。導入されたH2 Oはプラズマによって分解され水素イオン、水素ラジカル、酸素イオン、酸素ラジカル、オゾンを同時に発生する。キャリアガスとしてHeを500〜1000SCCMでH2 Oの入ったタンクをバブリングしても同様の効果がみられた。
【0066】
炭素の一重結合をすくなくとその一部において含んでいる、炭素汚染物を除去するための工程の後に、ゲート絶縁膜405を形成する。ゲート絶縁膜405は、有機シラン系ソースとしての正珪酸四エチル(TEOSとも呼ぶ)と酸化窒素としてのN2 Oを用いて、成膜を行った。正珪酸四エチルの代わりにOMCTS(Octamethylcyclotetrasiloxane)やHMDS(Hexamethyldisiloxane)などの有機シランを用いることも有効である。基板温度は、200〜500℃で、典型的には300〜400℃で成膜する。成膜圧力0.1〜2Torrで典型的には0.5〜1Torrに設定した。プラズマ電源としては5〜50MHzの高周波を用いるが、典型的には20MHzを用いた。
プラズマ電源の供給電力は、0.1〜2W/cm2 を用いたが、典型的には0.3〜0.5W/cm2 で行った。正珪酸四エチルとN2 Oの比率(流量比)は、正珪酸四エチル:N2 O=1:5〜20で典型的には、正珪酸四エチル:N2 O=1:10で行った。
水素の量は、正珪酸四エチル:水素=1:0.01〜1の範囲で行ったが、典型的には、正珪酸四エチル:水素=1:0.5で行った。ゲート絶縁膜405は250〜2000Å成膜したが、典型的には500〜1200Åを成膜した。水素ラジカルと水素イオンによって、成膜中の炭素は、CHX あるいはCOHのような形でガス化して、チャンバーの外へ排気される。
【0067】
工程終了後に、ゲート絶縁膜405中の炭素の量をSIMSで測定したところ、水素を添加しないで成膜をしたゲート絶縁膜405としての酸化膜中の、炭素の深さ方向のプロファイルの中で、最も低い値が1×1019cm-3であったのに対して、水素を添加して成膜したゲート絶縁膜405としての酸化膜中の、炭素の深さ方向のプロファイルの中で、最も低い値が2×1018〜7×1018cm-3であった。
【0068】
ゲート絶縁膜405の上に、ゲート電極膜を成膜して、それをパターニングしてゲート電極406を形成し、その後に、ソース・ドレイン407を形成するための不純物領域を形成して図4(E)になる。導電性膜としてAl、ドープドポリシリコン、Cr、Ta、等を積層したのちに、フォトリソグラフィー工程によってレジストをパターニングして、そのご導電性膜を所望の形にエッチングしてゲート電極406を作製する。本実施例ではAlをスパッタによって成膜した。その後、Pをイオン注入によって5×1015cm-2のドーズ量になるようにスルードープして、ソース・ドレイン407を形成した。注入は、イオン注入に限らず、プラズマドープによってPHX を注入してもよい。注入したのちに、活性化させるために600℃で5時間加熱した。ゲート電極406を、金属ではなくポリシリコンで形成するためには、ドープドポリシリコンを成膜してもよいが、ノンドープのポリシリコンを形成したのちに、ソース・ドレイン407を形成するときのイオン注入あるいはプラズマドープによって、ドープドポリシリコンとすることも可能である。
【0069】
そのご層間絶縁膜408を形成し、ゲート電極406の取り出し配線電極409とソース・ドレイン407の取り出し配線電極410を形成して。トップゲート型ポリシリコン薄膜トランジスタが完成した。これが図4(F)になる。層間絶縁膜408を成膜する際に、本発明を利用した。常圧CVDによって、層間絶縁膜408を成膜する場合も、触媒法によって水素ラジカルを発生させてそれを成膜中にも用いることで、やはり成膜中の炭素を除去することが可能であり、有機シランを用いた常圧CVD法においても本発明は有効である。
【0070】
常圧CVDを用いた成膜に対して本発明を利用する場合は、水素を水素ラジカルにするために触媒法を用いる。触媒としては、白金、パラジューム、還元ニッケル、コバルト、チタン、パナジウム、タンタル等の3d−遷移金属またはアルミニウム、ニッケル、白金・珪素、白金・塩素、白金・レニウム、ニッケル・モリブデン、コバルト・モリブデン、等の金属化合物、又は上記遷移金属とアルミナ、シリカゲル等の混合または化合物あるいは、ラネーコバルト、ルテニウム、パラジウム、ニッケル、等あるいはそれらと炭素の混合または化合物が適当であるが、これを粒状、網状または粉末状態で使用する。
ただし、低融点で反応性物質の初期吸着速度を著しく高める物質、および物質内に容易に気化し易いナトリウムなどのアルカリ金属を含む物質、例えば銅、タングステン、等は好ましくない。実験によると反応性物質の分解温度以上では触媒に著しい劣化がみられた。触媒の量、密度に関しては反応性気体との有効接触面積に関係するものであり、必要に応じて調整すればよい。
【0071】
水素を加熱した触媒中を通すことで、活性な水素ラジカルを発生させる。酸素はオゾナイザーを通すことで、活性なオゾンを発生させる。基板を加熱した常圧CVD装置において、正珪酸四エチルの入ったタンクをN2 O等の酸化窒素でバブリングして、オゾナイザーを通して酸素を導入し、触媒を通して水素を導入する。ガスは拡散機構を有するガスノズルから、全て混合して基板上に供給される。N2 酸化窒素の0.01〜1倍程度の流量の水素を導入すると効果が大きく、正珪酸四エチルを加熱して直接ガス化した場合は、正珪酸四エチルの0.1〜1倍程度が効果が大きい。本実施例では、Niを用いて、触媒温度500℃にて水素から水素ラジカルを発生させた。水素の量は、N2 酸化窒素の0.3〜0.8倍とした。基板温度350℃で7000〜15000Åを成膜した。典型的には9000〜12000Åで成膜した。
【0072】
本実施例では、下地膜402、ゲート絶縁膜405、層間絶縁膜408をすべて有機シランを用いた酸化膜を形成して、その全ての成膜に対して本発明を用いたが、下地膜402のみに本発明を適用しても、ゲート絶縁膜405のみに適用しても、また層間絶縁膜408のみ適用してもよい。それは、本発明は、有機シラン系に対して成膜中の炭素を除去し、アルカリ金属に対してのブロッキング効果をもつものであるので、有機シランを用いない成膜に本発明を利用しなくてもよい。それ以外にも、炭素の量を減少させる以外に他の膜特性を重視するために、本発明を利用しなくともよい。従って、下地膜402と層間絶縁膜408に対しては、本発明を利用した酸化膜を成膜し、ゲート絶縁膜405は、熱酸化膜やシランと酸素を用いたものを利用してもよい。それ以外にも組み合わせは色々と考えられる。
【0073】
本発明を利用して、完成したTFTは、チャネル長8μmチャネル幅100μmでありその特性は、移動度がNチャネル型で153cm2 /Vsec、Pチャネル型で119cm2 /Vsecと大きく、また、キンク効果は全く観測されなかった。耐湿性に関しても、150℃ 60%RH に12時間放置した後に、特性の変化は見られなかった。本来このTFTの上にSiNX の保護膜があればさらに耐湿性は向上する。炭素が下地膜402、ゲート絶縁膜405、層間絶縁膜408のすべてで、本発明を利用しない場合に比較して大幅に少なくアルカリ等の不純物に対するブロック効果が大きいために、TFT特性の向上ならびに、信頼性の向上が可能となった。
【0074】
〔実施例4〕本実施例では、本発明を連続したラインアンドスペース配線に対して、その埋め込みと平坦化用の絶縁膜成膜に対して利用した例を示す。
図5に、実施例の金属配線の埋め込みの様子を示す。
半導体基板51とその表面に熱酸化させた熱酸化膜52があり、その上に金属配線53があり、さらにその上に埋め込み用の絶縁膜54がある。半導体基板51としては、単結晶シリコンウェハが主に用いられるが、GaAs等の化合物半導体基板でもよく、多結晶半導体基板を用いてもよい。本実施例では、100面のP型シリコンウェハを用いている。熱酸化膜52は、ウェット酸化でもドライ酸化でもよいが、本実施例ではドライ酸化による熱酸化膜52を約500Åを半導体基板51の全面に拡散成長させた。そのうえの金属配線53は、Alをスパッタにて成膜した、Alには、Siを2%混合したターゲットを用いて、Alのヒロックを防止している。金属配線53としてのAlは、厚さ1μm、幅1μmのアスペクト比1になるよに、異方性ドライエッチングを行って形成してある。配線間隔は、0.3〜1.0μmの間で変化させた。
【0075】
絶縁膜54の成膜において、本発明を実施した。本発明による有機シランを用いた成膜として、プラズマCVD法、常圧CVD法などがあるが、本実施例では常圧CVD法によって絶縁膜54を成膜した。常圧CVDによって、絶縁膜54を成膜する場合も、触媒法によって水素ラジカルを発生させてそれを成膜中にも用いることで、やはり成膜中の炭素を除去することが可能であり、有機シランを用いた常圧CVD法においても本発明は有効である。
【0076】
常圧CVDを用いた成膜に対して本発明を利用する場合は、水素を水素ラジカルにするために触媒法を用いる。触媒としては、白金、パラジューム、還元ニッケル、コバルト、チタン、パナジウム、タンタル等の3d−遷移金属またはアルミニウム、ニッケル、白金・珪素、白金・塩素、白金・レニウム、ニッケル・モリブデン、コバルト・モリブデン、等の金属化合物、又は上記遷移金属とアルミナ、シリカゲル等の混合または化合物あるいは、ラネーコバルト、ルテニウム、パラジウム、ニッケル、等あるいはそれらと炭素の混合または化合物が適当であるが、これを粒状、網状または粉末状態で使用する。
ただし、低融点で反応性物質の初期吸着速度を著しく高める物質、および物質内に容易に気化し易いナトリウムなどのアルカリ金属を含む物質、例えば銅、タングステン、等は好ましくない。実験によると反応性物質の分解温度以上では触媒に著しい劣化がみられた。触媒の量、密度に関しては反応性気体との有効接触面積に関係するものであり、必要に応じて調整すればよい。
【0077】
水素を加熱した触媒中を通すことで、活性な水素ラジカルを発生させる。酸素はオゾナイザーを通すことで、活性なオゾンを発生させる。基板を加熱した常圧CVD装置において、HMDSの入ったタンクをN2 Oでバブリングして、オゾナイザーを通して酸素を導入し、触媒を通して水素を導入する。ガスは拡散機構を有するガスノズルから、全て混合して基板上に供給される。
【0078】
常圧CVDにおいて有機シランとしてHMDSとオゾンのみで成膜を行う場合に、表面の親水性である場合と疎水性である場合とで、形成される酸化膜に大きな違いがある。疎水性の表面をもつ基板上では、清浄な成膜が可能であるが、親水性をもつ表面では成膜異常や成膜速度の低下などが発生しやすい。絶縁膜54を成膜する場合に、金属配線53上は、問題ないが、熱酸化膜52上は、親水性であり、通常は、成膜の初期には低濃度のオゾンによって成膜し、その後に高濃度のオゾンで成膜するような処理をしなくては成膜異常が発生しやすく、少なくとも親水性表面の一部に成膜する場合に問題があった。水素ラジカルを伴う本発明では、脱炭素効果とともに、基板表面を活性な水素がターミネートすることで疎水性の表面を形成し、成膜異常や成膜速度の低下を防ぐことが可能である。そのために、成膜の初期から最後までオゾン濃度を変化させることなく成膜を行うことができ、膜の膜厚方向のプロファイルに変化が少ない。本実施例では、オゾン濃度1.5〜3.0%で成膜を行った。
【0079】
HMDSの代わりに、FSi(OC2 5 4 などのFを含む有機シランを用いることで、炭素の含有量が少なく、しかもSiOX よりも誘電率の低いFドープのSiOX を作製することができるために、LSIでの配線間の横方向の容量を低減することが可能である。水素の量としては酸化窒素の0.01〜1倍程度の水素を導入すると効果が大きく、HMDSなど有機シランをを加熱して直接ガス化した場合は、有機シランの0.1〜1倍程度が効果が大きい。この場合はN2 O等の酸化窒素の量を大きくしすぎると誘電率が大きくなるので注意しなくてはならい。
【0080】
完成したものは、配線間が0.5〜1.0μmまでは、本発明を用いた成膜では、埋め込みが完全にできたが、水素を添加しない従来の方法では、成膜初期に低オゾン(1%以下)によって成膜しその後にオゾン濃度を向上させたものは、埋め込みが完全にできたが、成膜初期から高いオゾン濃度(1.0%以上)で成膜したものは熱酸化膜52上で、成膜異常がおこり、埋め込みができなかった。配線間が0.3〜0.5μmでは、0.3μmに近づくほど埋め込みは、本発明を用いた場合も、用いない場合も埋め込みは完全にはできなかった。これは、成膜方法であるところの常圧CVDの限界であろうと思われる。
【0081】
吸湿性を完成した膜で評価したところ、60℃ 80%RH 50時間の放置で、本発明を用いた膜には、吸湿性は、赤外吸収において全く検出されなかったが、本発明を用いないもの全てに、赤外吸収にて3660cm-1の付近に、Si−OHの吸収モードが観測された。
【0082】
【発明の効果】
本発明を用いることによって、段差被覆性がよく、炭素の含有量を従来より減少させ、吸湿性を少なくし、アルカリ等の不純物のブロック効果が大きいことなどによって半導体装置の特性の向上と信頼性を向上させる、半導体装置の製造方法を提供する。
【図面の簡単な説明】
【図1】 実施例の平行平板型プラズマCVD装置を示す図。
【図2】 本発明を用いた酸化膜の測定データ。
【図3】 実施例の常圧CVD装置を示す図。
【図4】 実施例のTFTの作製工程を示す図。
【図5】 実施例の金属配線の埋め込みの様子を示す図。
【符号の説明】
401 基板
402 下地膜
403 活性層
404 アイランド
405 ゲート絶縁膜
406 ゲート電極
407 ソース・ドレイン
408 層間絶縁膜
409 ゲート用取り出し電極
410 ソース・ドレイン用取り出し電極
[0001]
[Industrial application fields]
BACKGROUND OF THE INVENTION 1. Field of the Invention The present invention relates to a film formation using an organosilane source, a semiconductor device in which a film in which hydrogen and nitrogen are mixed in an oxide film is formed, has a low carbon component, and requires both step coverage and impurity block It relates to the manufacturing method.
[0002]
[Prior art]
Among the semiconductor devices, in the LSI field, the wiring interval is as narrow as 0.2 to 0.4 μm, and the aspect ratio (height / width) of the wiring has exceeded 1, and an interlayer insulating film was formed. In order to suppress void formation in the insulating film, the normal tetraethyl silicate, chemical formula Si (OC 2 H Five ) Four There has been used a film forming method using an organic silane system such as (so-called TEOS) as a source gas and utilizing the good step coverage. Also in the field of liquid crystal displays, in which a large number of thin film transistors are formed on an insulating substrate, so-called step breakage of thin film transistor wiring is reduced by utilizing the good step coverage by using tetraethyl orthosilicate as a source gas. I am letting. Further, in the field of liquid crystal display using a process of 600 ° C. or lower rather than a high temperature such as a silicon wafer, it is used as a gate oxide film and a base film in addition to the interlayer insulating film.
[0003]
In the LSI field, an oxide film using regular tetraethyl silicate is used as an interlayer insulating film. However, in this film, many bonds of carbon, oxygen and hydrogen remain in the oxide film, and the film is highly hygroscopic. It has become. In addition, the silicon nitride film has high water resistance and impurity blocking properties, but has poor step coverage and has a drawback of being easily broken because the film is hard.
[0004]
In thin film transistors (also referred to as TFTs) that are applied to liquid crystal displays, organic films such as normal tetraethyl silicate are also used for base films, gate insulating films, and interlayer insulating films formed on insulating substrates such as glass substrates. The film is formed by a thermal CVD method, a plasma CVD method or the like using silane as a source gas. However, a large amount of carbon remains, leaving problems in water resistance and impurity blocking properties.
[0005]
As a film-forming method using tetraethyl orthosilicate, which has been widely used in the past, as a plasma CVD method, a substrate to be formed is placed in a evacuable chamber having parallel plate electrodes. To do. At this time, one side of the parallel plate electrode is connected to a high frequency power source and connected to a so-called cathode. The other electrode is connected to the ground, and the substrate is disposed on the ground side electrode, the so-called anode side. The tetraethyl silicate is heated for liquid at room temperature to increase the vapor pressure and introduced into the chamber, or the carrier gas is bubbled into the tank and introduced into the chamber together with the carrier gas. The tetraethyl orthosilicate decomposed in the plasma is characterized by forming a precursor and fluidly moving on the substrate, thereby forming a film with good step coverage. Precursors moving on the substrate collide with each other, and oxygen ions, oxygen radicals, and ozone formed in the plasma collide with each other to cause a drawing reaction on the surface, where SiO 2 X Form. When a large amount of oxygen is introduced, the drawing reaction from the precursor formed from tetraethyl orthosilicate on the surface is promoted, and the amount of carbon is reduced, but conversely, a film having poor step coverage is obtained.
[0006]
If the amount of oxygen introduced is small, the step coverage is improved, but many bonds of carbon, oxygen, and hydrogen remain, resulting in a highly hygroscopic film. When measured by infrared absorption, 3660 cm -2 A film in which the absorption near the surface increases with time. 3660cm -2 The absorption in the vicinity is mainly absorption due to Si—OH bonds, which indicates that the formed film is hygroscopic.
[0007]
As another film forming method using normal tetraethyl silicate, there is an atmospheric pressure CVD method using ozone and heat. This is because N on a substrate heated to 300-400 ° C. 2 By bubbling an organic silane tank such as tetraethyl silicate and introduced into the reaction chamber, oxygen is generated through an ozonizer and introduced into the chamber. In this method, since the step coverage is high and the film formation speed is high, it is used for an interlayer insulating film that requires multilayer wiring such as a memory such as an LSI or a DRAM. Thereafter, so-called flattening is performed by using etch back, SOG (SPIN ON GLASS), CMP (CHEMICAL MECHANICAL POLISHING), or the like.
[0008]
However, in this atmospheric pressure CVD method, the film becomes a very rough so-called porous film, and the single substance has extremely high hygroscopicity, causing leakage between wirings and reducing the reliability of the semiconductor. End up. In recent years, when the 0.3 μm rule has been approached, the lateral capacitance between wirings cannot be ignored, and a low dielectric constant film is required.
[0009]
Japanese Patent Application No. 62-206087 (Japanese Patent Laid-Open No. 1-48425) by the present applicant discloses a film forming method using an organosilane source and nitrogen oxide. This method is described in the specification. As shown, the uneven shape can be uniformly coated and has an alkaline blocking effect. However, it may be used only as an interlayer insulating film, but in order to use the insulating film where importance is placed on the electrical characteristics of a capacitor, a gate insulating film, etc., it is necessary to remove carbon contained in the organosilane source as much as possible. There is. As long as carbon cannot be controlled, it is difficult to apply the electrical characteristics of the insulating film.
[0010]
[Problems to be solved by the invention]
In the prior art, when forming a film using organosilane gas such as tetraethyl silicate as the source, improving the step coverage increases the hygroscopicity and carbon content, resulting in lack of reliability, semiconductor characteristics Of improperness. In addition, in order to reduce the carbon content, adding a large amount of oxygen to an organosilane gas such as tetraethyl orthosilicate deteriorates the step coverage, resulting in voids and wire breakage, resulting in reliability. And lack of semiconductor characteristics. Moreover, impurities such as alkali metals are easily mixed in the oxide film, and once mixed, it may behave as working ions. By using the present invention, it is possible to eliminate the above-described problems, to provide good step coverage, to reduce the carbon content, to reduce moisture absorption, and to increase the impurity blocking effect. .
[0011]
[Means for Solving the Problems]
In order to solve the above problems, one of the configurations of the present invention is:
In a method for manufacturing a semiconductor device including a step of forming an oxide film on a substrate heated using a source containing at least an organosilane source and hydrogen or active hydrogen by a plasma CVD method or an atmospheric pressure CVD method,
During the formation of the oxide film, nitrogen oxide (N X O Y ) To form a film
A method for manufacturing a semiconductor device.
[0012]
Other configurations of the present invention include:
Organosilane source and H by plasma CVD or atmospheric pressure CVD, 2 In a method for manufacturing a semiconductor device having a step of forming an oxide film on a substrate heated using a raw material containing at least O,
During the formation of the oxide film, nitrogen oxide (N X O Y ) To form a film
A method for manufacturing a semiconductor device.
[0013]
Other configurations of the present invention include:
Hydrophilic surface at an ozone concentration of 1% or more using a source containing at least an organosilane source, oxygen or a source such as ozone formed from oxygen, hydrogen or active hydrogen by atmospheric pressure CVD. In the step of heating the substrate having a film and forming an oxide film on at least a part of the hydrophilic surface,
During the formation of the oxide film, nitrogen oxide (N X O Y ) To form a film
A method for manufacturing a semiconductor device.
[0014]
Other configurations of the present invention include:
A semiconductor device having a step of forming an oxide film on a substrate heated by a plasma CVD method using an organosilane source, oxygen or a source such as ozone formed from oxygen, or a raw material containing at least hydrogen or active hydrogen. In the manufacturing method,
Source of oxygen or ozone formed from oxygen Flow The amount is 15 times or less of the organosilane source Flow Quantity,
The hydrogen or active hydrogen is 0.01 times or more of the organosilane source. Flow Add the amount, and
During the formation of the oxide film, nitrogen oxide (N X O Y ) To form a film
A method for manufacturing a semiconductor device.
[0015]
Other configurations of the present invention include:
Organic silane source by plasma CVD method and source such as oxygen or ozone formed from oxygen, H 2 In a method for manufacturing a semiconductor device including a step of forming an oxide film on a substrate heated using a raw material containing at least O,
Source of oxygen or ozone formed from oxygen Flow The amount is 15 times or less of the organosilane source Flow Quantity,
During the step of forming the oxide film, the carrier gas is 0.1 to 1 times that of the organosilane source. Flow The amount of H 2 The H is bubbled through the O 2 O is added and nitrogen oxide (N X O Y ) To form a film
A method for manufacturing a semiconductor device.
[0016]
Other configurations of the present invention include:
In a method for manufacturing a semiconductor device, which includes a step of forming an oxide film on a substrate heated using a source containing at least an organosilane source and hydrogen or active hydrogen by atmospheric pressure CVD,
The hydrogen or active hydrogen is 0.1 times or more of the organosilane source. Flow Add the amount, and
During the formation of the oxide film, nitrogen oxide (N X O Y ) To form a film
A method for manufacturing a semiconductor device.
[0017]
Other configurations of the present invention include:
In the step of forming an oxide film formed below the semiconductor layer to be an active layer in the step of forming a thin film transistor on a glass substrate, an organic silane source by a plasma CVD method or an atmospheric pressure CVD method, In a method for manufacturing a semiconductor device having a step of forming an oxide film on a substrate heated using a raw material containing at least hydrogen or active hydrogen,
During the formation of the oxide film, nitrogen oxide (N X O Y ) To form a film
A method for manufacturing a semiconductor device.
[0018]
Other configurations of the present invention include:
In the step of forming an oxide film formed above the semiconductor layer to be an active layer in the step of forming a thin film transistor on a glass substrate, an organic silane source and hydrogen or active hydrogen by a plasma CVD method, In a method for manufacturing a semiconductor device, including a step of forming an oxide film on a substrate heated using a raw material containing at least
During the formation of the oxide film, nitrogen oxide (N X O Y ) To form a film
A method for manufacturing a semiconductor device.
[0019]
Other configurations of the present invention include:
In the step of forming an oxide film formed above the gate insulating film in the step of forming a thin film transistor on a glass substrate, an organic silane source and hydrogen or active by plasma CVD method or atmospheric pressure CVD method In a method for manufacturing a semiconductor device having a step of forming an oxide film on a substrate heated using a raw material containing at least hydrogen,
During the formation of the oxide film, nitrogen oxide (N X O Y ) To form a film
A method for manufacturing a semiconductor device.
[0020]
Other configurations of the present invention include:
In the method for manufacturing a semiconductor device, the organosilane source uses any one of TEOS, OMCTS, and HMDS.
[0021]
Other configurations of the present invention include:
In the method for manufacturing a semiconductor device, the organosilane source is a material containing fluorine.
[0022]
Other configurations of the present invention include:
In the method for manufacturing a semiconductor device, nitric oxide (N X O Y ) But N 2 O, NO, N 2 O Three , NO 2 , N 2 O Four , N 2 O Five , NO Three , N 2 O 6 Any one of the above is used for manufacturing a semiconductor device.
[0023]
Other configurations of the present invention include:
In the semiconductor device manufacturing method, in the measurement by SIMS,
The minimum value of the depth profile of carbon (C) is 3 × 10 19 cm -3 And
The maximum value of the profile in the depth direction of nitrogen (N) is 1 × 10 19 cm -3 A method of manufacturing a semiconductor device is characterized by forming the oxide film as described above.
[0024]
In the case of forming an oxide film by plasma CVD method using regular tetraethyl silicate, the present applicant has formed a film by mixing oxygen and tetraethyl silicate, but from the film on which carbon is deposited. As a result of various experiments on the reduction method, it was discovered that the use of active hydrogen such as hydrogen radicals and hydrogen ions during film formation is effective. Active hydrogen such as hydrogen radicals and hydrogen ions react with carbon to form CH X It forms and gasifies carbon. In particular, the C—C bond, which is a carbon single bond, is broken and CH Four It is possible to remove carbon during film formation by gasification as C—OH or the like.
[0025]
Further, if carbon can be reduced from the oxide film and nitrogen can be doped, the advantages of both the oxide film and the nitride film can be exploited. In particular, in the case where a nitrogen-doped oxide film is formed using an organosilane source such as normal tetraethyl silicate, nitrogen and oxygen are combined. 2 O, NO, N 2 O Three , NO 2 , N 2 O Four , N 2 O Five , NO Three , N 2 O 6 N such as X O Y By using so-called nitrogen oxide, both oxygen and nitrogen can be supplied during film formation. Nitrogen-doped oxide films have significantly improved water resistance and impurity blocking properties compared to non-nitrogen-doped oxide films. In particular, alkali metals such as Na and K become working ions that operate in the oxide film, which is a major cause of destabilizing the electrical characteristics of the semiconductor. However, in an oxide film doped with nitrogen, nitrogen is doped. The blocking property is significantly higher than that of an oxide film that is not formed, and the operability of alkali metals such as Na and K can be suppressed.
[0026]
Compared with oxygen, hydrogen has a so-called decarbonizing effect on carbon, and since the atoms are small, the sputtering effect by hydrogen ions on the film and the substrate is almost negligible. Therefore, when film formation is performed by plasma CVD with a mixture of an organosilane source, nitrogen oxide, and hydrogen, the mixing ratio of the organosilane source and nitrogen oxide is such that the step coverage is good and the productivity is high. The system is mixed with hydrogen for decarbonization. Especially 0.01-1 times that of organosilane sources Flow amount so Great effect when introduced. As a result, the precursor from the organosilane source generated by the plasma and oxygen ions, ozone, and oxygen radicals repeat the surface reaction related to film formation on the substrate surface, and the precursor changes into various types of precursors. While flowing on the substrate surface, an oxide film with good step coverage is formed. Therefore, as the oxide film is formed by the reaction of the precursor and oxygen ions, ozone, or oxygen radicals, hydrogen ions or hydrogen radicals react with carbon atoms on the substrate surface to gasify carbon. The gasified carbon is exhausted by a vacuum pump. Further, the characteristics of nitrogen oxide can be changed by appropriately selecting the molecular weight of oxygen and nitrogen according to the characteristics of the nitrogen-doped oxide film to be produced, and adding oxygen when oxygen is insufficient.
[0027]
Since nitrogen and oxygen are combined in advance with nitrogen oxide, nitrogen reacts with the organic silane source and nitrogen is easily combined with the formed oxide film to be doped. Even if ammonia or nitrogen is mixed with oxygen instead of nitrogen oxide, a nitrogen-doped oxide film can be formed, but relatively large energy is required to decompose ammonia. The surface will be seriously damaged. Nitrogen is difficult to bond with other molecules, and it is difficult to control the doping amount. Therefore, it is very advantageous to use nitric oxide to dope nitrogen on an acid film using an organosilane source.
[0028]
When the present invention is used for film formation using atmospheric pressure CVD, a catalytic method is used to convert part of hydrogen into hydrogen radicals. Catalysts include 3d-transition metals such as platinum, palladium, reduced nickel, cobalt, titanium, panadium, tantalum or the like, aluminum, nickel, platinum / silicon, platinum / chlorine, platinum / rhenium, nickel / molybdenum, cobalt / molybdenum, etc. Or a mixture or compound of the above transition metal and alumina, silica gel, or the like, or Raney cobalt, ruthenium, palladium, nickel, or the like or a mixture or compound of these with carbon is suitable. Use in state. However, substances that have a low melting point and significantly increase the initial adsorption rate of the reactive substance, and substances containing an alkali metal such as sodium that are easily vaporized in the substance, such as copper and tungsten, are not preferable. According to the experiment, the catalyst was significantly deteriorated above the decomposition temperature of the reactive substance. The amount and density of the catalyst are related to the effective contact area with the reactive gas, and may be adjusted as necessary. Active hydrogen radicals are generated by passing hydrogen through a heated catalyst. Oxygen generates active ozone by passing through an ozonizer.
[0029]
In the atmospheric pressure CVD equipment that heated the substrate, the tank containing the organosilane source was set to NO, NO 2 , N 2 N such as O X O Y So-called nitrogen oxide is bubbled as a carrier gas, oxygen is partially introduced into ozone through an ozonizer, and hydrogen is introduced through a catalyst. All gases are mixed and supplied onto the substrate from a gas nozzle having a diffusion mechanism. When film formation is performed only with an organosilane source and ozone in atmospheric pressure CVD, there is a great difference in the oxide film formed between the case where the surface is hydrophilic and the case where it is hydrophobic. Although normal film formation is possible on a substrate with a hydrophobic surface, film formation abnormalities and a decrease in film formation rate are likely to occur on a hydrophilic surface, and at least part of the hydrophilic surface is formed. If you had a problem. In the present invention involving hydrogen radicals, in addition to the decarbonizing effect and the blocking effect of impurities, a hydrophobic surface is formed by the termination of active hydrogen on the substrate surface, thereby preventing film formation abnormalities and reduction in film forming speed. Is possible. Especially about 0.1 to 1 times the carrier gas of nitric oxide Flow rate When hydrogen is introduced, the effect is great, and when the organosilane source is heated and directly gasified, the effect is about 1 to 5 times greater.
[0030]
Although nitrogen oxide was used as the carrier gas, the same effect can be obtained even when nitrogen oxide is used as the carrier gas without using nitrogen oxide as the carrier gas and nitrogen oxide is introduced from another system.
[0031]
Regarding the generation of hydrogen radicals, in the plasma CVD method, hydrogen radicals are generated by plasma, and in the atmospheric pressure CVD method, they are generated by the catalytic method, but this can be reversed. An active hydrogen radical can be generated in advance by a catalytic method and introduced into a plasma CVD apparatus. An active hydrogen radical can be formed in advance by discharge, and then the gas nozzle of an atmospheric pressure CVD apparatus can be used. It is also possible to mix.
[0032]
In addition, when an oxide film is formed using an organosilane source, oxygen is always used as a source because active oxygen radicals, oxygen ions, and ozone are used. However, in the present invention, since an active hydrogen radical or hydrogen ion is used, H 2 It is also possible to use O. However, H 2 Since O and the organosilane source are highly reactive, if they are mixed in the piping before reacting on the substrate, the piping may be clogged. In plasma CVD, an organosilane source introduction pipe and H 2 It is preferable to separate the O introduction pipe.
[0033]
【Example】
[Embodiment 1] FIG. 1 shows a parallel plate type plasma CVD apparatus for carrying out the present invention. The vacuum chamber 11 can be decompressed. The cathode 12 also serves as a gas showerhead, and the substrate 13 on which the film is formed serves as a substrate holder that can heat the substrate 13 by including a heater. There is an anode 14 having. The vacuum chamber 11 has a pump 15 around the anode 14 for depressurizing the vacuum chamber 11 and exhausting unnecessary gas. A control valve 16 is provided between the vacuum chamber 11 and the pump 15 for pressure control to maintain the pressure in the vacuum chamber 11 substantially constant. A plasma power source 18 is connected to the cathode 12 through a matching unit 17. The gas to be introduced is tetraethyl silicate 19a as an organosilane source in this embodiment, and N in this embodiment as nitrogen oxide. 2 A film forming source gas 19 of O 19b is used as a flow rate controller 20 for film formation, and a flow rate controller 20a for tetraethyl silicate, N 2 The cathode 12 is connected through the O flow controller 20b. Further, the hydrogen 21a and the decarbonization source 21 as the decarbonization carrier gas 21b are connected so that the gas can be introduced through the decarbonization source flow rate controller 22 of the hydrogen flow rate controller 22a and the carrier gas flow rate controller 22b. Yes. The carrier gas 21b for decarbonization is H 2 Bubbling can be carried out in a water tank 24 containing O23, and only this system is directly connected to the vacuum chamber 11 separately from other gases, and tetraethyl silicate and H 2 The piping is prevented from clogging due to the reaction with O.
[0034]
A stirring mechanism such as a diffusion plate is present in the cathode 12 so as to uniformly supply the gas to the surface of the substrate 13. Since the normal tetraethyl silicate 19a is liquid at room temperature and the vapor pressure is often insufficient, an inert gas such as He, Ne, or Ar is bubbled as a carrier gas to a tank with the normal tetraethyl silicate source. Or when bubbling nitrogen oxide to serve as both a carrier gas and a source of nitrogen and oxygen, or by heating the tank to increase the vapor pressure of the tetraethyl silicate source and connecting the piping to the vacuum chamber 11 It is necessary to introduce it by devising such that it is heated to the heating temperature or higher and does not aggregate between the tank and the vacuum chamber 11.
[0035]
The vacuum chamber 11 is evacuated, and then the substrate 13 is heated to 200 to 500 ° C. by a heater in the anode 14. When the temperature was too low, the denseness of the film became rough, and it could hardly be used as a film for a semiconductor, and was typically performed at 300 to 350 ° C. The tank containing normal tetraethyl silicate was heated to 80 ° C., and all the piping from the tank to the vacuum chamber 11 was heated to 90 ° C. and introduced while performing flow rate control with the flow rate controller 20a for normal tetraethyl silicate. Hydrogen 21a was used as the decarbonization source 21.
[0036]
The power supplied from the plasma power source 18 is 0.1 to 1.5 W / cm. 2 But typically 0.2-0.5 W / cm 2 Applied. The reaction pressure was 0.1 to 3 Torr, typically 0.8 to 1.5 Torr. Regular tetraethyl silicate: N 2 Gas was supplied in the range of O: hydrogen = 1: 1 to 15: 0 to 1. The distance between the cathode 12 and the anode 13 was adjusted between 30 and 150 mm, but typically 70 mm.
[0037]
Even when only 0.01 hydrogen was added, the effect began to appear in all cases, and it did not change even when 0.5 or more were added. In particular, the effect of hydrogenation is that the step coverage is almost unchanged and the amount of carbon is reduced and the hygroscopicity is improved. N 2 O is ortho-tetraethyl tetrasilicate Flow rate When it is introduced 15 times as compared with the above, there is almost no effect of hydrogen. Therefore N 2 O is 15 times less than that of regular tetraethyl silicate Flow Amount of hydrogen Flow The effect of the present invention is manifested by adding 0.01 or more of the amount compared to regular tetraethyl silicate. This effect is N 2 NO, NO instead of O 2 It was almost the same even if it was used.
[0038]
Figure 2 shows hydrogen and N 2 The data of various characteristics which saw the effect of O are shown. FIG. 2 (A) shows normal tetraethyl silicate: N 2 O = 1: 5 shows the amount of carbon when the amount of hydrogen added is changed, the vertical axis shows the amount of carbon, and the profile in the depth direction of SIMS (secondary ion mass spectrometry) Among these, the minimum value of carbon in the oxide film is shown. The horizontal axis shows the ratio of the amount of added hydrogen to the normal tetraethyl silicate source. It can be seen that carbon in the oxide film can be reduced by adding a little hydrogen, and the amount of carbon is almost saturated even when hydrogen is added in a ratio of 0.5 or more. The minimum value in the profile in the depth direction of carbon when hydrogen is 0.5 is about 3 × 10 19 cm -3 When more hydrogen is added, it becomes smaller than this value, and when it is less than that, it becomes larger than this value. Although the amount of hydrogen added varies depending on the process conditions, this carbon value is a measure.
[0039]
FIG. 2 (B) shows normal tetraethyl silicate: hydrogen = 1: 0.5, N 2 The amount of addition of O and N 2 A so-called BT test was performed in which oxygen instead of O was used to measure the movement of charge of working ions using a MOS capacitor. The substrate is a P-type silicon wafer with N 2 An oxide film was formed in a thickness of 1000 mm using O or oxygen, and an aluminum capacitor was formed on the oxide film and under the substrate by a vapor deposition method to form a MOS capacitor. At the time of this vapor deposition, an aluminum film was formed by resistance heating with a tungsten coil to constitute an electrode containing an alkali metal. Annealing in nitrogen at 120 ° C. for 30 minutes with 1 MV / cm applied to the upper electrode of the MOS capacitor, lowering to room temperature, measuring the capacitance of the MOS capacitor at low and high frequencies, and calculating the flat band voltage (+ V FB Next, annealing is performed in nitrogen at 120 ° C. for 30 minutes with −1 MV / cm applied, and the capacitance of the MOS capacitor is measured at low and high frequencies to calculate the flat band voltage (−V FB )did. + V FB And -V FB The absolute value of the difference between FB As the vertical axis of FIG.
[0040]
The horizontal axis represents N against normal tetraethyl silicate. 2 The ratio of O or oxygen is shown. N 2 Oxygen is used instead of O, regardless of the amount of oxygen added. FB Is almost constant and large. ΔV when there is little oxygen FB Is getting smaller. N 2 If O is used, N 2 ΔV increases with increasing amount of O FB Becomes smaller, N 2 Saturation occurs when O is increased to 5 or more for tetraethyl orthosilicate. ΔV FB Is large, the alkali metal in the film is moved by the electric field applied to the oxide film. N 2 It can be seen that the effect of using O is remarkable. In addition, N against normal tetraethyl silicate 2 It has been found that when O is 15 or more, the step coverage is deteriorated. N at SIMS 2 When the amount of nitrogen when O was added 5 times with respect to tetraethyl silicate was measured, the maximum value of nitrogen (N) in the depth direction was about 1 × 10 19 cm -3 Met. N 2 It was also confirmed that when O is 5 times or less, it is less than this, and when it is 5 times or more, it is more than this. N used in the present invention 2 O is N X O Y However, the maximum value of nitrogen (N) in the depth direction is characteristically about 1 × 10 4 though the mixing ratio varies depending on the X and Y values. 19 cm -3 Is one measure.
[0041]
H instead of hydrogen 2 When O was introduced, the same effect was also observed. N 2 O is 15 times less than that of regular tetraethyl silicate Flow In quantity, H 2 Of carrier gas to bubble O Flow By making the amount 0.1 to 1 times that of regular tetraethyl silicate, the carbon content was reduced and the hygroscopicity was improved with almost no change in the step coverage. However, H 2 In the case of O, since oxygen is also contained, unlike the addition of hydrogen, if too much is added, there will be a change in the step coverage, and there is an upper limit. Flow When it is introduced more than 1 time compared with the amount, the step coverage is deteriorated.
[0042]
[Embodiment 2] FIG. 3 shows an atmospheric pressure CVD apparatus utilizing the present invention. The substrate 31 is disposed on a substrate holder 32 with a built-in heater. In this embodiment, the gas nozzle 33 having the gas diffusion system can reciprocate 34 above the substrate 31. The gas nozzle 33 may be fixed so that gas can be uniformly supplied to the entire surface of the substrate 31 without using a mechanism for forming a film while reciprocating as in the present embodiment, and the gas nozzle 33 is fixed. The substrate holder 32 may move. In this embodiment, the substrate 31 is on the lower side, so-called face-up, and the film-forming surface faces upward, but conversely, the substrate 31 is on the upper side, so-called face-down, the film-forming surface faces downward. The gas nozzle 33 may supply gas toward the upper substrate 31 from the bottom.
[0043]
The gas system is connected to a gas nozzle 33 by connecting an HMDS flow rate controller 39 to an HMDS (Hexamethyldisiloxane) 35 as an organosilane source.
The oxygen 36 is connected to the ozonizer 43 through the oxygen flow controller 40 and then connected to the gas nozzle 33.
In this embodiment, NO is used as nitric oxide. 2 45, NO 2 The gas flow rate controller 46 is connected to the gas nozzle 33. The ozonizer effectively generates ozone from oxygen. The carrier gas 37 is connected to the gas nozzle 33 through the carrier gas flow controller 41. In this system, the HMDS 35 increases the vapor pressure by heating the tank containing HMDS and directly controls it with the flow controller 39 for HMDS. However, the tank containing HMDS is used with a gas such as nitrogen or helium. It is also useful to bubble and use it as HMDS35.
[0044]
NO 2 Bubbling is also effective. The hydrogen 38 is connected to the catalyst 44 through the hydrogen flow controller 42.
Examples of the catalyst 44 include 3d-transition metals such as platinum, palladium, reduced nickel, cobalt, titanium, panadium, and tantalum, or aluminum, nickel, platinum / silicon, platinum / chlorine, platinum / rhenium, nickel / molybdenum, cobalt / molybdenum, Or a mixture or compound of the above transition metal and alumina, silica gel, or the like, or Raney cobalt, ruthenium, palladium, nickel, or the like or a mixture or compound of these with carbon is suitable. Use in powder form. However, substances that have a low melting point and significantly increase the initial adsorption rate of the reactive substance, and substances containing an alkali metal such as sodium that are easily vaporized in the substance, such as copper and tungsten, are not preferable.
According to the experiment, the catalyst was significantly deteriorated above the decomposition temperature of the reactive substance.
The amount and density of the catalyst 44 are related to the effective contact area with the reactive gas, and may be adjusted as necessary. By passing hydrogen through a heated catalyst, part of the hydrogen is converted into active hydrogen radicals. In this example, a granular material in which 15% by weight of platinum was mixed with alumina was used as the catalyst 44.
[0045]
The substrate 31 was heated to 300 to 500 ° C., typically at 300 to 400 ° C. In addition to HMDS35, organosilane-based sources include tetraethyl orthosilicate and OMCTS (Octamethylcyclotetrasiloxane), but HMDS was typically used. As the carrier gas, helium or nitrogen is used, but typically He is used.
[0046]
The completed nitrogen doped oxide film has a minimum carbon concentration of about 3 × 10 in the depth profile in the film by SIMS (secondary ion mass spectrometry). 19 cm -3 In the following cases, electrical characteristics as a capacitor or the like were stabilized. NO to make this concentration 2 of Flow The amount is HMDS of 0.1 times or more At a flow rate of This could be achieved by adding. Further, the completed nitrogen-doped oxide film has a maximum nitrogen concentration of about 1 × 10 6 in a profile in the depth direction in the film by SIMS (secondary ion mass spectrometry). 19 cm -3 When it was above, there was a blocking effect against alkali metals. To add nitrogen to this concentration, NO 2 5 times or more than HMDS At a flow rate of This could be achieved by adding.
[0047]
[Embodiment 3] This embodiment shows an example in which the present invention is applied to a thin film transistor (also referred to as TFT) using polysilicon.
FIG. 4 shows a manufacturing process of the TFT.
FIG. 4A shows a process of forming a base film 402 over a glass substrate 401. The glass substrate 401 is made of a material having high translucency with respect to visible light such as borosilicate glass or quartz. Use. In this example, Corning 7059 glass from Corning was used.
When forming the base film 402, when the gate voltage is increased in the ON direction after the TFT using the present invention is completed, the carrier that flows under the channel, for example, the channel is an N-channel type. For example, carriers flowing through the channel are electrons, and if the channel is a P-channel type, the carrier flowing through the channel is a hole. However, when the gate voltage is increased in the ON direction, Something like a mold channel may occur.
When the channel is turned on, the drain current when the gate voltage is increased is saturated even if the gate voltage is increased. However, when an inverted channel is generated on the substrate 401 side below the channel, The drain current rises rapidly, and the drain current with respect to the gate voltage has a stage. A so-called kink effect occurs.
By using the present invention when forming the base film 402, the occurrence of the kink effect is prevented or reduced. The film of the base film 402 does not contain impurities, SiO X If so, the occurrence of the kink effect is reduced. In addition, it is necessary to block the diffusion of impurities from the substrate 401.
[0048]
The base film 402 was formed using normal tetraethyl silicate (also referred to as TEOS), NO, and hydrogen using a parallel plate plasma CVD apparatus. It is also effective to use organic silanes such as OMCTS (Octamethylcyclotetrasiloxane) and HMDS (Hexamethyldisiloxane) instead of regular tetraethyl silicate. The substrate temperature was 200 to 500 ° C., typically 400 ° C., and the film forming pressure was set to 0.1 to 2 Torr and typically 1 Torr. A high frequency of 5 to 50 MHz is used as the plasma power source, but typically 20 MHz is used. The plasma power supply power is 0.1-2 W / cm 2 Typically 0.3 W / cm 2 I went there. Ratio of tetraethyl orthosilicate to NO (Flow ratio) Was performed with normal tetraethyl silicate: NO = 1: 5 to 20 and typically with tetraethyl silicate: NO = 1: 5. Of hydrogen Flow The amount was in the range of normal tetraethyl silicate: hydrogen = 1: 0.01 to 1, but typically, normal tetraethyl silicate: hydrogen = 1: 0.5. Although the base film 402 was formed in a thickness of 500 to 3000 mm, typically 2000 mm was formed.
[0049]
In addition to the parallel plate plasma CVD, the base film 402 is formed by other plasma CVD methods. When an oxide film is formed using organosilane, carbon in the film is removed by hydrogen radicals and hydrogen ions. This is very effective and is effective in any plasma CVD method.
In addition, when the base film 402 is formed by atmospheric pressure CVD, it is possible to remove carbon during film formation by generating hydrogen radicals by the catalytic method and using them during film formation. The present invention is also effective in the atmospheric pressure CVD method using organosilane.
[0050]
When the present invention is used for film formation using atmospheric pressure CVD, a catalytic method is used to convert hydrogen into hydrogen radicals. Catalysts include 3d-transition metals such as platinum, palladium, reduced nickel, cobalt, titanium, panadium, tantalum or the like, aluminum, nickel, platinum / silicon, platinum / chlorine, platinum / rhenium, nickel / molybdenum, cobalt / molybdenum, etc. Or a mixture or compound of the above transition metal and alumina, silica gel, or the like, or Raney cobalt, ruthenium, palladium, nickel, or the like or a mixture or compound of these with carbon is suitable. Use in state. However, substances that have a low melting point and significantly increase the initial adsorption rate of the reactive substance, and substances containing an alkali metal such as sodium that are easily vaporized in the substance, such as copper and tungsten, are not preferable.
According to the experiment, the catalyst was significantly deteriorated above the decomposition temperature of the reactive substance.
The amount and density of the catalyst are related to the effective contact area with the reactive gas, and may be adjusted as necessary.
Active hydrogen radicals are generated by passing hydrogen through a heated catalyst. Oxygen generates active ozone by passing through an ozonizer.
In an atmospheric pressure CVD apparatus in which the substrate is heated, a tank containing normal tetraethyl silicate is bubbled with nitrogen oxide, oxygen is introduced through an ozonizer, and hydrogen is introduced through a catalyst. All gases are mixed and supplied onto the substrate from a gas nozzle having a diffusion mechanism.
[0051]
When film formation is performed only with normal tetraethyl silicate and ozone in atmospheric pressure CVD, there is a great difference in the oxide film formed between the case where the surface is hydrophilic and the case where it is hydrophobic. A clean film can be formed on a substrate having a hydrophobic surface, but an abnormal film formation or a decrease in film formation speed tends to occur on a hydrophilic surface.
In the present invention involving hydrogen radicals, it is possible to form a hydrophobic surface by terminating active hydrogen on the substrate surface together with the decarbonizing effect, and to prevent abnormal film formation and decrease in film formation rate. Especially about 0.01-1 times that of nitric oxide. By flow When hydrogen is introduced, the effect is great, and when normal tetraethyl silicate is heated and directly gasified, the effect is about 0.1 to 1 times greater.
[0052]
FIG. 4B shows a structure in which an amorphous silicon film is formed as an active layer 403 on a substrate 401 over which a base film 402 is formed.
The amorphous silicon has a thickness of about 50 to 3000 mm, and typically has a thickness of 400 to 1000 mm. As a film formation method, a plasma CVD method, a low pressure thermal CVD method, a sputtering method, or the like was used. In this example, silane was decomposed by a plasma CVD method, and a film was formed at a substrate temperature of 200 to 400 ° C., typically 250 to 350 ° C.
Thereafter, amorphous silicon is so-called solid phase grown to be polycrystallized (polysilicon). The method is disclosed in JP-A-6-232059, JP-A-6-244103 and JP-A-6-244104 by the present applicant. By using the described invention, solid phase growth can be performed at 600 ° C. or lower. If the hydrogen in the amorphous silicon is not removed to some extent before solid-phase growth, hydrogen will suddenly go out of the amorphous state due to heating during solid-phase growth, so if it is severe, there will be a hole. Sometimes. Therefore, it is effective to put a hydrogen desorption step in nitrogen at 400 to 500 ° C. for 0.5 to 5 hours before solid phase growth. Typically performed at 400 ° C. for 1-2 hours in nitrogen.
[0053]
When solid phase growth is performed, a problem of so-called shrinkage occurs in which the substrate shrinks due to a heat cycle, except that the substrate 401 has a high strain point such as quartz. This shrinkage can be avoided to some extent by raising the temperature once in advance and performing the subsequent processes below that temperature. In other words, this shrinkage countermeasure is taken at the same time when solid phase growth is performed.
By using the invention described in JP-A-6-232059, JP-A-6-244103, and JP-A-6-244104 by the present applicant, solid phase growth can be performed at 600 ° C. or lower. Growth is also possible. In order to perform solid phase growth without using this method, a solid phase growth time of 4 to 24 hours at 600 ° C. is required.
After the solid phase growth is completed, the active layer 403 changes from amorphous silicon to polysilicon, but when the active layer 403 has a small amount of amorphous component in the polysilicon, a laser is applied to the active layer 403. It is also effective to cause laser crystallization by irradiation.
[0054]
It is also effective to change the active layer 403 from amorphous silicon to polysilicon by irradiating a laser after the hydrogen extraction step without performing solid phase growth by heat. As a laser condition, a so-called excimer laser such as ArF, ArCl, KrF, KrCl, XeF, or XeCl is used as a laser source. As the irradiation energy, the exit energy from the laser body is 400 to 1000 mJ, the laser is processed by an optical system, and the surface of the substrate 401 is 150 to 500 mJ / cm. 2 Irradiate to the extent. The energy is the energy per one time of the laser. The substrate temperature is heated to room temperature to 300 ° C. The repetition frequency of irradiation is about 20 to 100 Hz, the moving speed of the laser on the substrate 401 is 1 to 5 mm / second, and the stage is moved by scanning the beam or placing the substrate 401 on the moving stage. . In this embodiment, a KrF excimer laser is used, and the main body outlet output is 550 to 650 mJ, and 180 to 230 mJ / cm on the substrate 401. 2 Then, the stage on which the substrate 401 was placed was moved at a speed of 2.0 to 3.0 mm / sec at an irradiation repetition frequency of 35 to 45 HZ.
[0055]
FIG. 4C shows an island 404 formed by patterning the active layer 403 after changing the active layer 403 on the substrate 401 on the base film 402 from amorphous silicon to polysilicon. The patterning of the active layer 403 is performed by patterning a resist using known photolithography, and then etching the active layer 403 using the resist as a mask to form an island 404. Etching includes wet etching, dry etching, etc. In this embodiment, CF Four And O 2 A parallel plate high-frequency plasma processing apparatus using the above was used.
[0056]
A structure in which a gate insulating film 405 is formed to cover the island 404 is shown in FIG. Since the interface between the island 404 and the gate insulating film 405 greatly affects the characteristics of the final TFT, the present invention is used to form the gate insulating film 405 itself. Cleaning becomes very important. For organic substances such as carbon, cleaning with a solution obtained by adding sulfuric acid to hydrogen peroxide water, dry ashing using ozone or oxygen plasma, and the like are well known. However, Applicants' research has shown that there are more complex situations regarding carbon removal.
[0057]
Speaking of where the contamination of carbon comes from, the photoresist used to form an arbitrary pattern during the photolithography process is a photosensitive organic substance, which also causes carbon contamination. In addition, in the manufacture of semiconductor devices, the thin film process is no longer an essential requirement, and a vacuum device for that is also an essential device, but oil is still used for the vacuum pump for evacuating the vacuum device. Some of them also cause carbon pollution. Other than that, Teflon (PFA), polypropylene (PP), polyvinylidene fluoride (PVDF), trifluoroethylene covalent resin (ECTFE), tetrafluoroethylene covalent resin (ETFE), polyethylene ( There is also contamination from vapor pressure from PE), floor materials in clean rooms, and wall materials.
[0058]
Dry ashing is performed after the photolithographic process, and immediately before each process, organic substances are removed by using a solution obtained by adding hydrochloric acid to hydrogen peroxide in a 1: 1 ratio by heating to 80 ° C. (hereinafter referred to as wet ashing). Called). The conventional method is to immediately perform the next processing.
It seemed that most organic substances could be removed by dry ashing and wet ashing. However, when carbon contamination on the substrate surface was evaluated by known XPS, it was found that only C—C bonds were hardly removed. found.
[0059]
Hydrogen radicals or hydrogen ions effectively act on removing impurities due to C—C single bonds adhering to the substrate surface. It was also found that hydrogen radicals alone have a sufficient effect, but the removal effect increases when oxygen radicals or ozone or oxygen ions are added thereto. This is because hydrogen and oxygen radicals react with carbon bonds, and CH. X , CO X , COH and other gases are formed, and as a result, carbon is gasified.
[0060]
In order to generate hydrogen radicals or hydrogen ions, for example, a substrate is placed in a parallel plate plasma apparatus. At this time, it is better to place the substrate on the anode (anode) side so as not to be damaged by plasma ions, etc. If the substrate can be heated, the effect of the separation will be enhanced by heat. The
When hydrogen gas is introduced and high frequency power is applied between the parallel plates, plasma is generated. In the plasma, highly active neutral hydrogen radicals are generated together with hydrogen ions and electrons. In order to increase the amount of radicals or ions, it is useful to increase the high-frequency power. However, the amount of hydrogen radicals and ions can be further increased by using microwaves utilizing electron cyclotron resonance. The generated hydrogen radicals and ions reach the substrate surface, where they react with the carbon single bond CC to remove it. The reacted and gasified carbon is exhausted by a pump.
[0061]
In order to clean the surface of the island 404, sulfuric acid: hydrogen peroxide solution = 1: 1 is heated to 80 ° C. and immersed in it for 5 to 10 minutes to remove carbon contaminants to some extent, and then hydrochloric acid: excess Hydrogen oxide water = 1: 1 is heated to 80 ° C. and immersed therein for 5 to 10 minutes to remove heavy metals. If such cleaning affects the substrate 401 or the like, the cleaning is not performed. After that, the substrate 401 is placed in the plasma processing apparatus in order to remove carbon contaminants that include at least a part of carbon single bonds from the surface of the island 404.
[0062]
This plasma processing apparatus forms the gate insulating film 405 after cleaning the surface of the island 404. Therefore, the plasma processing apparatus includes the apparatus for forming the gate insulating film 405 and at least a part of carbon single bond. It is desirable that the plasma processing apparatus for removing carbon contaminants can be performed in the same reaction chamber. As a plasma processing apparatus for removing carbon contaminants, which includes at least a part of carbon single-bonded film and the gate insulating film 405, a parallel plate type plasma CVD apparatus, or There are a microwave plasma CVD apparatus using electron cyclotron resonance, an electrodeless discharge plasma CVD apparatus in which electrodes are arranged around a quartz chamber, and the like. In this example, a parallel plate type plasma CVD apparatus was used.
[0063]
As a method for performing plasma treatment for removing carbon contaminants that includes at least a part of carbon single bond, a substrate in which an island 404 is formed on the anode side of a parallel plate type plasma treatment apparatus 401 was placed. The electrode interval between the anode and the cathode, which are parallel plate electrodes, was adjusted between 30 and 150 mm. Typically, it was performed at 70 mm, but there are few problems if conditions are selected whether it is larger or smaller. As for the gas, a cathode electrode serves as a shower head, from which gas is introduced into the reaction space, and a device such as a diffusion plate is provided in the shower head so that the gas flows uniformly on the surface of the substrate 401. The same amount of hydrogen gas and oxygen gas was introduced. Quantitatively, although depending on the size of the processing chamber, the pressure for performing the plasma processing was between 50 mTorr and 10 Torr, and the residence time of the gas was 5 seconds or less. If the residence time exceeds 10 seconds, re-deposition of gasified carbon may occasionally occur, so as much as possible, the removed carbon was reduced to 5 seconds or less in order to quickly exhaust the carbon. If it is less than a second, there is no problem. For example, if a gas of 316 SCCM is flowed at a pressure of 1 Torr in a 40 liter chamber, the residence time will be about 10 seconds. The residence time is the product of the chamber volume and the pressure in the chamber divided by the gas flow rate. To reduce the residence time, the chamber volume and pressure can be reduced or the gas flow rate can be increased. It becomes necessary.
[0064]
In this example, the residence time was about 4 seconds with a chamber volume of 40 liters, a processing pressure of 1 Torr, oxygen of 400 SCCM, and hydrogen of 400 SCCM.
The plasma generating means was high frequency discharge. As a high frequency, 10 to 100 MHz was used, but in the example, 20 MHz was used. The applied power is 0.1 to 2 W / cm. 2 Was introduced. Electric power is 0.1W / cm 2 Below this, removal is possible, but it takes too much processing time.
2W / cm 2 Exceeding the temperature causes the electrode to be heated, so that it is necessary to cool the electrode, resulting in an increase in size and cost of the apparatus. In this example, 0.8 W / cm 2 Was introduced. When the substrate is heated, the removal capability increases. Typically, when the substrate temperature is about 200 to 500 ° C., the removal capability increases.
However, although a sufficient effect is obtained even at room temperature to 200 ° C., in this embodiment, in order to form the gate insulating film 405 thereafter, the substrate temperature is set to 300 to 400 ° C. to make it similar. The plasma processing time is about 1 to 10 minutes. This varies greatly depending on various conditions (gas residence time, high frequency, input power, substrate temperature), but taking too much time is not preferable as a manufacturing process. In this example, it was performed for 2 minutes.
[0065]
In addition to generating hydrogen radicals, oxygen radicals, etc. using hydrogen and oxygen, 2 O can also be used. H 2 There are several ways to introduce O. H as an inert gas such as He, Ne, Ar 2 Bubbling the tank with O, so that H 2 O is transported as a gas to the processing chamber. H 2 Heat everything from the tank containing O to the processing chamber, 2 There is also a method in which the vapor pressure of O is increased and the process chamber is bulged in the form of gas. Introduced H 2 O is decomposed by plasma and generates hydrogen ions, hydrogen radicals, oxygen ions, oxygen radicals, and ozone simultaneously. He is used as carrier gas at 500 to 1000 SCCM. 2 The same effect was observed when bubbling a tank containing O.
[0066]
A gate insulating film 405 is formed after the step for removing carbon contaminants including at least a part of carbon single bonds. The gate insulating film 405 includes tetraethyl silicate (also referred to as TEOS) as an organosilane source and N as nitrogen oxide. 2 Film formation was performed using O. It is also effective to use organic silanes such as OMCTS (Octamethylcyclotetrasiloxane) and HMDS (Hexamethyldisiloxane) instead of regular tetraethyl silicate. The substrate temperature is 200 to 500 ° C., typically 300 to 400 ° C. The film formation pressure was set to 0.1 to 2 Torr, and typically 0.5 to 1 Torr. A high frequency of 5 to 50 MHz is used as the plasma power source, but typically 20 MHz is used.
The plasma power supply power is 0.1-2 W / cm 2 But typically 0.3-0.5 W / cm 2 I went there. Orthoethyl tetrasilicate and N 2 O ratio (Flow ratio) Is tetraethyl orthosilicate: N 2 O = 1: 5-20, typically tetraethyl orthosilicate: N 2 Performed at O = 1: 10.
Of hydrogen Flow The amount was in the range of normal tetraethyl silicate: hydrogen = 1: 0.01 to 1, but typically, normal tetraethyl silicate: hydrogen = 1: 0.5. The gate insulating film 405 is formed in a thickness of 250 to 2000 mm, but typically 500 to 1200 mm. Due to the hydrogen radicals and hydrogen ions, the carbon being deposited becomes CH X Alternatively, it is gasified in the form of COH and exhausted out of the chamber.
[0067]
After completion of the process, the amount of carbon in the gate insulating film 405 was measured by SIMS. As a result, in the profile in the depth direction of carbon in the oxide film as the gate insulating film 405 formed without adding hydrogen. The lowest value is 1x10 19 cm -3 In contrast, the lowest value in the profile in the depth direction of carbon in the oxide film as the gate insulating film 405 formed by adding hydrogen is 2 × 10. 18 ~ 7 × 10 18 cm -3 Met.
[0068]
A gate electrode film is formed on the gate insulating film 405 and patterned to form a gate electrode 406, and then an impurity region for forming a source / drain 407 is formed to form FIG. E). After laminating Al, doped polysilicon, Cr, Ta, etc. as a conductive film, the resist is patterned by a photolithography process, and the conductive film is etched into a desired shape to produce a gate electrode 406. To do. In this embodiment, Al is formed by sputtering. Thereafter, P is ion-implanted by 5 × 10 5. 15 cm -2 The source / drain 407 was formed by through-doping so as to obtain a dose amount of. Implantation is not limited to ion implantation, but is performed by plasma doping. X May be injected. After the injection, it was heated at 600 ° C. for 5 hours for activation. In order to form the gate electrode 406 with polysilicon instead of metal, doped polysilicon may be formed. However, after forming non-doped polysilicon, ions for forming the source / drain 407 are formed. It is also possible to form doped polysilicon by implantation or plasma doping.
[0069]
The interlayer insulating film 408 is formed, and the extraction wiring electrode 409 for the gate electrode 406 and the extraction wiring electrode 410 for the source / drain 407 are formed. A top gate type polysilicon thin film transistor was completed. This is shown in FIG. The present invention was used when the interlayer insulating film 408 was formed. Even when the interlayer insulating film 408 is formed by atmospheric pressure CVD, it is possible to remove carbon during film formation by generating hydrogen radicals by the catalytic method and using them during film formation. The present invention is also effective in the atmospheric pressure CVD method using organosilane.
[0070]
When the present invention is used for film formation using atmospheric pressure CVD, a catalytic method is used to convert hydrogen into hydrogen radicals. Catalysts include 3d-transition metals such as platinum, palladium, reduced nickel, cobalt, titanium, panadium, tantalum or the like, aluminum, nickel, platinum / silicon, platinum / chlorine, platinum / rhenium, nickel / molybdenum, cobalt / molybdenum, etc. Or a mixture or compound of the above transition metal and alumina, silica gel, or the like, or Raney cobalt, ruthenium, palladium, nickel, or the like or a mixture or compound of these with carbon is suitable. Use in state.
However, substances that have a low melting point and significantly increase the initial adsorption rate of the reactive substance, and substances containing an alkali metal such as sodium that are easily vaporized in the substance, such as copper and tungsten, are not preferable. According to the experiment, the catalyst was significantly deteriorated above the decomposition temperature of the reactive substance. The amount and density of the catalyst are related to the effective contact area with the reactive gas, and may be adjusted as necessary.
[0071]
Active hydrogen radicals are generated by passing hydrogen through a heated catalyst. Oxygen generates active ozone by passing through an ozonizer. In an atmospheric pressure CVD apparatus that heated the substrate, a tank containing tetraethyl orthosilicate was placed in N 2 Bubbling with nitrogen oxide such as O, oxygen is introduced through an ozonizer, and hydrogen is introduced through a catalyst. All gases are mixed and supplied onto the substrate from a gas nozzle having a diffusion mechanism. N 2 About 0.01 to 1 times that of nitric oxide Flow rate When hydrogen is introduced, the effect is great, and when normal tetraethyl silicate is heated and directly gasified, Of tetraethyl orthosilicate About 0.1 to 1 times is effective. In this example, Ni was used to generate hydrogen radicals from hydrogen at a catalyst temperature of 500 ° C. The amount of hydrogen is N 2 It was 0.3 to 0.8 times that of nitrogen oxide. A film of 7000 to 15000 mm was formed at a substrate temperature of 350 ° C. Typically, the film was formed at 9000 to 12000 mm.
[0072]
In this embodiment, the base film 402, the gate insulating film 405, and the interlayer insulating film 408 are all formed of an oxide film using organosilane, and the present invention is used for all the film formation. The present invention may be applied only to the gate insulating film 405 or only the interlayer insulating film 408. This is because the present invention removes carbon during film formation from an organosilane system and has a blocking effect against an alkali metal, so the present invention is not used for film formation without using an organic silane. May be. In addition to this, the present invention need not be used in order to emphasize other film characteristics in addition to reducing the amount of carbon. Accordingly, an oxide film using the present invention may be formed for the base film 402 and the interlayer insulating film 408, and a thermal oxide film or a film using silane and oxygen may be used for the gate insulating film 405. . There are many other combinations possible.
[0073]
The completed TFT using the present invention has a channel length of 8 μm, a channel width of 100 μm, and its characteristics are that the mobility is N channel type and 153 cm. 2 / Vsec, P channel type, 119cm 2 / Vsec, and no kink effect was observed. As for moisture resistance, no change in characteristics was observed after standing at 150 ° C. and 60% RH for 12 hours. Originally on this TFT, SiN X With this protective film, the moisture resistance is further improved. Since the carbon is the base film 402, the gate insulating film 405, and the interlayer insulating film 408, the blocking effect against impurities such as alkali is significantly smaller than when the present invention is not used. Improved reliability.
[0074]
[Embodiment 4] This embodiment shows an example in which the present invention is applied to continuous line and space wiring for forming an insulating film for embedding and flattening.
FIG. 5 shows how metal wiring is embedded in the embodiment.
There is a semiconductor substrate 51 and a thermally oxidized film 52 on the surface thereof, a metal wiring 53 formed thereon, and a buried insulating film 54 formed thereon. As the semiconductor substrate 51, a single crystal silicon wafer is mainly used. However, a compound semiconductor substrate such as GaAs or a polycrystalline semiconductor substrate may be used. In this embodiment, a 100-side P-type silicon wafer is used. The thermal oxide film 52 may be wet oxidation or dry oxidation. In this embodiment, about 500 mm of the thermal oxide film 52 by dry oxidation is diffused and grown on the entire surface of the semiconductor substrate 51. Further, the metal wiring 53 is formed by sputtering Al, and a target in which 2% Si is mixed with Al is used to prevent Al hillocks. Al as the metal wiring 53 is formed by anisotropic dry etching so that the aspect ratio is 1 with a thickness of 1 μm and a width of 1 μm. The wiring interval was changed between 0.3 and 1.0 μm.
[0075]
The present invention was implemented in the formation of the insulating film 54. Examples of film formation using organosilane according to the present invention include plasma CVD and atmospheric pressure CVD. In this embodiment, the insulating film 54 is formed by atmospheric pressure CVD. Even when the insulating film 54 is formed by atmospheric pressure CVD, it is possible to remove carbon during film formation by generating hydrogen radicals by the catalytic method and using it also during film formation. The present invention is also effective in the atmospheric pressure CVD method using organosilane.
[0076]
When the present invention is used for film formation using atmospheric pressure CVD, a catalytic method is used to convert hydrogen into hydrogen radicals. Catalysts include 3d-transition metals such as platinum, palladium, reduced nickel, cobalt, titanium, panadium, tantalum or the like, aluminum, nickel, platinum / silicon, platinum / chlorine, platinum / rhenium, nickel / molybdenum, cobalt / molybdenum, etc. Or a mixture or compound of the above transition metal and alumina, silica gel, or the like, or Raney cobalt, ruthenium, palladium, nickel, or the like or a mixture or compound of these with carbon is suitable. Use in state.
However, substances that have a low melting point and significantly increase the initial adsorption rate of the reactive substance, and substances containing an alkali metal such as sodium that are easily vaporized in the substance, such as copper and tungsten, are not preferable. According to the experiment, the catalyst was significantly deteriorated above the decomposition temperature of the reactive substance. The amount and density of the catalyst are related to the effective contact area with the reactive gas, and may be adjusted as necessary.
[0077]
Active hydrogen radicals are generated by passing hydrogen through a heated catalyst. Oxygen generates active ozone by passing through an ozonizer. In a normal pressure CVD apparatus that heated the substrate, a tank containing HMDS was placed in N 2 Bubbling with O, introducing oxygen through the ozonizer and introducing hydrogen through the catalyst. All gases are mixed and supplied onto the substrate from a gas nozzle having a diffusion mechanism.
[0078]
When a film is formed using only HMDS and ozone as organic silanes in atmospheric pressure CVD, there is a great difference in the formed oxide film depending on whether the surface is hydrophilic or hydrophobic. A clean film can be formed on a substrate having a hydrophobic surface, but an abnormal film formation or a decrease in film formation speed tends to occur on a hydrophilic surface. When the insulating film 54 is formed, there is no problem on the metal wiring 53, but the thermal oxide film 52 is hydrophilic. Usually, the film is formed with low-concentration ozone at the initial stage of film formation. A film formation abnormality is likely to occur unless a film is formed with high-concentration ozone after that, and there is a problem when forming a film on at least a part of the hydrophilic surface. In the present invention involving hydrogen radicals, it is possible to form a hydrophobic surface by terminating active hydrogen on the substrate surface together with the decarbonizing effect, and to prevent abnormal film formation and decrease in film formation rate. Therefore, film formation can be performed without changing the ozone concentration from the beginning to the end of film formation, and there is little change in the profile in the film thickness direction of the film. In this example, the film was formed at an ozone concentration of 1.5 to 3.0%.
[0079]
Instead of HMDS, FSi (OC 2 H Five ) Four By using organosilane containing F, such as, the carbon content is low, and SiO X F-doped SiO with lower dielectric constant X Therefore, it is possible to reduce the capacitance in the lateral direction between the wirings in the LSI. Of hydrogen Flow The amount of hydrogen introduced is about 0.01 to 1 times that of nitric oxide, and the effect is great. When organic silane such as HMDS is heated and directly gasified, Of organosilane About 0.1 to 1 times is effective. N in this case 2 Care must be taken as the dielectric constant increases if the amount of nitrogen oxide such as O is too large.
[0080]
In the completed case, the wiring between 0.5 to 1.0 μm was completely buried by film formation using the present invention. However, in the conventional method in which hydrogen is not added, low ozone is formed at the initial stage of film formation. (1% or less) and then the ozone concentration was improved, the embedding was completed completely, but those with a high ozone concentration (1.0% or more) from the initial stage of film formation were thermally oxidized. A film formation abnormality occurred on the film 52, and the film could not be embedded. When the distance between the wirings was 0.3 to 0.5 μm, the embedding could not be completed completely when using the present invention or not using the present invention as it approached 0.3 μm. This seems to be the limit of atmospheric pressure CVD, which is a film forming method.
[0081]
As a result of evaluating the hygroscopicity of the film, the hygroscopicity was not detected at all in the infrared absorption in the film using the present invention after being left at 60 ° C. and 80% RH for 50 hours. 3660cm by infrared absorption -1 An absorption mode of Si—OH was observed in the vicinity of.
[0082]
【The invention's effect】
By using the present invention, the step coverage is good, the carbon content is reduced, the hygroscopicity is reduced, and the blocking effect of impurities such as alkalis is improved. A method for manufacturing a semiconductor device is provided.
[Brief description of the drawings]
FIG. 1 is a diagram showing a parallel plate type plasma CVD apparatus according to an embodiment.
FIG. 2 shows measurement data of an oxide film using the present invention.
FIG. 3 is a view showing an atmospheric pressure CVD apparatus according to an embodiment.
4A and 4B illustrate a manufacturing process of a TFT of an example.
FIG. 5 is a view showing a state of embedding a metal wiring according to an embodiment.
[Explanation of symbols]
401 substrate
402 Base film
403 active layer
404 island
405 Gate insulating film
406 Gate electrode
407 Source / Drain
408 Interlayer insulating film
409 Gate extraction electrode
410 Extraction electrode for source / drain

Claims (12)

プラズマCVD法又は常圧CVD法によって、有機シラン系ガス及び酸化窒素を含む原料ガスを用いて、加熱した基板上に酸化膜を形成する半導体装置の作製方法において、
前記原料ガスに加熱した触媒を通して水素を導入することにより、前記水素から発生させた水素ラジカルを添加することを特徴とする半導体装置の作製方法。
In a method for manufacturing a semiconductor device in which an oxide film is formed over a heated substrate using a source gas containing an organosilane-based gas and nitrogen oxide by a plasma CVD method or an atmospheric pressure CVD method,
The method for manufacturing a semiconductor device, characterized in that said by Rukoto to introduction of hydrogen through a heated catalyst to the raw material gas, adding hydrogen radicals generated from said hydrogen.
常圧CVD法によって、有機シラン系ガス及び酸化窒素を含む原料ガスを用いて、加熱した基板上に酸化膜を形成する半導体装置の作製方法において、
前記原料ガスに加熱した触媒を通して水素を導入することにより、前記水素から発生させた水素ラジカルを添加し、
親水性を有する表面に接して前記酸化膜を形成することを特徴とする半導体装置の作製方法。
In a method for manufacturing a semiconductor device in which an oxide film is formed on a heated substrate using a source gas containing an organosilane-based gas and nitrogen oxide by an atmospheric pressure CVD method,
The Rukoto to introduce hydrogen through the catalyst heated in the source gas, adding hydrogen radicals generated from said hydrogen,
A method for manufacturing a semiconductor device, wherein the oxide film is formed in contact with a hydrophilic surface .
プラズマCVD法によって、有機シラン系ガス及び酸化窒素を含む原料ガスを用いて、加熱した基板上に酸化膜を形成する半導体装置の作製方法において、
前記原料ガスに加熱した触媒を通して水素を導入することにより、前記有機シラン系ガスの0.01〜1倍の流量の前記水素から発生させた水素ラジカルを添加することを特徴とする半導体装置の作製方法。
In a method for manufacturing a semiconductor device in which an oxide film is formed on a heated substrate using a source gas containing an organosilane-based gas and nitrogen oxide by a plasma CVD method,
The Rukoto to introduce hydrogen through the catalyst heated in the feed gas, the semiconductor device characterized by the addition of 0.01 to 1 times the flow rate of hydrogen radicals generated from said hydrogen of the organic silane gas Manufacturing method.
請求項1乃至請求項3のいずれか一項において、In any one of Claims 1 thru | or 3,
前記原料ガスにオゾン又は酸素ラジカルを添加することを特徴とする半導体装置の作製方法。A method for manufacturing a semiconductor device, wherein ozone or oxygen radicals are added to the source gas.
請求項1乃至請求項4のいずれか一項において、In any one of Claims 1 thru | or 4,
前記有機シラン系ガスとして、TEOS、OMCTS、HMDSのいずれかを用いることを特徴とする半導体装置の作製方法。One of TEOS, OMCTS, and HMDS is used as the organosilane-based gas, a method for manufacturing a semiconductor device.
請求項1乃至請求項5のいずれか一項において、In any one of Claims 1 thru | or 5,
前記触媒は、白金、パラジューム、還元ニッケル、コバルト、チタン、パナジウム、タンタル等の3d−遷移金属またはアルミニウム、ニッケル、白金・珪素、白金・塩素、白金・レニウム、ニッケル・モリブデン、コバルト・モリブデン、等の金属化合物、又は上記遷移金属とアルミナ、シリカゲル等の混合または化合物あるいは、ラネーコバルト、ルテニウム、パラジウム、ニッケル、等あるいはそれらと炭素の混合または化合物のいずれかであることを特徴とする半導体装置の製造方法。The catalyst is 3d-transition metal such as platinum, palladium, reduced nickel, cobalt, titanium, panadium, tantalum or the like, aluminum, nickel, platinum / silicon, platinum / chlorine, platinum / rhenium, nickel / molybdenum, cobalt / molybdenum, etc. Or a mixture or compound of the above transition metal and alumina, silica gel, or the like, or Raney cobalt, ruthenium, palladium, nickel, or the like, or a mixture or compound of these and carbon. Production method.
請求項1乃至請求項のいずれか一項において、
前記有機シラン系ガスは、フッ素を含む化合物のガスを用いることを特徴とする半導体装置の作製方法。
In any one of Claims 1 thru | or 6 ,
The organosilane gas, a method for manufacturing a semiconductor device according to claim Rukoto using a gas compound containing fluorine.
プラズマCVD法によって、TEOS及び酸化窒素を含む原料ガスを用いて、加熱した基板上に酸化膜を形成する半導体装置の作製方法において、
前記原料ガスに水素を添加し、
前記酸化窒素の流量が前記TEOSの流量の15倍以下且つ前記水素の流量が前記TEOSの流量の0.01倍以上であることを特徴とする半導体装置の作製方法。
By a plasma CVD method, a source gas containing TEOS and nitric oxide, in the method for manufacturing a semi-conductor device you form an oxide film on a heated substrate,
The hydrogen is added to the raw material gas,
The method for manufacturing a semiconductor device, characterized in that the flow rate of the nitrogen oxide is the flow rate of 15 times or less and the water-containing flow of the TEOS at least 0.01 times the flow rate of the TEOS.
プラズマCVD法によって、TEOS及び酸化窒素を含む原料ガスを用いて、加熱した基板上に酸化膜を形成する半導体装置の作製方法において、
前記原料ガスに 2 Oを添加し、
前記酸化窒素の流量が前記TEOSの流量の15倍以下且つ前記 2 Oをバブリングするキャリアガスの流量が前記TEOSの流量の0.1〜1倍であることを特徴とする半導体装置の作製方法。
By a plasma CVD method, a source gas containing TEOS and nitric oxide, in the method for manufacturing a semi-conductor device you form an oxide film on a heated substrate,
H 2 O is added to the source gas,
A method for manufacturing a semiconductor device, wherein the flow rate of nitrogen oxide is 15 times or less the flow rate of TEOS and the flow rate of a carrier gas for bubbling H 2 O is 0.1 to 1 times the flow rate of TEOS. .
請求項1乃至請求項のいずれか一項において、
前記酸化膜は、薄膜トランジスタのゲート絶縁膜として形成することを特徴とする半導体装置の作製方法。
In any one of Claims 1 thru | or 9 ,
The method for manufacturing a semiconductor device, wherein the oxide film is formed as a gate insulating film of a thin film transistor.
請求項1乃至請求項10のいずれか一項において、
前記酸化膜は、薄膜トランジスタの層間絶縁膜として形成することを特徴とする半導体装置の作製方法。
In any one of Claims 1 to 10 ,
The method for manufacturing a semiconductor device, wherein the oxide film is formed as an interlayer insulating film of a thin film transistor.
請求項1乃至請求項11のいずれか一項において、
前記酸化膜は、前記基板上に接して形成することを特徴とする半導体装置の作製方法。
In any one of Claims 1 to 11 ,
The method for manufacturing a semiconductor device, wherein the oxide film is formed in contact with the substrate.
JP26251995A 1995-09-08 1995-09-16 Method for manufacturing semiconductor device Expired - Fee Related JP3672639B2 (en)

Priority Applications (9)

Application Number Priority Date Filing Date Title
JP26251995A JP3672639B2 (en) 1995-09-16 1995-09-16 Method for manufacturing semiconductor device
TW085110692A TW371796B (en) 1995-09-08 1996-09-02 Method and apparatus for manufacturing a semiconductor device
US08/706,666 US6323142B1 (en) 1995-09-08 1996-09-06 APCVD method of forming silicon oxide using an organic silane, oxidizing agent, and catalyst-formed hydrogen radical
KR1019960039195A KR100319333B1 (en) 1995-09-08 1996-09-06 Semiconductor device manufacturing method
CN96122468A CN1118867C (en) 1995-09-08 1996-09-07 Method and apparatus for manufacturing semiconductor device
CNB021271089A CN1311533C (en) 1995-09-08 1996-09-07 Method and apparatus for manufacturing semiconductor device
KR1020010003535A KR100333158B1 (en) 1995-09-08 2001-01-22 Method for manufacturing a semiconductor device
US10/037,865 US6706648B2 (en) 1995-09-08 2001-10-24 APCVD method of forming silicon oxide using an organic silane, oxidizing agent, and catalyst-formed hydrogen radical
US10/737,855 US7491659B2 (en) 1995-09-08 2003-12-18 APCVD method of forming silicon oxide using an organic silane, oxidizing agent, and catalyst-formed hydrogen radical

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP26251995A JP3672639B2 (en) 1995-09-16 1995-09-16 Method for manufacturing semiconductor device

Publications (2)

Publication Number Publication Date
JPH0982706A JPH0982706A (en) 1997-03-28
JP3672639B2 true JP3672639B2 (en) 2005-07-20

Family

ID=17376941

Family Applications (1)

Application Number Title Priority Date Filing Date
JP26251995A Expired - Fee Related JP3672639B2 (en) 1995-09-08 1995-09-16 Method for manufacturing semiconductor device

Country Status (1)

Country Link
JP (1) JP3672639B2 (en)

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4119791B2 (en) * 2003-05-30 2008-07-16 サムコ株式会社 Method for producing carbon-containing silicon film using cathode coupling type plasma CVD apparatus
JP4222966B2 (en) * 2004-04-22 2009-02-12 三菱電機株式会社 Thin film transistor and manufacturing method thereof
JP4521349B2 (en) * 2005-10-13 2010-08-11 富士通セミコンダクター株式会社 Semiconductor integrated circuit device
US9401396B2 (en) * 2011-04-19 2016-07-26 Semiconductor Energy Laboratory Co., Ltd. Method for manufacturing semiconductor device and plasma oxidation treatment method

Also Published As

Publication number Publication date
JPH0982706A (en) 1997-03-28

Similar Documents

Publication Publication Date Title
KR100333158B1 (en) Method for manufacturing a semiconductor device
KR100323912B1 (en) Manufacturing Method of Insulated Gate Field Effect Transistor
US7488693B2 (en) Method for producing silicon oxide film
US9390914B2 (en) Wet oxidation process performed on a dielectric material formed from a flowable CVD process
KR100316721B1 (en) Method of manufacturing semiconductor device having a silicide layer
JP2006261217A (en) Method of forming thin film
JP3417072B2 (en) Semiconductor device manufacturing method
KR20010064414A (en) Method of forming gate dielectric layer with TaON
JP4101340B2 (en) Method for manufacturing semiconductor device
KR20020068252A (en) Thin film transistor and method of manufacturing the same
JP4624207B2 (en) Film forming method and film forming apparatus
US7186663B2 (en) High density plasma process for silicon thin films
JP2006511087A (en) Method and apparatus for forming a high quality low temperature silicon nitride layer
KR20130056595A (en) Method of surface treatment and thin film growth, and equipment for surface treatment and thin film growth
JP3488324B2 (en) Semiconductor device manufacturing method and semiconductor device manufacturing apparatus
JP4126517B2 (en) Vapor processing equipment
JPWO2009054232A1 (en) Semiconductor manufacturing apparatus, semiconductor manufacturing method, and electronic apparatus
JP3672639B2 (en) Method for manufacturing semiconductor device
JP2001189275A (en) Semiconductor film forming method, and manufacturing method of thin-film semiconductor device
JP4476984B2 (en) Method for manufacturing semiconductor device
JPH07161705A (en) Method of forming interlayer insulating film of multilayered wiring of semiconductor device
JP2005252012A (en) Deposited film forming method, forming method of semiconductor element, semiconductor device and display device
JPH09139370A (en) Semiconductor device manufacturing method and thin film semiconductor device manufacturing method
US11682554B2 (en) Catalytic thermal deposition of carbon-containing materials
KR100212014B1 (en) Method of forming bpsg films of semiconductor device

Legal Events

Date Code Title Description
A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20050111

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20050307

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20050412

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20050420

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20080428

Year of fee payment: 3

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20090428

Year of fee payment: 4

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20100428

Year of fee payment: 5

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20100428

Year of fee payment: 5

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20100428

Year of fee payment: 5

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20110428

Year of fee payment: 6

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20110428

Year of fee payment: 6

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20120428

Year of fee payment: 7

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20120428

Year of fee payment: 7

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130428

Year of fee payment: 8

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130428

Year of fee payment: 8

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20140428

Year of fee payment: 9

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

LAPS Cancellation because of no payment of annual fees