JP3641209B2 - Automatic placement and routing apparatus and automatic placement and routing method - Google Patents

Automatic placement and routing apparatus and automatic placement and routing method Download PDF

Info

Publication number
JP3641209B2
JP3641209B2 JP2001016709A JP2001016709A JP3641209B2 JP 3641209 B2 JP3641209 B2 JP 3641209B2 JP 2001016709 A JP2001016709 A JP 2001016709A JP 2001016709 A JP2001016709 A JP 2001016709A JP 3641209 B2 JP3641209 B2 JP 3641209B2
Authority
JP
Japan
Prior art keywords
wiring
automatic placement
width
interval
routing
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP2001016709A
Other languages
Japanese (ja)
Other versions
JP2002222229A (en
Inventor
典将 鳴海
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Panasonic Corp
Panasonic Holdings Corp
Original Assignee
Panasonic Corp
Matsushita Electric Industrial Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Panasonic Corp, Matsushita Electric Industrial Co Ltd filed Critical Panasonic Corp
Priority to JP2001016709A priority Critical patent/JP3641209B2/en
Publication of JP2002222229A publication Critical patent/JP2002222229A/en
Application granted granted Critical
Publication of JP3641209B2 publication Critical patent/JP3641209B2/en
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Landscapes

  • Semiconductor Integrated Circuits (AREA)
  • Design And Manufacture Of Integrated Circuits (AREA)

Description

【0001】
【発明の属する技術分野】
本発明は、半導体集積回路のマスクレイアウトにおける自動配置配線装置および自動配置配線方法に関するものである。
【0002】
【従来の技術】
図5は従来の自動配置配線装置の構成であり、セル配置設計手段1は入力されたネットリスト2に従い、予め用意されたレイアウトデータ3からセルを抽出しセル配置設計手段1によって配置を行なう。次にセル配置設計手段1の配置結果に対して概略配線設計手段4によって配線経路が短くなるように概略配線を行なう。そしてテクノロジファイル5に記述されたデザインルールに従い詳細配線設計手段6において概略配線設計手段4で決定された配線経路を用いてセル間の配線を行ない、得られたマスクレイアウトを表示装置7により表示し、確認することができる。
【0003】
なお、概略配線設計手段は、フロアプランによって機能ブロック配置が終わったレイアウトデータに対してネットリストを入力とし、レイアウトデータおよびネットリストをもとに配線経路の決定を行なう。
【0004】
【発明の解決しようとする課題】
しかしながら、従来の自動配置配線においては一律の配線幅、配線間隔で配線が行なわれていたため、配線負荷容量の小さいマスクレイアウトを得るには回路面積が増大し、回路の高速化には適していなかった。また半導体プロセスの微細化に伴い、隣合う配線間の負荷容量が大きくなってきており、回路の高速化の妨げとなっている。さらに高速回路の実現のためには信号線の入力パターンについても考慮する必要がある。
【0005】
したがって、この発明の目的は、回路の高速化に適する自動配置配線装置および自動配置配線方法を提供することである。
【0006】
【課題を解決するための手段】
請求項1記載の自動配置配線装置は、ネットリストを入力しネットリスト中の各セルを配置するセル配置設計手段と、このセル配置設計手段のセル配置結果に対し概略配線の決定を行なう概略配線設計手段と、この概略配線設計手段において決定された前記概略配線を用いて、セル間の詳細配線を行なう詳細配線設計手段とを備えた自動配置配線装置であって、
概略配線設計手段の結果から各ネットの配線長を解析する解析手段と、データテーブルもしくは計算式が格納された記憶装置と、解析手段の解析結果をもとに記憶装置に格納されたデータテーブルもしくは計算式を用いて、配線長に応じた配線幅および配線間隔を決定する配線幅・配線間隔決定手段を有し、
詳細配線設計手段は、デザインルールを定義しているテクノロジファイル内のルールおよび配線幅・配線間隔決定手段により決定された配線幅および配線間隔で詳細配線を行なうことを特徴とするものである。
【0007】
請求項1記載の自動配置配線装置によれば、配線長に応じて配線幅、配線間隔を変更させることができ、配線負荷容量を軽減させることができるため回路の高速化を図ることができる。
【0008】
請求項2記載の自動配置配線装置は、フロアプランによって機能ブロック配置が終わったレイアウトデータに対してネットリストを入力とし、レイアウトデータおよびネットリストをもとに概略配線の決定を行なう概略配線設計手段と、概略配線設計手段において決定された概略配線を用いて、セル間の詳細配線を行なう詳細配線設計手段とを備える自動配置配線装置であって、
概略配線設計手段の結果から各ネットの配線長を解析する解析手段と、データテーブルもしくは計算式が格納された記憶装置と、解析手段の解析結果をもとに記憶装置に格納されたデータテーブルもしくは計算式を用いて、配線長に応じた配線幅および配線間隔を決定する配線幅・配線間隔決定手段を有し、
詳細配線設計手段は、デザインルールを定義しているテクノロジファイル内のルールおよび配線幅・配線間隔決定手段により決定された配線幅および配線間隔で詳細配線を行なうことを特徴とするものである。
【0009】
請求項2記載の自動配置配線装置によれば、請求項1と同様な効果がある。
【0010】
請求項3記載の自動配置配線装置は、請求項1または請求項2において、配線幅・配線間隔決定手段は配線幅と配線間隔を同じ割合で増減させるものである。
【0011】
請求項3記載の自動配置配線装置によれば、請求項1または請求項2と同様な効果のほか、チップ面積を抑えることができる。
【0012】
請求項4記載の自動配置配線装置は、請求項3において、配線幅・配線間隔決定設計手段において決定された配線幅および配線間隔により配線遅延値を算出し、3層以上の配線層を有するマスクレイアウトの場合、配線幅および配線間隔が予め定められた基準値より大きなものについては上位の配線層に割振る配線層割付手段を有するものである。
【0013】
請求項4記載の自動配置配線装置によれば、請求項3と同様な効果のほか、配線遅延の増加を抑えた配線を行うことができる。
【0014】
請求項5記載の自動配置配線方法は、請求項1または請求項2において、配線幅・配線間隔決定手段が、配線長および配線の許容遅延値に応じて配線幅および配線間隔を決定することを特徴とする。
【0015】
請求項6記載の自動配置配線方法は、請求項5において、配線の駆動頻度に応じて許容遅延値を変更することを特徴とする。
【0016】
請求項7記載の自動配置配線方法は、請求項5において、配線長に応じて前記許容遅延値を変更することを特徴とする。
【0017】
請求項8記載の自動配置配線方法は、請求項5において、入力された各機能ブロック毎のネットリスト中のネットを電源配線、制御信号線、バス配線およびクロック信号線にグループ化し、配線がいずれのグループに属するかに応じて前記許容遅延値を変更することを特徴とする。
【0018】
請求項記載の自動配置配線方法によれば、配線長だけでは対処しきれないクロストークの入力パターン依存について、各ネットをグループすることで影響を受け易いまたは与え易い配線を差別化することにより、信号線の種類によって配線幅および配線間隔を変えることで膨大な入力パターンを与えることなく入力パターン依存を考慮した配線幅および配線間隔の変更をすることができ、これによりクロストークの軽減と配線の負荷容量を軽減させることができるため回路の高速化を図ることができる。
【0019】
請求項9記載の自動配置配線方法は、請求項8において、前記制御信号線のグループに属する配線と前記バス配線のグループに属する配線とを交互に配置することを特徴とする。
【0020】
請求項記載の自動配置配線方法によれば、請求項と同様な効果のほか、長距離平行配線で同時に駆動されるため比較的クロストークを起こし易いバス配線の間に制御信号線を配置することにより、クロストークを防ぐことができる。
【0021】
請求項10記載の自動配置配線方法は、請求項8において、前記配線幅・配線間隔決定手段は、配線がいずれのグループに属するかに応じて計算式または計算に用いる代入値を変更することを特徴する。
【0022】
請求項10記載の自動配置配線方法によれば、請求項または請求項と同様な効果のほか、より細やかな配線幅および配線間隔を設定することにより遅延や漏話のもととなる配線間負荷容量を抑えることができる。
【0023】
【発明の実施の形態】
以下、本発明の第1の実施の形態について図1を参照しながら説明する。図1は請求項1に係る本発明の第1の実施の形態における自動配置配線装置の構成を示すものである。なお本発明はブロックレイアウトの作成だけでなく、1チップレイアウトにも適応される。図1において、1〜7は従来の自動配置配線装置と同様の構成である。ここで図1の8は概略配線設計手段4によって概略配線が終了した時点で各ネットの配線経路を用いてネットの配線長を算出するための計算式等のデータおよび算出された配線長を用いて配線幅と配線間隔を算出するための計算式等のデータを記憶している記憶装置、9は記憶装置8の記憶しているデータを用いて概略配線設計手段4が決定した配線経路を基に配線長を算出する配線長解析手段、10は記憶装置8の記憶しているデータを用いて配線長解析手段9において算出された配線長を基に配線幅・配線間隔を決定する配線幅・配線間隔決定手段である。
【0024】
記憶装置8には、配線長を算出する計算式として例えばポート間の座標より最短距離を算出する計算式が定義されている。
【0025】
また配線幅・配線間隔を算出するためのデータとして、例えば表1に示すように目標のクロック速度毎に、単位面積当りの配線抵抗値、単位面積当りの平面平板容量値、単位長さ当りの配線間容量値、ヴィアの抵抗値、容量値が定義されている。
【0026】
【表1】

Figure 0003641209
【0027】
遅延値の計算式としては遅延値をT、単位面積当たりの配線抵抗をRs、単位面積当りの平面平板容量をCs、単位長さ当りの配線間容量Co、配線長をL、配線幅をW、配線間隔をO、ヴィアの抵抗をRc、容量をCc、数をXとすると、
Figure 0003641209
と表される。W・Oについて求めると
{T−X・Rc・Cc}/Rs・L2 =Cs+2・Co・1/W・O
{T−X・Rc・Cc−Rs・Cs・L2 }/Rs・L2 =2・Co・1/W・O
W・O=2・Rs・Co・L2 /{T−X・Rc・Cc−Rs・Cs・L2
ここで配線幅Wと配線間隔Oに着目するとW+Oが最小で、W・Oが最大になるには
W+O=1
W・O>0
を解けばよいのでW=1/2、O=1/2となる。これにより配線幅Wと配線間隔Oは等倍にしていく方が配線効率が上がると言える。
【0028】
よってOおよびWは次式で算出される。
Rs・Co・L2 /{T−X・Rc・Cc−Rs・Cs・L2 } …式1
なお式1は1層メタルのみであるが、n層の場合は
次式によって、より詳細に配線幅・配線間隔を決定することができる。
W or R=Σ(Rsk・Cok・L2 )/{T−Σ(Xk・Rck・Cck)−Σ(Rsk・Csk・L2 )} …式2
式2において、kは1〜nである。
【0029】
例えばこのような遅延算出式が記憶装置8に記憶されており、配線幅・配線間隔決定手段10において配線長解析手段9で算出された配線長と記憶装置8に記憶されている単位面積当りの配線抵抗、単位面積当りの平面平板容量、単位長さ当りの配線間容量を用いて配線幅・配線間隔が決定される。なお配線幅・配線間隔は上記のパラメータにより算出されるが遅延時間を一定としているため配線幅、配線間隔が大きくなりすぎることが予想されので、記憶装置8に配線幅および配線間隔の上限値を設けるものとする。
【0030】
そして詳細配線設計手段6においてテクノロジファイル5で定義されているデザインルールと配線幅・配線間隔決定手段10で決定された配線幅・配線間隔に従い配線が行なわれ、表示装置7で表示され、マスクレイアウトが得られる。
【0031】
なお、解析手段9の解析をもとにこれに対応する配線幅と配線間隔のデータテーブルを予め設定し記憶装置8に記憶しておき、配線幅・配線間隔決定手段10は、データテーブルに基づいて配線幅および配線間隔を決定してもよい。
【0032】
次に図2は、請求項4に係る発明の第2の実施の形態における自動配置配線装置の構成を示すものである。図2の1〜10は請求項1に係る発明の第1の実施の形態と同様である。ここで図2の11は配線幅・配線間隔決定手段10の結果によって配線層を割振る配線層割付(決定設計)手段である。記憶装置8に記憶されている割付基準値を超えた配線幅、配線間隔が決定された場合はより上位の配線層に割り振ることで配線遅延の増加を抑えた配線を行なうことが出来る。
【0033】
本発明の第3の実施の形態について図3を参照しながら説明する。図3(a)は自動配置配線装置に入力されるネットリストの例である。図中i〜pは任意の数である。始めにサブセルのセル名とそのセルにある各ポート名が列挙されており、次にトップセル名とその各ポート名およびネット名とそれに接続されるポート名が列挙されている。一般的にネットリストの中のポート名は、電源はVDD、VSS、クロック信号はCLK、バス配線はA[0]〜A[4]など分かりやすいポート名になっていることが多い。そこで記述されているポート名またはネット名を抽出し、それを基に電源配線や制御信号線、バス配線、クロック信号線等に各ネットのグループ化を行なう。
【0034】
【表2】
Figure 0003641209
【0035】
例えば図3(b)のように予めネットリストの中に、どのネットがどのグループに所属するというグループ化情報A、B…や駆動頻度による優先順位情報を設けることも可能である。ここで例えば表2のように式2に代入される許容遅延値Tをグループ別に設定することでクロック信号などの比較的駆動頻度の高いグループの配線は配線幅・配線間隔を大きくし遅延を優先させたり、制御信号などの比較的駆動頻度の低いグループの配線は配線幅・配線間隔をできるだけ小さくし面積を優先させることができ、その優先順位により配線を行う。なお配線幅や配線間隔を算出する算出式やその解である配線幅・配線間隔を固定値化することもできるものとする。
【0036】
また、ネットの配線長による優先順位をグループ毎に設け、優先順位により配線を行なう。
【0037】
さらにバス配線のような同時に駆動されることが多い長距離平行配線については、図4のように配線を行う。B1〜B4はバス配線、S1〜S4は信号線である。バス配線B1〜B4間に電源固定された信号線などの駆動頻度の少ないネットを交互に配線することでクロストークの影響を軽減する。これにより配線長だけでなく、入力信号パターン依存の面からも膨大な入力パターン与えることなくクロストークの影響を軽減することができる。
【0038】
なお、グループ毎に配線の幅および配線間隔を算出する算出式のほか算出に用いる代入値を変えてもよい。
【0039】
【発明の効果】
請求項1記載の自動配置配線装置によれば、配線長に応じて配線幅、配線間隔を変更させることができ、配線負荷容量を軽減させることができるため回路の高速化を図ることができる。
【0040】
請求項2記載の自動配置配線装置によれば、請求項1と同様な効果がある。
【0041】
請求項3記載の自動配置配線装置によれば、請求項1または請求項2と同様な効果のほか、チップ面積を抑えることができる。
【0042】
請求項4記載の自動配置配線装置によれば、請求項3と同様な効果のほか、配線遅延の増加を抑えた配線を行うことができる。
【0043】
請求項記載の自動配置配線方法によれば、配線長だけでは対処しきれないクロストークの入力パターン依存について、各ネットをグループすることで影響を受け易いまたは与え易い配線を差別化することにより、信号線の種類によって配線幅・配線間隔を変えることで膨大な入力パターンを与えることなく入力パターン依存を考慮した配線幅・配線間隔の変更をすることができ、これによりクロストークの軽減と配線の負荷容量を軽減させることができるため回路の高速化を図ることができる。
【0044】
請求項記載の自動配置配線方法によれば、請求項と同様な効果のほか、長距離平行配線で同時に駆動されるため比較的クロストークを起こし易いバス配線などの間に制御信号線を配置することにより、クロストークを防ぐことができる。
【0045】
請求項10記載の自動配置配線方法によれば、請求項または請求項と同様な効果のほか、より細やかな配線幅および配線間隔を設定することにより遅延や漏話のもととなる配線間負荷容量を抑えることができる。
【図面の簡単な説明】
【図1】 本発明の第1の実施の形態における自動配置配線装置のブロック図である。
【図2】 本発明の第2の実施の形態における自動配置配線装置のブロック図である。
【図3】 (a)は従来のネットリスト、(b)は第3の実施の形態を説明するためのグループ化情報付きネットリストである。
【図4】 第3の実施の形態におけるバス配線構造の平面図である。
【図5】 従来の自動配置配線装置である。
【符号の説明】
1 セル配置設計手段
2 ネットリスト
3 レイアウトデータ
4 概略配線設計手段
5 テクノロジファイル
6 詳細配線設計手段
7 表示装置
8 記憶装置
9 配線長解析手段
10 配線幅・配線間隔決定手段
11 配線層割付手段
B1〜B4 バス配線
S1〜S4 信号線[0001]
BACKGROUND OF THE INVENTION
The present invention relates to an automatic placement and routing apparatus and an automatic placement and routing method in a mask layout of a semiconductor integrated circuit.
[0002]
[Prior art]
FIG. 5 shows the configuration of a conventional automatic placement and routing apparatus. Cell placement design means 1 extracts cells from layout data 3 prepared in advance according to the input netlist 2 and places them by cell placement design means 1. Next, schematic routing is performed by the schematic wiring design unit 4 so that the wiring path is shortened with respect to the arrangement result of the cell layout design unit 1. Then, according to the design rules described in the technology file 5, the detailed wiring design means 6 performs wiring between cells using the wiring route determined by the schematic wiring design means 4, and the obtained mask layout is displayed on the display device 7. Can be confirmed.
[0003]
The schematic wiring design means inputs a net list for the layout data for which the functional block arrangement has been completed by the floor plan, and determines a wiring route based on the layout data and the net list.
[0004]
[Problem to be Solved by the Invention]
However, in the conventional automatic placement and routing, since wiring is performed with a uniform wiring width and wiring interval, the circuit area increases to obtain a mask layout with a small wiring load capacity, which is not suitable for increasing the circuit speed. It was. In addition, with the miniaturization of semiconductor processes, the load capacity between adjacent wirings is increasing, which hinders the speeding up of circuits. Furthermore, in order to realize a high-speed circuit, it is necessary to consider the input pattern of signal lines.
[0005]
Accordingly, an object of the present invention is to provide an automatic placement and routing apparatus and an automatic placement and routing method suitable for increasing the speed of a circuit.
[0006]
[Means for Solving the Problems]
2. An automatic placement and routing apparatus according to claim 1, wherein a cell placement design means for inputting a net list and placing each cell in the net list, and a rough wiring for determining a rough wiring for a cell placement result of the cell placement design means. and designing means, using said global routing determined in this schematic wiring design unit, an automatic placement and routing apparatus and a detailed wiring design means for detailed wiring between cells,
Analyzing means for analyzing the wiring length of each net from the result of the schematic wiring design means, a storage device storing a data table or a calculation formula, and a data table stored in the storage device based on the analysis result of the analyzing means or Using the calculation formula, it has wiring width / wiring interval determining means for determining the wiring width and wiring interval according to the wiring length,
More wiring design means is characterized in that the detailed wiring wiring width and wiring interval determined by the rule and the wiring width and wiring interval determining means technology file that defines the design rule.
[0007]
According to the automatic placement and routing apparatus of the first aspect, the wiring width and the wiring interval can be changed according to the wiring length, and the wiring load capacity can be reduced, so that the circuit speed can be increased.
[0008]
3. The automatic placement and routing apparatus according to claim 2, wherein a net list is input to layout data for which functional block placement has been completed by a floor plan, and rough wiring design means for determining a rough wiring based on the layout data and the net list. When, an automatic placement and routing apparatus having with reference to the schematic wiring determined in schematic wiring design unit, and a detailed wiring design means for detailed wiring between cells,
Analyzing means for analyzing the wiring length of each net from the result of the schematic wiring design means, a storage device storing a data table or a calculation formula, and a data table stored in the storage device based on the analysis result of the analyzing means or Using the calculation formula, it has wiring width / wiring interval determining means for determining the wiring width and wiring interval according to the wiring length,
More wiring design means is characterized in that the detailed wiring wiring width and wiring interval determined by the rule and the wiring width and wiring interval determining means technology file that defines the design rule.
[0009]
According to the automatic placement and routing apparatus of the second aspect, the same effect as the first aspect is obtained.
[0010]
According to a third aspect of the present invention, in the automatic placement and routing apparatus according to the first or second aspect, the wiring width / wiring interval determining means increases or decreases the wiring width and the wiring interval at the same rate.
[0011]
According to the automatic placement and routing apparatus of the third aspect, in addition to the same effect as that of the first or second aspect, the chip area can be suppressed.
[0012]
5. The automatic placement and routing apparatus according to claim 4, wherein the mask having three or more wiring layers is calculated according to claim 3 by calculating a wiring delay value based on the wiring width and wiring interval determined by the wiring width / wiring interval determining design means. In the case of a layout, a wiring layer allocating means for allocating to a higher wiring layer is provided for wiring widths and wiring intervals larger than predetermined reference values.
[0013]
According to the automatic placement and routing apparatus of the fourth aspect, in addition to the same effect as that of the third aspect, it is possible to perform wiring while suppressing an increase in wiring delay.
[0014]
The automatic placement and routing method according to claim 5 is the method according to claim 1 or 2, wherein the wiring width / wiring interval determining means determines the wiring width and the wiring interval according to the wiring length and the allowable delay value of the wiring. Features.
[0015]
According to a sixth aspect of the present invention, in the automatic placement and routing method according to the fifth aspect, the allowable delay value is changed according to the driving frequency of the wiring.
[0016]
According to a seventh aspect of the present invention, in the automatic placement and routing method according to the fifth aspect, the allowable delay value is changed according to the wiring length.
[0017]
An automatic placement and routing method according to an eighth aspect of the present invention is the automatic placement and routing method according to the fifth aspect, wherein the nets in the input netlist for each functional block are grouped into power supply wirings, control signal lines, bus wirings, and clock signal lines. The permissible delay value is changed according to whether it belongs to the group.
[0018]
According to the automatic placement and routing method of claim 8 , with respect to the input pattern dependence of crosstalk that cannot be dealt with only by the wiring length, by distinguishing wirings that are easily affected or given by grouping each net. , the signal line type by can changes wiring width and wiring width considering input pattern dependency without giving it a massive input pattern to vary the wire spacing and wire spacing, thereby crosstalk mitigation and wiring Since the load capacity of the circuit can be reduced, the circuit speed can be increased.
[0019]
According to a ninth aspect of the present invention, in the eighth aspect, the wiring belonging to the group of the control signal lines and the wiring belonging to the group of the bus wiring are alternately arranged.
[0020]
According to the automatic placement and routing method of the ninth aspect , in addition to the same effect as that of the eighth aspect , the control signal lines are arranged between the bus wirings that are relatively likely to cause crosstalk because they are simultaneously driven by the long distance parallel wiring. By doing so, crosstalk can be prevented.
[0021]
The automatic placement and routing method according to claim 10 is the method according to claim 8, wherein the wiring width / wiring interval determining means changes the calculation formula or the substitution value used in the calculation according to which group the wiring belongs to. Characterize.
[0022]
According to the automatic placement and routing method of claim 10 , in addition to the same effect as that of claim 8 or claim 9 , between the wirings causing delay and crosstalk by setting a finer wiring width and wiring interval. The load capacity can be suppressed.
[0023]
DETAILED DESCRIPTION OF THE INVENTION
Hereinafter, a first embodiment of the present invention will be described with reference to FIG. FIG. 1 shows a configuration of an automatic placement and routing apparatus according to a first embodiment of the present invention. The present invention is applicable not only to the creation of a block layout but also to a one-chip layout. In FIG. 1, 1-7 are the same structures as the conventional automatic placement and routing apparatus. Here, 8 in FIG. 1 uses data such as a calculation formula for calculating the wiring length of the net using the wiring route of each net when the schematic wiring is completed by the schematic wiring design unit 4 and the calculated wiring length. The storage device 9 stores data such as calculation formulas for calculating the wiring width and the wiring interval, and 9 is a wiring route determined by the general wiring design means 4 using the data stored in the storage device 8. Wiring length analyzing means 10 for calculating the wiring length, and 10 is a wiring width for determining the wiring width and wiring interval based on the wiring length calculated by the wiring length analyzing means 9 using the data stored in the storage device 8. Wiring interval determination means.
[0024]
In the storage device 8, for example, a calculation formula for calculating the shortest distance from coordinates between ports is defined as a calculation formula for calculating the wiring length.
[0025]
As data for calculating the wiring width and the wiring interval, for example, as shown in Table 1, for each target clock speed, the wiring resistance value per unit area, the flat plate capacitance value per unit area, the per unit length The inter-wiring capacitance value, via resistance value, and capacitance value are defined.
[0026]
[Table 1]
Figure 0003641209
[0027]
The delay value is calculated as follows: delay value is T, wiring resistance per unit area is Rs, plane plate capacity per unit area is Cs, inter-wiring capacitance Co per unit length, wiring length is L, and wiring width is W When the wiring interval is O, the via resistance is Rc, the capacitance is Cc, and the number is X,
Figure 0003641209
It is expressed. When W · O is determined, {TX · Rc · Cc} / Rs · L 2 = Cs + 2 · Co · 1 / W · O
{T-X · Rc · Cc -Rs · Cs · L 2} / Rs · L 2 = 2 · Co · 1 / W · O
W · O = 2 · Rs · Co · L 2 / {TX · Rc · Cc—Rs · Cs · L 2 }
Here, when attention is paid to the wiring width W and the wiring interval O, W + O is minimum, and W + O = 1 is maximum to maximize W · O.
W · O> 0
Therefore, W = 1/2 and O = 1/2. Accordingly, it can be said that the wiring efficiency increases when the wiring width W and the wiring interval O are made equal.
[0028]
Therefore, O and W are calculated by the following equations.
Rs · Co · L 2 / {TX · Rc · Cc—Rs · Cs · L 2 } Formula 1
In addition, although Formula 1 is only 1 layer metal, in the case of n layer, wiring width and wiring space | interval can be determined in detail by following Formula.
W or R = Σ (Rsk · Cok · L 2 ) / {T−Σ (Xk · Rck · Cck) −Σ (Rsk · Csk · L 2 )}
In Formula 2, k is 1 to n.
[0029]
For example, such a delay calculation formula is stored in the storage device 8, and the wiring length calculated by the wiring length analyzing unit 9 in the wiring width / interval determining unit 10 and the unit area stored in the storage device 8 are stored. The wiring width and the wiring interval are determined by using the wiring resistance, the plane plate capacity per unit area, and the wiring capacity per unit length. Although the wiring width and the wiring interval are calculated by the above parameters, since the delay time is constant, the wiring width and the wiring interval are expected to be too large. Shall be provided.
[0030]
Then, the detailed wiring design means 6 performs wiring according to the design rule defined in the technology file 5 and the wiring width / wiring interval determined by the wiring width / wiring interval determining means 10 and is displayed on the display device 7 for mask layout. Is obtained.
[0031]
Based on the analysis of the analysis means 9, a data table of the wiring width and wiring interval corresponding to this is preset and stored in the storage device 8, and the wiring width / wiring interval determination means 10 is based on the data table. Thus, the wiring width and the wiring interval may be determined.
[0032]
Next, FIG. 2 shows a configuration of an automatic placement and routing apparatus according to a second embodiment of the present invention. 2 are the same as those of the first embodiment of the present invention. Here, reference numeral 11 in FIG. 2 denotes wiring layer allocation (decision design) means for allocating wiring layers according to the result of the wiring width / wiring interval determining means 10. When the wiring width and wiring interval exceeding the allocation reference value stored in the storage device 8 are determined, the wiring can be wired while suppressing an increase in wiring delay by allocating it to a higher wiring layer.
[0033]
A third embodiment of the present invention will be described with reference to FIG. FIG. 3A shows an example of a net list input to the automatic placement and routing apparatus. In the figure, i to p are arbitrary numbers. First, the cell names of the subcells and the names of the ports in the cells are listed, and then the top cell names, their port names and net names, and the names of ports connected to them are listed. In general, port names in the netlist are often easy-to-understand port names such as VDD and VSS for power supplies, CLK for clock signals, and A [0] to A [4] for bus wiring. The port name or net name described there is extracted, and based on this, the nets are grouped into power supply wiring, control signal line, bus wiring, clock signal line, and the like.
[0034]
[Table 2]
Figure 0003641209
[0035]
For example, as shown in FIG. 3B, grouping information A, B,... Which indicates which net belongs to which group and priority order information based on the driving frequency can be provided in advance in the net list. Here, for example, as shown in Table 2, by setting the permissible delay value T to be substituted into the expression 2 for each group, the wiring of the group having a relatively high driving frequency such as a clock signal is increased in the wiring width / interval and priority is given to the delay. The wiring of a group with relatively low driving frequency, such as a control signal, can be given priority by reducing the wiring width and wiring interval as much as possible, and wiring is performed according to the priority order. It is assumed that the calculation formula for calculating the wiring width and the wiring interval and the solution of the wiring width and the wiring interval can be fixed values.
[0036]
A priority order based on the wiring length of the net is provided for each group, and wiring is performed according to the priority order.
[0037]
Further, for long distance parallel wiring such as bus wiring, which is often driven simultaneously, wiring is performed as shown in FIG. B1 to B4 are bus wirings, and S1 to S4 are signal lines. The influence of crosstalk is reduced by alternately wiring nets with a low driving frequency such as signal lines fixed in power supply between the bus wirings B1 to B4. As a result, the influence of crosstalk can be reduced without giving an enormous number of input patterns not only from the wiring length but also from the aspect of input signal pattern dependence.
[0038]
In addition to the calculation formula for calculating the wiring width and the wiring interval for each group, the substitution value used for the calculation may be changed.
[0039]
【The invention's effect】
According to the automatic placement and routing apparatus of the first aspect, the wiring width and the wiring interval can be changed according to the wiring length, and the wiring load capacity can be reduced, so that the speed of the circuit can be increased.
[0040]
According to the automatic placement and routing apparatus of the second aspect, the same effect as that of the first aspect is obtained.
[0041]
According to the automatic placement and routing apparatus of the third aspect, in addition to the same effect as that of the first or second aspect, the chip area can be suppressed.
[0042]
According to the automatic placement and routing apparatus of the fourth aspect, in addition to the same effect as that of the third aspect, it is possible to perform wiring while suppressing an increase in wiring delay.
[0043]
According to the automatic placement and routing method according to claim 8 , with respect to the input pattern dependence of crosstalk that cannot be dealt with only by the wiring length, by distinguishing the wiring that is easily affected or given by grouping each net. By changing the wiring width and wiring interval according to the type of signal line, it is possible to change the wiring width and wiring interval considering input pattern dependence without giving a huge input pattern, thereby reducing crosstalk and wiring Since the load capacity of the circuit can be reduced, the circuit speed can be increased.
[0044]
According to the automatic placement and routing method of the ninth aspect , in addition to the same effect as that of the eighth aspect , the control signal line is provided between the bus wiring and the like that are relatively easily caused by crosstalk because they are simultaneously driven by the long distance parallel wiring. By arranging, crosstalk can be prevented.
[0045]
According to the automatic placement and routing method of claim 10 , in addition to the same effect as that of claim 8 or claim 9 , between the wirings causing delay and crosstalk by setting a finer wiring width and wiring interval. The load capacity can be suppressed.
[Brief description of the drawings]
FIG. 1 is a block diagram of an automatic placement and routing apparatus according to a first embodiment of the present invention.
FIG. 2 is a block diagram of an automatic placement and routing apparatus according to a second embodiment of the present invention.
FIGS. 3A and 3B are a conventional net list and FIG. 3B is a net list with grouping information for explaining the third embodiment;
FIG. 4 is a plan view of a bus wiring structure in a third embodiment.
FIG. 5 is a conventional automatic placement and routing apparatus.
[Explanation of symbols]
DESCRIPTION OF SYMBOLS 1 Cell arrangement design means 2 Net list 3 Layout data 4 Outline wiring design means 5 Technology file 6 Detailed wiring design means 7 Display device 8 Storage device 9 Wiring length analysis means 10 Wiring width / interval determination means 11 Wiring layer assignment means B1 B4 bus wiring S1-S4 signal line

Claims (10)

ネットリストを入力し前記ネットリスト中の各セルを配置するセル配置設計手段と、前記セル配置設計手段によるセル配置結果に対し概略配線の決定を行う概略配線設計手段と、前記概略配線設計手段において決定された前記概略配線を用いて、セル間の詳細配線を行なう詳細配線設計手段とを備えた自動配置配線装置であって、
前記概略配線設計手段の結果から各ネットの配線長を解析する解析手段と、データテーブルもしくは計算式が格納された記憶装置と、前記解析手段の解析結果をもとに前記記憶装置に格納された前記データテーブルもしくは計算式を用いて、配線長に応じた配線幅および配線間隔を決定する配線幅・配線間隔決定手段を有し、
前記詳細配線設計手段は、デザインルールを定義しているテクノロジファイル内のルールおよび前記配線幅・配線間隔決定手段により決定された配線幅および配線間隔で詳細配線を行なうことを特徴とする自動配置配線装置。
A cell placement design means for inputting the netlist to place each cell in the netlist, and schematic wiring design means the determination of global routing relative cell arrangement result by the cell placement design unit, in the schematic wiring design means using the determined said global routing, an automatic placement and routing apparatus and a detailed wiring design means for detailed wiring between cells,
Analysis means for analyzing the wiring length of each net from the result of the schematic wiring design means, a storage device storing a data table or a calculation formula, and the storage device based on the analysis result of the analysis means Using the data table or the calculation formula, having a wiring width / wiring interval determining means for determining a wiring width and a wiring interval according to the wiring length,
The detailed wiring design means, automatic placement and routing, characterized in that the detailed interconnection wiring width and wiring interval as determined by the rule and the wiring width and wiring interval determining means technology file that defines the design rule apparatus.
フロアプランによって機能ブロック配置が終わったレイアウトデータに対してネットリストを入力とし、前記レイアウトデータおよび前記ネットリストをもとに概略配線の決定を行なう概略配線設計手段と、前記概略配線設計手段において決定された前記概略配線を用いて、セル間の詳細配線を行なう詳細配線設計手段とを備える自動配置配線装置であって、
前記概略配線設計手段の結果から各ネットの配線長を解析する解析手段と、データテーブルもしくは計算式が格納された記憶装置と、前記解析手段の解析結果をもとに前記記憶装置に格納された前記データテーブルもしくは計算式を用いて、配線長に応じた配線幅および配線間隔を決定する配線幅・配線間隔決定手段を有し、
前記詳細配線設計手段は、デザインルールを定義しているテクノロジファイル内のルールおよび前記配線幅・配線間隔決定手段により決定された配線幅および配線間隔で詳細配線を行なうことを特徴とする自動配置配線装置。
A schematic wiring design means for inputting a net list to layout data for which functional block arrangement has been completed by a floor plan and determining a schematic wiring based on the layout data and the net list; and a determination in the schematic wiring design means using the global routing that is, an automatic placement and routing apparatus and a detailed wiring design means for detailed wiring between cells,
Analyzing means for analyzing the wire length of each net results of the schematic wiring design unit, a storage device de Tateburu or formula is stored, the analysis result of said analyzing means stored in said storage device based on Using the data table or the calculation formula, having a wiring width / wiring interval determining means for determining a wiring width and a wiring interval according to the wiring length,
The detailed wiring design means, automatic placement and routing, characterized in that the detailed interconnection wiring width and wiring interval as determined by the rule and the wiring width and wiring interval determining means technology file that defines the design rule apparatus.
前記配線幅・配線間隔決定手段は配線幅と配線間隔を同じ割合で増減させる請求項1または請求項2記載の自動配置配線装置。  3. The automatic placement and routing apparatus according to claim 1, wherein the wiring width / wiring interval determining means increases or decreases the wiring width and the wiring interval at the same rate. 前記配線幅・配線間隔決定設計手段において決定された配線幅および配線間隔により配線遅延値を算出し、3層以上の配線層を有するマスクレイアウトの場合、配線幅および配線間隔が予め定められた基準値より大きなものについては上位の配線層に割振る配線層割付手段を有する請求項3記載の自動配置配線装置。  A wiring delay value is calculated based on the wiring width and wiring interval determined by the wiring width / wiring interval determining design means. In the case of a mask layout having three or more wiring layers, the wiring width and the wiring interval are determined in advance. 4. The automatic placement and routing apparatus according to claim 3, further comprising wiring layer allocating means for allocating those larger than the value to an upper wiring layer. 前記配線幅・配線間隔決定手段は、配線長および配線の許容遅延値に応じて配線幅および配線間隔を決定することを特徴とする請求項1または請求項2記載の自動配置配線装置。  3. The automatic placement and routing apparatus according to claim 1, wherein the wiring width / wiring interval determining means determines the wiring width and the wiring interval according to a wiring length and an allowable delay value of the wiring. 配線の駆動頻度に応じて前記許容遅延値を変更することを特徴とする請求項5記載の自動配置配線装置。  6. The automatic placement and routing apparatus according to claim 5, wherein the allowable delay value is changed in accordance with a driving frequency of the wiring. 配線長に応じて前記許容遅延値を変更することを特徴とする請求項5記載の自動配置配線装置。  6. The automatic placement and routing apparatus according to claim 5, wherein the allowable delay value is changed according to a wiring length. 入力された各機能ブロック毎のネットリスト中のネットを電源配線、制御信号線、バス配線およびクロック信号線にグループ化し、配線がいずれのグループに属するかに応じて前記許容遅延値を変更することを特徴とする請求項5記載の自動配置配線装置。  Group the nets in the net list for each input functional block into power supply lines, control signal lines, bus lines, and clock signal lines, and change the allowable delay value according to which group the lines belong to The automatic placement and routing apparatus according to claim 5. 前記制御信号線のグループに属する配線と前記バス配線のグループに属する配線とを交互に配置することを特徴とする請求項8記載の自動配置配線装置。  9. The automatic placement and routing apparatus according to claim 8, wherein wiring belonging to the group of control signal lines and wiring belonging to the group of bus wirings are alternately arranged. 前記配線幅・配線間隔決定手段は、配線がいずれのグループ属するかに応じて計算式または計算に用いる代入値を変更することを特徴する請求項8記載の自動配置配線方法。  9. The automatic placement and routing method according to claim 8, wherein the wiring width / wiring interval determining means changes a calculation formula or a substitution value used for the calculation according to which group the wiring belongs to.
JP2001016709A 2001-01-25 2001-01-25 Automatic placement and routing apparatus and automatic placement and routing method Expired - Fee Related JP3641209B2 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2001016709A JP3641209B2 (en) 2001-01-25 2001-01-25 Automatic placement and routing apparatus and automatic placement and routing method

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2001016709A JP3641209B2 (en) 2001-01-25 2001-01-25 Automatic placement and routing apparatus and automatic placement and routing method

Publications (2)

Publication Number Publication Date
JP2002222229A JP2002222229A (en) 2002-08-09
JP3641209B2 true JP3641209B2 (en) 2005-04-20

Family

ID=18883025

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2001016709A Expired - Fee Related JP3641209B2 (en) 2001-01-25 2001-01-25 Automatic placement and routing apparatus and automatic placement and routing method

Country Status (1)

Country Link
JP (1) JP3641209B2 (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11557584B2 (en) 2020-06-09 2023-01-17 Samsung Electronics Co., Ltd. Integrated circuit including simple cell interconnection and method of designing the same

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2006023799A (en) * 2004-07-06 2006-01-26 Toppan Printing Co Ltd Circuit board design support apparatus
JP5603768B2 (en) * 2010-12-28 2014-10-08 株式会社東芝 Semiconductor integrated circuit wiring method, semiconductor circuit wiring apparatus, and semiconductor integrated circuit
WO2021261986A1 (en) * 2020-06-25 2021-12-30 Mimos Berhad A method of generating layout of integrated circuit (ic) design

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11557584B2 (en) 2020-06-09 2023-01-17 Samsung Electronics Co., Ltd. Integrated circuit including simple cell interconnection and method of designing the same

Also Published As

Publication number Publication date
JP2002222229A (en) 2002-08-09

Similar Documents

Publication Publication Date Title
Pavlidis et al. Interconnect-based design methodologies for three-dimensional integrated circuits
US10418354B2 (en) Integrated circuit and computer-implemented method of manufacturing the same
Das et al. Design tools for 3-D integrated circuits
Hsu et al. TSV-aware analytical placement for 3-D IC designs based on a novel weighted-average wirelength model
US7143385B2 (en) Wiring design method and system for electronic wiring boards
US6397375B1 (en) Method for managing metal resources for over-the-block routing in integrated circuits
JP2008009776A (en) Design method of semiconductor integrated circuit, design device, semiconductor integrated circuit system, semiconductor integrated circuit mounting substrate, package, and semiconductor integrated circuit
US10831972B2 (en) Capacity model for global routing
US7612599B2 (en) Semiconductor device
JP2001274254A (en) Automatic layout and wiring method for semiconductor integrated circuit
JP3548553B2 (en) Semiconductor device and power supply wiring method between internal power supply terminals thereof
Kong et al. Optimal simultaneous pin assignment and escape routing for dense PCBs
JP3641209B2 (en) Automatic placement and routing apparatus and automatic placement and routing method
Chen et al. A new approach to the ball grid array package routing
Ozdal et al. Simultaneous escape-routing algorithms for via minimization of high-speed boards
US20200175130A1 (en) Constructing VIA Meshes for High Performance Routing on Silicon Chips
Minz et al. Block-level 3-D global routing with an application to 3-D packaging
JPH10173058A (en) Method for arrangement and interconnection
JP3548398B2 (en) Schematic route determination method and schematic route determination method
Ozdal et al. An escape routing framework for dense boards with high-speed design constraints
JP3554479B2 (en) Automatic placement and routing method and automatic placement and routing device
Liu et al. Substrate topological routing for high-density packages
CN109376464B (en) Thermal via insertion method in three-dimensional integrated circuit
Khokhani et al. Placement of variable size Circuits on LSI Masterslices
Titus et al. A visualization-based approach for bump-pad/IO-ball placement and routing in flip-chip/BGA technology

Legal Events

Date Code Title Description
A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20040615

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20040622

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20040823

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20041102

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20041202

A911 Transfer to examiner for re-examination before appeal (zenchi)

Free format text: JAPANESE INTERMEDIATE CODE: A911

Effective date: 20041207

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20050118

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20050120

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20080128

Year of fee payment: 3

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20090128

Year of fee payment: 4

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20090128

Year of fee payment: 4

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20100128

Year of fee payment: 5

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20110128

Year of fee payment: 6

LAPS Cancellation because of no payment of annual fees