JP3476688B2 - Netlist generation method and netlist generation device - Google Patents

Netlist generation method and netlist generation device

Info

Publication number
JP3476688B2
JP3476688B2 JP28801798A JP28801798A JP3476688B2 JP 3476688 B2 JP3476688 B2 JP 3476688B2 JP 28801798 A JP28801798 A JP 28801798A JP 28801798 A JP28801798 A JP 28801798A JP 3476688 B2 JP3476688 B2 JP 3476688B2
Authority
JP
Japan
Prior art keywords
module
name
suffix
identifier
power supply
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
JP28801798A
Other languages
Japanese (ja)
Other versions
JP2000113024A (en
Inventor
任布 濱崎
Original Assignee
旭化成マイクロシステム株式会社
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 旭化成マイクロシステム株式会社 filed Critical 旭化成マイクロシステム株式会社
Priority to JP28801798A priority Critical patent/JP3476688B2/en
Publication of JP2000113024A publication Critical patent/JP2000113024A/en
Application granted granted Critical
Publication of JP3476688B2 publication Critical patent/JP3476688B2/en
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Landscapes

  • Design And Manufacture Of Integrated Circuits (AREA)

Description

【発明の詳細な説明】Detailed Description of the Invention

【0001】[0001]

【発明の属する技術分野】本発明は、回路の設計に必要
な回路設計データ中のパラメータを変更す装置に係
り、特に、設計作業の容易化を図るとともに、設計の信
頼性の向上を図り、しかもセルライブラリの管理を容易
に行うことのできネットリスト生成装置に関する。
BACKGROUND OF THE INVENTION The present invention relates to a device to change the parameters in the circuit design data necessary for the design of the circuit, in particular, with facilitated the design work, aims to improve the reliability of the design , moreover it relates net list generating apparatus that can the be managing the cell library easily.

【0002】[0002]

【従来の技術】一般に、半導体LSIを設計する際は、
その規模が大きいことから設計対象となる回路を複数の
機能ブロックに分割し、それら機能ブロックをさらに細
かいセルに分割するといった要領で、多数のセルを階層
的に結合させることにより設計対象となる回路を設計し
ている。このため、各セルの回路設計データは、それぞ
れ個々のモジュールに記録されるが、これらセルを用い
て設計される機能ブロックの回路設計データは、各セル
のモジュールを参照したかたちでモジュールに記録さ
れ、さらにこれら機能ブロックを用いて設計させる設計
対象となる回路の回路設計データは、各機能ブロックの
モジュールを参照したかたちでモジュールに記録され
る。したがって、最終的に、設計対象となる回路の回路
設計データは、回路設計データを記録した複数のモジュ
ールを、階層的にリンクさせた状態で記憶装置等に格納
される。なお、回路設計段階からレイアウト段階に移行
する際は、これら各モジュールの回路設計データを関連
付けてひとまとめにしたネットリストを生成し、このネ
ットリストを利用してレイアウト設計が行われる。
2. Description of the Related Art Generally, when designing a semiconductor LSI,
Due to its large scale, the circuit to be designed is divided into multiple functional blocks, and these functional blocks are further divided into smaller cells. Are designed. Therefore, the circuit design data of each cell is recorded in each individual module, but the circuit design data of the functional block designed by using these cells is recorded in the module by referring to the module of each cell. Further, the circuit design data of the circuit to be designed which is designed by using these functional blocks is recorded in the module by referring to the module of each functional block. Therefore, finally, the circuit design data of the circuit to be designed is stored in a storage device or the like in a state in which a plurality of modules in which the circuit design data is recorded are hierarchically linked. When shifting from the circuit design stage to the layout stage, the circuit design data of each module are associated with each other to generate a netlist, and the layout design is performed using this netlist.

【0003】一方、半導体LSIでは、電源ノイズの影
響を最小限にするため、回路中で複数の電源を利用する
場合があり、回路設計段階後のレイアウト設計段階にお
いて、それら電源の接続状態を変更する必要が生じる場
合がある。そうした場合は、回路設計段階に戻って再度
電源の接続設定を行うのであるが、従来、回路設計デー
タ中のパラメータの一つである電源名を、設計の後に変
更する場合は、例えば、名称を変更しようとする電源を
利用したすべての回路のモジュールをそれぞれ一つずつ
読み出してコンピュータ等の画面に表示させ、画面上で
キーボード等の入力を行いながらその電源名を新たな電
源名に変更するという変更作業を、利用箇所すべてにつ
いて行っていた。
On the other hand, in a semiconductor LSI, in order to minimize the influence of power supply noise, a plurality of power supplies may be used in the circuit, and the connection state of these power supplies is changed in the layout design stage after the circuit design stage. May need to be done. In such a case, the power supply connection setting is performed again after returning to the circuit design stage.However, conventionally, when changing the power supply name, which is one of the parameters in the circuit design data, after designing, for example, change the name. It is said that each circuit module that uses the power supply to be changed is read out one by one and displayed on the screen of a computer, etc., and the power supply name is changed to a new power supply name while inputting with the keyboard etc. on the screen. The change work was done for all usage points.

【0004】[0004]

【発明が解決しようとする課題】このように、従来のパ
ラメータを変更する手法にあっては、設計対象となる回
路の規模が小さく、名称を変更しようとする電源を利用
した回路が少ない場合は、変更作業にさほどの労力も要
さないが、設計対象となる回路の規模が大きくなり、名
称を変更しようとする電源を利用した回路が多数にわた
る場合は、変更作業が膨大となるばかりでなく、変更過
程でミスや見落としが生じやすくなる。特に、電源は、
回路の設計仕様によってまちまちであるため、共通のラ
イブラリを設けるなどして一元的に管理するのが困難で
あった。
As described above, in the conventional method for changing the parameters, when the scale of the circuit to be designed is small and the number of circuits using the power supply whose name is to be changed is small. Although the change work does not require much labor, if the scale of the circuit to be designed becomes large and there are many circuits using the power supply whose name is to be changed, not only will the change work become enormous. , It is easy to make mistakes and oversights during the change process. In particular, the power supply
Since it varies depending on the circuit design specifications, it is difficult to centrally manage it by providing a common library.

【0005】 そこで、本発明は、このような従来の技
術の有する未解決の課題に着目してなされたものであっ
て、設計作業の容易化を図るとともに、設計の信頼性の
向上を図り、しかもセルライブラリの管理を容易に行う
ことのできネットリスト生成装置を提供することを目
的としている。
Therefore, the present invention has been made by paying attention to such unsolved problems of the conventional techniques, and facilitates design work and improves design reliability. Moreover it is an object to provide a net list generation apparatus that can the be managing the cell library easily.

【0006】[0006]

【0007】[0007]

【0008】[0008]

【0009】[0009]

【0010】[0010]

【0011】[0011]

【課題を解決するための手段】記目的を達成するため
に、本発明に係る請求項記載のネットリスト生成装置
は、回路を設計するために必要な回路設計データを含む
複数のモジュールを階層的にリンクさせて記憶手段に格
納しておき、前記モジュールのモジュール名に所定の識
別子を与えると、前記各モジュールの回路設計データを
関連付けてひとまとめにしたネットリストを生成する装
置であって、前記モジュールのモジュール名から前記識
別子を取得する識別子取得手段と、前記モジュールを前
記記憶手段から読み出すモジュール読出手段と、前記識
別子を取得したモジュールの階層およびこれより下位の
階層における前記モジュールの回路設計データ中のパラ
メータを前記取得した識別子を参照して一括して変更す
るパラメータ変更手段と、を備え、前記記憶手段は、前
記識別子の種別と変更規則とを対応付けたテーブルを記
憶しておき、前記パラメータ変更手段は、前記取得した
識別子に基づいて前記テーブルにより変更規則を決定
し、前記決定した変更規則に基づいて前記モジュールの
回路設計データ中のパラメータを変更するようになって
いる
To achieve the above Symbol purposes SUMMARY OF THE INVENTION, the net list generating apparatus according to claim 1, wherein according to the present invention, a plurality of modules including a circuit design data necessary for designing the circuit A device for generating a netlist, which is hierarchically linked and stored in a storage unit, and is given a predetermined identifier to the module name of the module to associate the circuit design data of each of the modules with each other, Identifier acquisition means for acquiring the identifier from the module name of the module, module reading means for reading the module from the storage means, circuit hierarchy of the module that has acquired the identifier, and circuit design data of the module in a hierarchy lower than the hierarchy. Parameter change to change the parameters in the batch with reference to the acquired identifier It comprises a stage, wherein the storage means, before
Write a table that associates identifier types with change rules.
Recall that the parameter changing means has acquired the
Change rule is determined from the table based on the identifier
Of the module based on the determined change rule.
The parameters in the circuit design data have been changed
There is .

【0012】 このような構成であれば、記憶手段のモ
ジュールのうちいずれかのモジュールのモジュール名に
識別子を与えると、識別子取得手段により、識別子が与
えられたモジュールからその識別子が取得され、モジュ
ール読出手段により、各モジュールが記憶手段から読み
出される。各モジュールが読み出されると、パラメータ
変更手段により、取得された識別子に基づいてテーブル
により変更規則が決定され、決定された変更規則に基づ
いて、読み出されたモジュールのうち、識別子が与えら
れたモジュールの階層およびこれよりも下位の階層にお
けるモジュールのすべての回路設計データ中のパラメー
タが、取得された識別子を参照して一括して変更され、
それら変更されたパラメータに基づいてネットリストが
生成される。
With such a configuration, when an identifier is given to the module name of any one of the modules of the storage unit, the identifier acquisition unit acquires the identifier from the module to which the identifier is given, and reads the module. Each module is read from the storage means by the means. When each module is read, the parameter changing unit creates a table based on the acquired identifier.
The change rule is determined by the
In addition , among the read modules, the parameters in all the circuit design data of the module hierarchy to which the identifier is given and the module hierarchy lower than this are collectively changed with reference to the acquired identifier. Is
A netlist is generated based on the changed parameters.

【0013】ここで、異なる階層における複数のモジュ
ールのモジュール名にそれぞれ識別子を与えた場合は、
各モジュールの回路設計データ中のパラメータは、その
変更の際に、自己の階層から上位の階層を見たときに最
も近い階層のモジュールのモジュール名に与えられた識
別子が参照されるようになっている。
If identifiers are given to the module names of a plurality of modules in different layers,
When changing the parameters in the circuit design data of each module, the identifier given to the module name of the module in the closest hierarchy when referring to the upper hierarchy from its own hierarchy is referred to. There is.

【0014】 また、本発明に係る請求項記載のネッ
トリスト生成装置は、請求項記載のネットリスト生成
装置において、前記識別子を与えたモジュールのうち最
上位のものの階層およびこれより下位の階層における前
記モジュールの回路設計データ中のパラメータを変更す
る際は、自己の階層から上位の階層を見たときに前記複
数の識別子のうち最も近い階層のモジュールのモジュー
ル名に与えたものを参照して一括して行う処理と、前記
識別子を与えたモジュールのうち最上位のものの階層お
よびこれより下位の階層における前記モジュールの回路
設計データ中のパラメータを、前記識別子を与えたモジ
ュールのうち最上位のものに与えた前記識別子を参照し
て一括して変更する処理とのいずれかを設定する手段を
備え、前記パラメータ変更手段は、前記設定に基づいて
前記処理のいずれかにより前記パラメータを変更するよ
うになっている
Further, a netlist generating device according to a second aspect of the present invention is the netlist generating device according to the first aspect , in which the module among the modules to which the identifier is given is selected.
Before in the hierarchy above and below
Change the parameters in the circuit design data of the module.
When you look at the upper hierarchy from your own hierarchy,
The module of the module of the nearest hierarchy of the number identifier
Process that refers to the one given to the
The hierarchy of the highest module among the modules that gave identifiers
And the circuit of the module in a lower hierarchy
The parameters in the design data are the parameters that are given the identifier.
Refer to the identifier given to the top of the
And the method of setting either one of the processing to change all at once
And the parameter changing means is based on the setting.
Change the parameters by any of the above
Growling .

【0015】 このような構成であれば、設定手段によ
り前記処理のいずれかが設定されると、パラメータ変更
手段により、その設定に基づいて前記処理のいずれかに
よりパラメータが変更される
With such a configuration, the setting means
If any of the above processing is set, the parameters will be changed.
By means of any of the above processes based on its settings
The parameters are changed .

【0016】 さらに、本発明に係る請求項記載のネ
ットリスト生成装置は、請求項1および2のいずれかに
記載のネットリスト生成装置において、前記モジュール
名には、モジュール名称とモジュールサフィックスとが
含まれており、前記識別子を前記モジュールサフィック
スとして与えておき、前記識別子取得手段は、前記モジ
ュールのモジュールサフィックスを取得するようになっ
ている。
Further, the netlist generating device according to claim 3 of the present invention is the netlist generating device according to claim 1 or 2 , wherein the module name includes a module name and a module suffix. It is included, and the identifier is given as the module suffix, and the identifier acquisition means acquires the module suffix of the module.

【0017】このような構成であれば、識別子取得手段
により、モジュールのモジュールサフィックスが取得さ
れる。
With such a configuration, the module suffix of the module is obtained by the identifier obtaining means.

【0018】 さらに、本発明に係る請求項記載のネ
ットリスト生成装置は、請求項1ないし3のいずれかに
記載のネットリスト生成装置において、前記パラメータ
は、電源名である。
Further, a netlist generating device according to a fourth aspect of the present invention is the netlist generating device according to any of the first to third aspects, in which the parameter is a power supply name.

【0019】このような構成であれば、パラメータ変更
手段により、読み出されたモジュールの回路設計データ
中の電源名が、取得された識別子を参照して一括して変
更される。
With such a configuration, the parameter changing means collectively changes the power supply name in the read circuit design data of the module with reference to the acquired identifier.

【0020】[0020]

【発明の実施の形態】以下、本発明の実施の形態を図面
を参照しながら説明する。図1ないし図5は、本発明に
係るネットリスト生成装置の実施の形態を示す図であ
る。
BEST MODE FOR CARRYING OUT THE INVENTION Embodiments of the present invention will be described below with reference to the drawings. 1 to 5 are diagrams showing an embodiment of a net list generating device according to the present invention.

【0021】この実施の形態は、本発明に係るネットリ
スト生成装置を、レイアウトを含むすべてのCADツー
ルに必要なネットリストを生成するグローバルネットア
サイン機能を実現するのに適用したものであって、具体
的には、回路を設計するために必要な回路設計データを
含む複数のモジュールを階層的にリンクさせた状態にお
いて、モジュールに対してネットアサイン指定を行うこ
とにより、各モジュールの回路設計データ中の電源名を
一括して変更する場合について適用したものである。
In this embodiment, the netlist generating apparatus according to the present invention is applied to realize a global net assigning function for generating a netlist necessary for all CAD tools including layouts. Specifically, in the state in which a plurality of modules including circuit design data necessary for designing a circuit are hierarchically linked, net assignment is designated for each module, so that This is applied when collectively changing the power supply name of.

【0022】まず、本発明に係るネットリスト生成装置
の構成を説明する。図1は、本発明に係るネットリスト
生成装置の構成を示すブロック図である。
First, the structure of the net list generating apparatus according to the present invention will be described. FIG. 1 is a block diagram showing the configuration of a netlist generation device according to the present invention.

【0023】コンピュータ10は、図1に示すように、
所定のプログラムに基づいて演算およびシステム全体を
制御するCPU12と、所定領域にあらかじめCPU1
2のプログラム等を格納しているROM14と、ROM
14等から読み出したデータやCPU12の演算過程で
必要な演算結果を格納するためのRAM16と、RAM
16の特定領域に格納されているデータを画像信号に変
換して出力するCRTC18と、外部装置とのデータの
入出力を媒介するI/F20と、で構成されており、こ
れらは、データを転送するための信号線であるバス29
で相互にかつデータ授受可能に接続されている。
The computer 10, as shown in FIG.
A CPU 12 that controls arithmetic operations and the entire system based on a predetermined program, and a CPU 1 in a predetermined area in advance.
ROM 14 which stores the program of 2 and the like
RAM 16 for storing data read from the CPU 14 and the like, and a calculation result necessary for the calculation process of the CPU 12, and a RAM
It is composed of a CRTC 18 that converts data stored in 16 specific areas into an image signal and outputs the image signal, and an I / F 20 that mediates input / output of data to / from an external device, and these transfer data. Bus 29 which is a signal line for
They are connected to each other and can exchange data.

【0024】I/F20には、外部装置として、ヒュー
マンインターフェースとしてデータの入力が可能なキー
ボードやマウス等からなる入力装置30と、モジュール
等を格納する記憶装置40と、が接続されている。
The I / F 20 is connected as an external device with an input device 30 such as a keyboard and a mouse that can input data as a human interface, and a storage device 40 that stores modules and the like.

【0025】RAM16は、特定領域として、表示装置
50に表示するための表示用データを格納するVRAM
17を有しており、VRAM17は、CPU12とCR
TC18とで独立にアクセスが可能となっている。
The RAM 16 is a VRAM for storing display data to be displayed on the display device 50 as a specific area.
VRAM 17 has a CPU 12 and a CR.
It can be accessed independently from TC18.

【0026】CRTC18には、出力した画像信号を画
面に表示する表示装置50が接続されており、CRTC
18は、VRAM17に格納されている表示用データを
先頭アドレスから所定周期で順次読み出し、読み出した
表示用データを画像信号に変換して表示装置50に出力
するようになっている。
A display device 50 for displaying the output image signal on the screen is connected to the CRTC 18, and the CRTC 18 is connected to the CRTC 18.
18 sequentially reads the display data stored in the VRAM 17 from the head address at a predetermined cycle, converts the read display data into an image signal, and outputs the image signal to the display device 50.

【0027】次に、記憶装置40に格納されているデー
タについて図2を参照しながら説明する。図2は、ネッ
トアサイン定義テーブルの構成を示す図である。
Next, the data stored in the storage device 40 will be described with reference to FIG. FIG. 2 is a diagram showing the structure of the net assignment definition table.

【0028】記憶装置40には、複数のモジュールが階
層的にリンクさせられて記憶されている。モジュールの
回路設計データには、別のモジュールを利用した回路素
子(インスタンス)が含まれている場合があり、この場
合、モジュールには、利用するインスタンスであるモジ
ュールを特定するために、そのモジュール名が記録され
ている。すなわち、モジュールが階層的にリンクさせら
れた状態とは、あるモジュールの回路設計データにイン
スタンスが含まれ、そのインスタンスであるモジュール
の回路設計データにもさらにインスタンスが含まれてい
る状態をいう。
A plurality of modules are hierarchically linked and stored in the storage device 40. The circuit design data of a module may include a circuit element (instance) that uses another module. In this case, the module has its module name in order to identify the module that is the instance to be used. Is recorded. That is, the state in which the modules are linked hierarchically means a state in which the circuit design data of a certain module includes an instance, and the circuit design data of the module that is the instance further includes an instance.

【0029】さらに、記憶装置40には、図2に示すよ
うに、モジュールに対して行うネットアサイン指定によ
ってモジュールの回路設計データ中の電源名をどのよう
に変更するかを規定したネットアサイン定義テーブルT
Bが記憶されている。モジュールに対して行うネットア
サイン指定とは、所定の変更規則に従ってモジュールの
回路設計データ中の電源名を変更することを指示するた
めのアサインサフィックスを、モジュールのサフィック
ス名として与えることである。すなわち、ネットアサイ
ン定義テーブルTBは、このようなアサインサフィック
スと変更規則とを対応付けたものを複数登録したテーブ
ルである。例えば、図2中、アサインサフィックス“.
A”は、電源名“VDD”を電源名“DRVDD”に変更
し、電源名“VSS”を電源名“DRVSS”に変更し、電
源名“BVDD”を電源名“DRVDD”に変更することを
指示するためのものである。
Further, in the storage device 40, as shown in FIG. 2, a net assignment definition table that defines how to change the power supply name in the circuit design data of the module by the net assignment designation given to the module. T
B is stored. The net assignment designation given to the module is to give an assign suffix for instructing to change the power supply name in the circuit design data of the module according to a predetermined change rule as the suffix name of the module. That is, the net assignment definition table TB is a table in which a plurality of such correspondences of assignment suffixes and change rules are registered. For example, in FIG. 2, the assignment suffix “.
In the case of “A”, the power supply name “V DD ” is changed to the power supply name “DRV DD ”, the power supply name “V SS ” is changed to the power supply name “DRV SS ”, and the power supply name “BV DD ” is changed to the power supply name “DRV DD It is for instructing to change to ".

【0030】次に、CPU12の構成およびここで実行
される処理を図3を参照しながら説明する。図3は、回
路の設計およびレイアウトを行うための処理を示すフロ
ーチャートである。
Next, the configuration of the CPU 12 and the processing executed here will be described with reference to FIG. FIG. 3 is a flowchart showing a process for designing and laying out a circuit.

【0031】CPU12は、マイクロプロセッシングユ
ニットMPU等からなり、回路の設計およびレイアウト
を行うときは、ROM14の所定領域に格納されている
所定のプログラムを起動させ、図3のフローチャートに
示す処理を実行するようになっている。
The CPU 12 is composed of a micro processing unit MPU or the like, and when designing and laying out a circuit, it activates a predetermined program stored in a predetermined area of the ROM 14 and executes the processing shown in the flowchart of FIG. It is like this.

【0032】まず、回路の設計およびレイアウトを行う
ための処理について、例を挙げて説明する。CPU12
においてこの処理が実行されると、図3に示すように、
ステップS100に移行して、一般の電源名を用いて回
路の設計および設計検証を行い、ステップS102に移
行して、ネットアサイン定義テーブルTBを作成し、ス
テップS104に移行して、最上位の階層におけるモジ
ュールに対してのみネットアサイン指定を行い、ステッ
プS106に移行して、本発明に係るネットリスト生成
処理を行って各階層におけるモジュールの回路設計デー
タ中の電源名を変更し、ステップS108に移行するよ
うになっている。
First, a process for designing and laying out a circuit will be described with an example. CPU12
When this process is executed in, as shown in FIG.
The process proceeds to step S100 to perform circuit design and design verification using a general power supply name, the process proceeds to step S102 to create the net assignment definition table TB, the process proceeds to step S104, and the highest hierarchy The net assignment is designated only for the module in step S106, the process proceeds to step S106, the net list generation process according to the present invention is performed to change the power supply name in the circuit design data of the module in each layer, and the process proceeds to step S108. It is supposed to do.

【0033】ステップS108では、レイアウトおよび
レイアウト検証を行い、ステップS110に移行して、
電源名に関する修正があるか否かを判定し、修正がある
と判定されたとき(Yes) は、ステップS112に移行し
て、最上位の階層におけるモジュールに対してのみネッ
トアサイン指定を行い、ステップS106に戻るように
なっている。一方、ステップS110で電源名に関する
修正がないと判定されたとき(No) は、一連の処理を終
了するようになっている。
In step S108, layout and layout verification are performed, and the process proceeds to step S110.
If it is determined that there is a correction related to the power supply name (Yes), the process proceeds to step S112, the net assignment is specified only for the module in the highest hierarchy, and the step is performed. The process returns to S106. On the other hand, when it is determined in step S110 that there is no correction regarding the power supply name (No), a series of processing is ended.

【0034】次に、上記ステップS102、S104、
S106、S112の各処理の詳細を図4を参照しなが
ら説明する。
Next, in steps S102, S104,
Details of each processing of S106 and S112 will be described with reference to FIG.

【0035】ネットアサイン定義テーブル作成ステップ
S102は、詳細には図4のステップS200、S20
2、S204、S206、S208で表される処理が行
われる。すなわち、ステップS102では、図4に示す
ように、ステップS200に移行して、ネットアサイン
定義テーブルTBを記憶装置40からRAM16に読み
出し、ステップS202に移行して、ネットアサイン定
義テーブルTBの内容を更新するか否かを設計者に表示
する。ネットアサイン定義テーブルTBの内容を更新す
る場合(Yes) には、ステップS204に移行して、アサ
インサフィックスに対する変更規則を定義したネットア
サイン定義情報を入力装置30から入力し、ステップS
206に移行するようになっている。
The net assignment definition table creating step S102 will be described in detail in steps S200 and S20 of FIG.
2, the processing represented by S204, S206, and S208 is performed. That is, in step S102, as shown in FIG. 4, the process proceeds to step S200, the net assignment definition table TB is read from the storage device 40 to the RAM 16, and the process proceeds to step S202 to update the contents of the net assignment definition table TB. Display to the designer whether to do or not. If the content of the net assignment definition table TB is updated (Yes), the process proceeds to step S204, the net assignment definition information defining the change rule for the assignment suffix is input from the input device 30, and the step S204 is performed.
It moves to 206.

【0036】ステップS206では、入力したネットア
サイン定義情報に基づいてネットアサイン定義テーブル
TBを更新し、ステップS208に移行して、更新した
ネットアサイン定義テーブルTBを記憶装置40に格納
し、ステップS202に戻るようになっている。
In step S206, the net assignment definition table TB is updated based on the input net assignment definition information, the process proceeds to step S208, the updated net assignment definition table TB is stored in the storage device 40, and in step S202. I'm supposed to come back.

【0037】次に、ネットアサイン指定ステップS10
4は、図4におけるステップS210、S212、S2
14、S218で表される処理が行われる。すなわち、
ステップS202でネットアサイン定義テーブルTBの
内容を更新しない場合(No)には、ステップS210に
移行して、各モジュールを記憶装置40からRAM16
に読み出し、ステップS212に移行して、モジュール
のアサインサフィックスを更新するか否かを設計者に表
示し、更新する場合(Yes) には、ステップS214に移
行して、アサインサフィックスを入力して、パラメータ
を入力装置30から入力し、ステップS218に移行す
るようになっている。ステップS218では、更新した
モジュールを記憶装置40に格納し、ステップS212
に戻るようになっている。
Next, a net assign designation step S10.
4 is steps S210, S212, S2 in FIG.
14, the process represented by S218 is performed. That is,
If the contents of the net assignment definition table TB are not updated in step S202 (No), the process proceeds to step S210, and each module is transferred from the storage device 40 to the RAM 16
Read out to step S212 and display to the designer whether or not to update the assignment suffix of the module. When updating (Yes), go to step S214 and input the assignment suffix, Parameters are input from the input device 30, and the process proceeds to step S218. In step S218, the updated module is stored in the storage device 40, and then in step S212.
To return to.

【0038】さらに、ネットリスト生成処理ステップS
106は、図4におけるステップS220、S221で
表される処理が行われる。すなわち、ステップS212
でモジュールのアサインサフィックスを更新しないと設
計者が決定した場合(No) には、ステップS220に移
行して、モジュールの回路設計データ中の電源名を変更
しながらネットリストを生成する電源名変更処理を実行
し、ステップS221に移行して、電源名変更処理にお
いて生成されたネットリストを記憶装置40に格納し、
ステップS222に移行して、各種検証および自動ツー
ルの実行を行い、元の処理(ステップS108)に復帰
するようになっている。
Further, a netlist generation processing step S
At 106, the processes represented by steps S220 and S221 in FIG. 4 are performed. That is, step S212
If the designer decides not to update the assignment suffix of the module in (No), the process proceeds to step S220, and the power supply name change process for generating the netlist while changing the power supply name in the circuit design data of the module. And the process proceeds to step S221 to store the netlist generated in the power supply name changing process in the storage device 40,
The process proceeds to step S222, various verifications and execution of the automatic tool are performed, and the process returns to the original process (step S108).

【0039】次に、上記ステップS220の電源名変更
処理を図5を参照しながら説明する。図5は、電源名変
更処理を示すフローチャートである。
Next, the power supply name changing process in step S220 will be described with reference to FIG. FIG. 5 is a flowchart showing the power supply name changing process.

【0040】この電源名変更処理は、上位の階層から下
位の階層に向けて各モジュールの回路設計データ中の電
源名を再帰的に変更するようになっており、上記ステッ
プS220の処理を実行する際は、最上位の階層におけ
るモジュールのモジュール名と、そのモジュールに対し
てネットアサイン指定が行われているときはそのアサイ
ンサフィックス名とを引数として与えて、当該処理の呼
び出しを行う。
In this power supply name changing process, the power supply name in the circuit design data of each module is recursively changed from the upper layer to the lower layer, and the process of step S220 is executed. At this time, the module name of the module in the highest layer and the assignment suffix name of the module when the net assignment is specified for the module are given as arguments to call the process.

【0041】このようにして処理の呼び出しが行われる
と、図5に示すように、引数として与えられたモジュー
ル名が文字変数moduleに格納されるとともに、引数とし
て与えられたアサインサフィックスが文字変数ass-suff
ixに格納され、ステップS300に移行して、文字変数
moduleのモジュール名に対応したモジュールを記憶装置
40からRAM16に読み出し、ステップS302に移
行して、文字変数ass-suffixに何らかのアサインサフィ
ックスが格納されているか否かを判定し、文字変数ass-
suffixにアサインサフィックスが格納されていると判定
されたとき(Yes) は、ステップS304に移行するよう
になっている。
When the processing is called in this way, as shown in FIG. 5, the module name given as an argument is stored in the character variable module, and the assignment suffix given as an argument is changed to the character variable ass. -suff
stored in ix, the process proceeds to step S300, and a character variable
The module corresponding to the module name of module is read from the storage device 40 to the RAM 16, the process proceeds to step S302, it is determined whether or not any assignment suffix is stored in the character variable ass-suffix, and the character variable ass-
If it is determined that the suffix is stored in suffix (Yes), the process proceeds to step S304.

【0042】ステップS304では、文字変数ass-suff
ixのアサインサフィックスに基づいてネットアサイン定
義テーブルTBにより変更規則を決定し、決定した変更
規則に従ってステップS300で読み出したモジュール
の回路設計データ中のすべての電源名を変更し、ステッ
プS306に移行して、ステップS300で読み出した
モジュールの回路設計データ中のインスタンスを検索
し、ステップS308に移行して、インスタンスがある
か否かを判定し、インスタンスがあると判定されたとき
(Yes) は、ステップS310に移行するようになってい
る。
In step S304, the character variable ass-suff
Change rules are determined by the net assignment definition table TB based on the assignment suffix of ix, all power supply names in the circuit design data of the module read in step S300 are changed according to the determined change rules, and the process proceeds to step S306. , When an instance in the circuit design data of the module read in step S300 is searched, it is determined in step S308 whether or not there is an instance, and when it is determined that there is an instance
(Yes) is adapted to move to step S310.

【0043】ステップS310では、文字変数cur-suff
ixに文字変数ass-suffixの内容を格納し、ステップS3
12に移行して、対象インスタンスであるモジュールに
対してネットアサイン指定が行われているか否かを判定
し、ネットアサイン指定が行われている判定されたとき
(Yes) は、ステップS314に移行して、文字変数ass-
suffixに何らかのアサインサフィックスが格納されてい
るか否か、または下位ネットアサイン指定優先設定が有
効であるか否かを判定し、文字変数ass-suffixにアサイ
ンサフィックスが格納されていないと判定されたとき、
または下位ネットアサイン指定優先設定が有効であると
判定されたとき(Yes) は、ステップS316に移行する
ようになっている。
In step S310, the character variable cur-suff
The content of the character variable ass-suffix is stored in ix, and step S3
When it is determined that the net assignment is specified for the module that is the target instance and whether or not the net assignment is specified, the process proceeds to 12.
(Yes) moves to step S314 and the character variable ass-
When it is determined whether suffix has any assignment suffix stored, or whether the lower net assignment specification priority setting is valid, and it is determined that the assignment suffix is not stored in the character variable ass-suffix,
Alternatively, when it is determined that the lower net assign designation priority setting is valid (Yes), the process proceeds to step S316.

【0044】このステップS314で、下位ネットアサ
イン指定優先設定とは、上位の階層におけるモジュール
に対してすでにネットアサイン指定が行われている場合
に、さらに下位の階層におけるモジュールに対して異な
るネットアサイン指定が行われているときに、その下位
の階層およびこれよりも下位の階層におけるモジュール
の回路設計データ中の電源名を変更する際に、上位のも
のに対して行われたネットアサイン指定よりも、下位の
ものに対して行われたネットアサイン指定を優先するか
否かの設定である。すなわち、この設定が有効の場合
は、下位のものに対して行われたネットアサイン指定が
優先されるが、この設定が無効の場合は、下位のものに
対してネットアサイン指定が行われていても、これを無
視して、上位のものに対して行われたネットアサイン指
定が優先される。この有効無効の設定は、例えば、フラ
グ変数の“0”,“1”の切換により行う。
In this step S314, the lower net assign designation priority setting means that when the net assign designation is already made to the module in the upper hierarchy, a different net assign designation is made to the module in the lower hierarchy. When the power supply name in the circuit design data of the module in the lower hierarchy and the lower hierarchy is changed while This is a setting as to whether or not to give priority to the net assignment designation made to the lower one. In other words, if this setting is enabled, the net assignment specified for the lower one will take precedence, but if this setting is disabled, the net assignment will be specified for the lower one. However, ignoring this, the net assignment designation given to the higher order is prioritized. This valid / invalid setting is performed, for example, by switching flag variables “0” and “1”.

【0045】ステップS316では、文字変数cur-suff
ixに対象インスタンスであるモジュールのアサインサフ
ィックスを格納し、ステップS318に移行して、文字
変数cur-moduleに対象インスタンスであるモジュールの
モジュール名を格納し、ステップS320に移行して、
文字変数cur-moduleおよび文字変数cur-suffixを引数と
して本電源変更処理を再帰的に呼び出し、処理が復帰し
たらステップS322に移行して、ステップS300で
読み出したモジュールの回路設計データ中の次のインス
タンスを検索し、ステップS308に戻るようになって
いる。
In step S316, the character variable cur-suff
The assignment suffix of the module that is the target instance is stored in ix, the process proceeds to step S318, the module name of the module that is the target instance is stored in the character variable cur-module, and the process proceeds to step S320.
This power supply changing process is recursively called with the character variable cur-module and the character variable cur-suffix as arguments, and when the process returns, the process proceeds to step S322, and the next instance in the circuit design data of the module read in step S300. Is searched and the process returns to step S308.

【0046】一方、ステップS314で、文字変数ass-
suffixにアサインサフィックスが格納されていると判定
されたとき、または下位ネットアサイン指定優先設定が
無効であると判定されたとき(No) は、ステップS31
8に移行するようになっている。
On the other hand, in step S314, the character variable ass-
If it is determined that the suffix is stored in suffix, or if it is determined that the lower net assign designation priority setting is invalid (No), step S31.
It is designed to move to 8.

【0047】また一方、ステップS312で、対象イン
スタンスであるモジュールに対してネットアサイン指定
が行われていないと判定されたとき(No) は、ステップ
S318に移行するようになっている。
On the other hand, when it is determined in step S312 that the module that is the target instance has not been assigned a net assignment (No), the process proceeds to step S318.

【0048】また一方、ステップS308で、ステップ
S300で読み出したモジュールの回路設計データ中に
インスタンスがないと判定されたとき(No) は、元の処
理(ステップS220またはステップS322)に復帰
するようになっている。
On the other hand, when it is determined in step S308 that there is no instance in the circuit design data of the module read in step S300 (No), the original process (step S220 or step S322) is returned to. Has become.

【0049】また一方、ステップS302で、文字変数
ass-suffixにアサインサフィックスが格納されていない
と判定されたとき(No) は、ステップS306に移行す
るようになっている。
On the other hand, in step S302, the character variable
When it is determined that the assign suffix is not stored in the ass-suffix (No), the process proceeds to step S306.

【0050】次に、上記実施の形態の動作を図面を参照
しながら説明する。図6ないし図8は、本発明に係るネ
ットリスト生成方法の実施の形態の動作を説明するため
の図である。
Next, the operation of the above embodiment will be described with reference to the drawings. 6 to 8 are diagrams for explaining the operation of the embodiment of the netlist generating method according to the present invention.

【0051】いま、ステップS100において、電源名
“VDD”,“VSS”,“BVDD”,“BVSS”を用いて
回路の設計および設計検証を行った結果、図6に示すよ
うな回路が設計されたものとする。すなわち、最上位の
階層には、図6(a)に示すように、インスタンスとし
て、モジュールBLK-A と、モジュールBLK-B と、モジュ
ールBLK-C と、が含まれており、これらのうちモジュー
ルBLK-A には、図6(b)に示すように、インスタンス
として、使用する電源名として“VDD”を設定したモジ
ュールA1と、使用する電源名として“VSS”を設定した
モジュールA2と、が含まれている。さらに、モジュール
A1には、図6(c)に示すように、インスタンスとし
て、モジュールDF1 と、インバータ回路としてのモジュ
ールINV1と、が含まれており、これらのうちモジュール
INV1には、図6(d)に示すように、使用する電源名と
して“VDD”,“BVDD”を設定したp型MOSと、使
用する電源名として“VSS”,“BVSS”を設定したn
型MOSと、が含まれている。
Now, in step S100, as a result of designing and verifying the circuit using the power supply names "V DD ", "V SS ", "BV DD ", and "BV SS ", as shown in FIG. It is assumed that the circuit has been designed. That is, as shown in FIG. 6A, the highest hierarchy includes modules BLK-A, modules BLK-B, and modules BLK-C, among which modules As shown in FIG. 6 (b), BLK-A includes, as an instance, a module A1 in which “V DD ” is set as a power supply name to be used, and a module A2 in which “V SS ” is set as a power supply name to be used. ,It is included. Furthermore, the module
As shown in FIG. 6C, A1 includes a module DF1 as an instance and a module INV1 as an inverter circuit.
As shown in FIG. 6 (d), the INV1 has a p-type MOS in which "V DD " and "BV DD " are set as power source names to be used, and "V SS " and "BV SS " as power source names to be used. Set n
Type MOS and are included.

【0052】次いで、ステップS104において、図7
(a)に示すように、最上位の階層における各モジュー
ルBLK-A ,BLK-B ,BLK-C に対してネットアサイン指定
を行う。ここでは、モジュールBLK-A にアサインサフィ
ックス“.A”を与え、モジュールBLK-B にアサインサ
フィックス“.T”を与え、モジュールBLK-C にアサイ
ンサフィックス“.R”を与えるものとする。
Then, in step S104, as shown in FIG.
As shown in (a), net assignment is designated for each module BLK-A, BLK-B, and BLK-C in the highest layer. Here, it is assumed that the module BLK-A is given the assignment suffix ".A", the module BLK-B is given the assignment suffix ".T", and the module BLK-C is given the assignment suffix ".R".

【0053】次いで、ステップS104およびS10
6、ステップS200からS218までを経て、ネット
アサイン定義テーブルTBの更新およびモジュールの回
路設計データ中のパラメータの更新を適宜行ったものと
すると、ステップS220において、ネットアサイン定
義テーブルTBが参照され、最上位の階層およびこれよ
り下位の階層におけるモジュールの回路設計データ中の
電源名が変更される。なお、下位ネットアサイン指定優
先設定は、無効にしておく。
Then, steps S104 and S10.
6. If it is assumed that the net assignment definition table TB and the parameters in the circuit design data of the module have been appropriately updated through steps S200 to S218, the net assignment definition table TB is referred to in step S220, and The power supply name in the circuit design data of the module in the upper layer and the lower layer is changed. The lower net assign designation priority setting is invalid.

【0054】電源名が変更される過程を具体的に説明す
ると、まず、ステップS304において、変更対象とな
る電源名が存在しないため電源名の変更は行われない
が、最上位の階層に3つのインスタンスがあるので、ス
テップS308において、始めにモジュールBLK-A が検
出される。次いで、ステップS312において、モジュ
ールBLK-A に対してネットアサイン指定が行われている
と判定されるので、ステップS316,S318におい
て、モジュールBLK-A のアサインサフィックス“.A”
が文字変数cur-suffixに格納されるとともに、モジュー
ルBLK-A のモジュール名“BLK-A ”が文字変数cur-modu
leに格納される。そして、この文字変数cur-moduleおよ
び文字変数cur-suffixを引数として電源名変更処理が再
帰的に呼び出される。
The process of changing the power supply name will be described in detail. First, in step S304, the power supply name is not changed because there is no power supply name to be changed. Since there is an instance, the module BLK-A is first detected in step S308. Next, in step S312, since it is determined that the net assignment designation is made to the module BLK-A, in steps S316 and S318, the assignment suffix ".A" of the module BLK-A.
Is stored in the character variable cur-suffix, and the module name “BLK-A” of module BLK-A is stored in the character variable cur-modu.
Stored in le. Then, the power supply name changing process is recursively called with the character variable cur-module and the character variable cur-suffix as arguments.

【0055】再帰処理が実行されると、ステップS30
4において、図7(b)に示すように、ネットアサイン
定義テーブルTBのアサインサフィックス“.A”に対
応する変更規則に従って、モジュールBLK-A の回路設計
データ中、モジュールA1が使用する電源名“VDD”が新
たな電源名“DRVDD”に変更され、モジュールA2が使
用する電源名“VSS”が新たな電源名“DRVSS”に変
更される。次いで、モジュールBLK-A に2つのインスタ
ンスがあるので、始めにモジュールA1が検出され、文字
変数ass-suffixの内容、すなわちアサインサフィックス
“.A”が文字変数cur-suffixに格納され、モジュール
A1に対してネットアサイン指定が行われていないと判定
されるので、モジュールA1のモジュール名“A1”が文字
変数cur-moduleに格納される。そして、この文字変数cu
r-moduleおよび文字変数cur-suffixを引数として電源名
変更処理が再帰的に呼び出される。
When the recursive process is executed, step S30
7, in accordance with the change rule corresponding to the assignment suffix “.A” in the net assignment definition table TB, as shown in FIG. 7B, the power supply name “module A1” in the circuit design data of the module BLK-A is used. The V DD "is changed to a new power supply name" DRV DD "and the power supply name" V SS "used by the module A2 is changed to a new power supply name" DRV SS ". Next, since module BLK-A has two instances, module A1 is detected first, and the contents of character variable ass-suffix, that is, the assignment suffix “.A” is stored in character variable cur-suffix,
Since it is determined that the net assignment is not specified for A1, the module name “A1” of the module A1 is stored in the character variable cur-module. And this character variable cu
The power supply name change process is recursively called with the r-module and the character variable cur-suffix as arguments.

【0056】再帰処理が実行されると、変更対象となる
電源名が存在しないため電源名の変更は行われないが、
図7(c)に示すように、モジュールA1に2つのインス
タンスがあるので、始めにモジュールINV1が検出され、
文字変数ass-suffixの内容が文字変数cur-suffixに格納
される。次いで、モジュールINV1に対してネットアサイ
ン指定が行われていないと判定されるので、モジュール
INV1のモジュール名“INV1”が文字変数cur-moduleに格
納される。そして、この文字変数cur-moduleおよび文字
変数cur-suffixを引数として電源名変更処理が再帰的に
呼び出される。
When the recursive process is executed, the power supply name to be changed does not exist, so the power supply name is not changed.
As shown in FIG. 7C, since module A1 has two instances, module INV1 is detected first,
The contents of the character variable ass-suffix are stored in the character variable cur-suffix. Next, since it is determined that the net assignment is not specified for the module INV1, the module INV1
The module name "INV1" of INV1 is stored in the character variable cur-module. Then, the power supply name changing process is recursively called with the character variable cur-module and the character variable cur-suffix as arguments.

【0057】再帰処理が実行されると、図7(d)に示
すように、ネットアサイン定義テーブルTBのアサイン
サフィックス“.A”に対応する変更規則に従って、モ
ジュールINV1の回路設計データ中、p型MOSが使用す
る電源名“VDD”,“BVDD”が新たな電源名“DRV
DD”,“DRVDD”に変更され、n型MOSが使用する
電源名“VSS”,“BVSS”が新たな電源名“DR
SS”,“DRVSS”に変更されるが、モジュールINV1
にはインスタンスがないので、元の処理に復帰させられ
る。
When the recursive process is executed, as shown in FIG. 7 (d), according to the change rule corresponding to the assignment suffix ".A" in the net assignment definition table TB, the p-type in the circuit design data of the module INV1 The power supply names “V DD ” and “BV DD ” used by the MOS are the new power supply names “DRV”
The power supply names "V SS " and "BV SS " used by the n-type MOS have been changed to " DD " and "DRV DD ".
Changed to V SS "," DRV SS ", but module INV1
Since there is no instance in, it can be returned to the original processing.

【0058】処理が復帰すると、図7(c)に戻って、
モジュールA1のうちモジュールDF1についての電源名変
更処理が実行される。モジュールDF1 についての電源名
変更処理が終了すると、モジュールA1には未処理のイン
スタンスがないので、元の処理に復帰させられる。処理
が復帰すると、図7(b)に戻って、モジュールBLK-A
のうちモジュールA2についての電源名変更処理が実行さ
れる。モジュールA2についての電源名変更処理が終了す
ると、モジュールBLK-A には未処理のインスタンスがな
いので、元の処理に復帰させられる。
When the process returns, the process returns to FIG.
The power supply name changing process for the module DF1 of the modules A1 is executed. When the power supply name changing process for the module DF1 is completed, there is no unprocessed instance in the module A1 and the process is returned to the original process. When the processing is restored, the processing returns to FIG. 7B, and the module BLK-A
Of these, the power supply name changing process for the module A2 is executed. When the power name change process for module A2 is completed, there is no unprocessed instance in module BLK-A, so the process is returned to the original process.

【0059】さらに処理が復帰すると、図7(a)に戻
って、最上位の階層においてモジュールBLK-B について
の電源名変更処理が実行されるが、モジュールBLK-B に
対してはネットアサイン指定が行われているので、これ
よりも下位の階層におけるモジュールの回路設計データ
中の電源名は、モジュールBLK-B のアサインサフィック
ス“.T”に基づいて変更される。次いで、最上位の階
層においてモジュールBLK-C についての電源名変更処理
が実行されるが、モジュールBLK-C に対してもネットア
サイン指定が行われているので、これよりも下位の階層
におけるモジュールの回路設計データ中の電源名は、モ
ジュールBLK-C のアサインサフィックス“.R”に基づ
いて変更される。モジュールBLK-C についての電源名変
更処理が終了すると、最上位の階層には未処理のインス
タンスがないので、一連の処理が終了される。
When the process further returns, the process returns to FIG. 7A and the power supply name changing process for the module BLK-B is executed at the highest level, but the net assign designation is made for the module BLK-B. Is performed, the power supply name in the circuit design data of the module in the lower hierarchy is changed based on the assignment suffix “.T” of the module BLK-B. Next, the power supply name changing process for the module BLK-C is executed in the highest layer, but since the net assignment is specified for the module BLK-C as well, the module names in the lower layers are lower. The power supply name in the circuit design data is changed based on the assignment suffix ".R" of the module BLK-C. When the power supply name changing process for the module BLK-C is completed, there is no unprocessed instance in the highest hierarchy, so the series of processes is completed.

【0060】以上のように、与えたアサインサフィック
スを参照して電源名を変更しながらネットリストが生成
されるわけであるが、一連の処理が終了したのちは、ス
テップS221において、生成されたネットリストが記
憶装置40に格納される。
As described above, the net list is generated while changing the power supply name by referring to the assigned assignment suffix. After the series of processes is completed, the net list is generated in step S221. The list is stored in the storage device 40.

【0061】次に、最上位の階層におけるモジュールに
対してだけでなく、これよりも下位の階層におけるモジ
ュールA1に対してもネットアサイン指定を行った場合を
説明する。この場合、下位ネットアサイン指定優先設定
は、有効にしておく。
Next, a case will be described in which the net assignment is specified not only for the module in the uppermost layer but also for the module A1 in the lower layer. In this case, the lower net assign designation priority setting is valid.

【0062】モジュールBLK-A の電源名を変更するまで
の動作は、上記と同様なので説明を省略し、以下では、
これ以降の動作について説明する。ステップS308に
おいてモジュールA1が検出されると、図8(b)に示す
ように、ステップS312において、モジュールA1に対
してネットアサイン指定が行われていると判定されるの
で、モジュールA1のアサインサフィックス“.D”が文
字変数cur-suffixに格納されるとともに、モジュールA1
のモジュール名“A1”が文字変数cur-moduleに格納され
る。そして、この文字変数cur-moduleおよび文字変数cu
r-suffixを引数として電源名変更処理が再帰的に呼び出
される。
The operation up to changing the power supply name of the module BLK-A is the same as above, so the description thereof will be omitted.
The subsequent operation will be described. When the module A1 is detected in step S308, as shown in FIG. 8B, it is determined in step S312 that the net assignment is designated for the module A1. .D ”is stored in the character variable cur-suffix and module A1
The module name “A1” of is stored in the character variable cur-module. And this character variable cur-module and character variable cu
The power supply name change process is recursively called with r-suffix as an argument.

【0063】再帰処理が実行されると、変更対象となる
電源名が存在しないため電源名の変更は行われないが、
図8(c)に示すように、モジュールA1に2つのインス
タンスがあるので、始めにモジュールINV1が検出され、
文字変数ass-suffixの内容が文字変数cur-suffixに格納
される。次いで、モジュールINV1に対してネットアサイ
ン指定が行われていないと判定されるので、モジュール
INV1のモジュール名“INV1”が文字変数cur-moduleに格
納される。そして、この文字変数cur-moduleおよび文字
変数cur-suffixを引数として電源名変更処理が再帰的に
呼び出される。
When the recursive processing is executed, the power supply name to be changed does not exist, so the power supply name is not changed.
As shown in FIG. 8 (c), since module A1 has two instances, module INV1 is detected first,
The contents of the character variable ass-suffix are stored in the character variable cur-suffix. Next, since it is determined that the net assignment is not specified for the module INV1, the module INV1
The module name "INV1" of INV1 is stored in the character variable cur-module. Then, the power supply name changing process is recursively called with the character variable cur-module and the character variable cur-suffix as arguments.

【0064】再帰処理が実行されると、図8(d)に示
すように、ネットアサイン定義テーブルTBのアサイン
サフィックス“.D”に対応する変更規則に従って、モ
ジュールINV1の回路設計データ中、p型MOSが使用す
る電源名“VDD”,“BVDD”が新たな電源名“D
DD”,“DVDD”に変更され、n型MOSが使用する
電源名“VSS”,“BVSS”が新たな電源名“D
SS”,“DVSS”に変更されるが、モジュールINV1に
はインスタンスがないので、元の処理に復帰させられ
る。なお、処理が復帰した後も、上記同様なので説明を
省略する。
When the recursive process is executed, as shown in FIG. 8D, according to the change rule corresponding to the assignment suffix ".D" of the net assignment definition table TB, the p-type in the circuit design data of the module INV1 Power supply names “V DD ” and “BV DD ” used by MOS are new power supply names “D”
The power supply names "V SS " and "BV SS " used by the n-type MOS are changed to V DD "and" DV DD "
Although it is changed to V SS ”and“ DV SS ”, since there is no instance in the module INV1, it can be returned to the original process. Note that the description is omitted because the process is the same after the process is restored.

【0065】さて、上記説明では、モジュールのサフィ
ックス名に何も設定されていない状態で、そのサフィッ
クス名にアサインサフィックスを与えると、与えられた
アサインサフィックスを参照して電源名が変更され、そ
の変更内容に基づいてネットリストが生成されたが、モ
ジュールのサフィックス名にすでにアサインサフィック
が与えられている状態で、そのアサインサフィックスを
新たなアサインサフィックに設定しなおすと、新たなア
サインサフィックスを参照して電源名が変更され、その
変更内容に基づいてネットリストが生成される。
In the above description, if nothing is set in the suffix name of the module and an assign suffix is given to the suffix name, the power name is changed with reference to the given assign suffix, and the change is made. A netlist is generated based on the content, but if the suffix name of the module has already been assigned an assignment suffix and the assignment suffix is set to a new assignment suffix, the new assignment suffix will be referenced. Then, the power supply name is changed, and a netlist is generated based on the changed contents.

【0066】また、モジュールのサフィックス名にすで
にアサインサフィックが与えられている状態で、そのア
サインサフィックスを削除すると、モジュールの回路設
計データ中で設定されている電源名のままで、ネットリ
ストが生成される。
Further, if the assignment suffix is already given to the suffix name of the module and the assignment suffix is deleted, a netlist is generated with the power supply name set in the circuit design data of the module. To be done.

【0067】このようにして、複数のモジュールを階層
的にリンクさせて記憶した記憶装置40を備え、モジュ
ールに対してネットアサイン指定を行うと、そのモジュ
ールからアサインサフィックスを取得し、取得したアサ
インサフィックスに対応する変更規則に従って、ネット
アサイン指定を行ったモジュールの階層およびこれより
下位の階層におけるモジュールの回路設計データ中の電
源名を変更するようにしたから、設計の初期段階におい
て、電源の接続関係や定義を考慮せずに設計を行い、設
計の後半段階において、電源分割を行う必要のあるモジ
ュールに対してネットアサイン指定を行って必要な電源
名を変更するだけでよいので、設計そのものが非常に容
易となるとともに、修正や変更も容易となる。また、ネ
ットアサイン指定を行ったモジュールの階層以下の階層
におけるモジュールの回路設計データ中の電源名が一括
して変更されるので、変更過程でミスや見落としが生じ
る可能性を低減することができる。さらに、ひとつのレ
イアウトに対して、設計側のセルを用意しておけばよ
く、セルライブラリの管理が容易となるし、設計データ
とレイアウトの対応が1対1なので、設計エラーが生じ
る可能性を低減することができる。
In this way, the storage device 40 in which a plurality of modules are hierarchically linked and stored is provided, and when the net assignment is designated for the module, the assignment suffix is acquired from the module and the obtained assignment suffix is acquired. The power supply name in the circuit design data of the module hierarchy and the hierarchy lower than that specified in accordance with the change rule corresponding to the above is changed. Design is done without considering the definition and definition, and in the latter half of the design, it is sufficient to change the necessary power supply name by specifying the net assignment for the module that needs power supply division. In addition to being easy, it is easy to modify and change. Further, since the power source names in the circuit design data of the modules in the layers below the layer of the module to which the net assignment is designated are collectively changed, it is possible to reduce the possibility of mistakes and oversights in the changing process. Furthermore, it is only necessary to prepare the cells on the design side for one layout, the cell library can be easily managed, and the correspondence between the design data and the layout is one-to-one, so a design error may occur. It can be reduced.

【0068】したがって、従来に比して、設計作業を容
易に行うことができるとともに、設計の信頼性を向上す
ることができ、しかもセルライブラリの管理を容易に行
うこともできる。
Therefore, the design work can be performed more easily, the reliability of the design can be improved, and the cell library can be managed more easily than ever before.

【0069】さらに、異なる階層における複数のモジュ
ールに対してネットアサイン指定を行うと、ネットアサ
イン指定を行ったモジュールのうち最上位のものの階層
およびこれより下位の階層におけるモジュールを記憶装
置40から読み出し、読み出した各モジュールの回路設
計データ中の電源名を変更する際は、自己の階層から上
位の階層を見たときにそれらアサインサフィックスのう
ち最も近い階層のモジュールに与えたものを参照して一
括して行う処理を、下位ネットアサイン指定優先設定の
有効/無効により選択的に行うようにしたから、モジュ
ールの回路設計データ中の電源名の変更をきめ細かく行
うことができる。
Further, when the net assignment is designated for a plurality of modules in different layers, the highest layer of the modules for which the net assignment is designated and the modules in the lower layers are read from the storage device 40, When changing the power supply name in the read circuit design data of each module, refer to the one assigned to the module in the closest hierarchy among those assignment suffixes when you look at the upper hierarchy from your own hierarchy. Since the processing to be performed by the lower net assign designation priority setting is enabled / disabled selectively, the power supply name in the circuit design data of the module can be finely changed.

【0070】さらに、ネットアサイン定義テーブルTB
を記憶装置40に記憶しておき、取得したアサインサフ
ィックスに基づいてネットアサイン定義テーブルTBに
より変更規則を決定し、決定した変更規則に従って、読
み出したモジュールの回路設計データ中の電源名を変更
するようにしたから、所望する複数の変更規則をネット
アサイン定義テーブルTBに定義しておき、アサインサ
フィックスをサフィックス名として与えるだけで、所望
する複数の電源名を一括して変更できるので、電源名を
変更するときの手続きを簡単に行うことができるととも
に、モジュールの回路設計データ中の電源名の変更をき
め細かく行うことができる。
Further, the net assignment definition table TB
Is stored in the storage device 40, the change rule is determined by the net assignment definition table TB based on the acquired assignment suffix, and the power supply name in the circuit design data of the read module is changed according to the determined change rule. Therefore, it is possible to collectively change the desired power supply names by simply defining the desired change rules in the net assignment definition table TB and giving the assign suffix as the suffix name. It is possible to easily carry out the procedure at the time of performing, and to finely change the power supply name in the circuit design data of the module.

【0071】また、モジュールの回路設計データ中の電
源名を変更することを指示するためのアサインサフィッ
クスを、モジュールのサフィックス名として与え、電源
名を変更する際は、モジュールのサフィックスを取得
し、これに基づいて行うようにしたから、モジュールに
対してネットアサイン指定を簡単に行うことができるの
で、設計作業をさらに容易に行うことができる。
Further, an assign suffix for instructing to change the power supply name in the circuit design data of the module is given as the suffix name of the module, and when changing the power supply name, the suffix of the module is acquired and Since the net assignment can be easily specified for the module, the design work can be further facilitated.

【0072】なお、上記実施の形態においては、モジュ
ールの回路設計データ中の電源名を変更するように構成
したが、これに限らず、モジュールの回路設計データ中
の他のパラメータを変更するように構成してもよい。
In the above embodiment, the power supply name in the circuit design data of the module is changed. However, the invention is not limited to this, and other parameters in the circuit design data of the module may be changed. You may comprise.

【0073】さらに、上記実施の形態において、図3な
いし図5のフローチャートに示す処理を実行するにあた
ってはいずれも、ROM14にあらかじめ格納されてい
るプログラムを実行する場合について説明したが、これ
に限らず、これらの手順を示したプログラムが記録され
た記録媒体から、そのプログラムをRAM16に読み込
んで実行するようにしてもよい。
Further, in the above-described embodiments, the case where the program stored in advance in the ROM 14 is executed has been described for executing the processes shown in the flowcharts of FIGS. 3 to 5, but the present invention is not limited to this. Alternatively, the program may be read into the RAM 16 from a recording medium in which the program showing these procedures is recorded and executed.

【0074】ここで、記録媒体とは、RAM、ROM等
の半導体記憶媒体、FD、HD等の磁気記憶型記憶媒
体、CD、CDV、LD、DVD等の光学的読取方式記
憶媒体、MO等の磁気記憶型/光学的読取方式記憶媒体
であって、電子的、磁気的、光学的等の読み取り方法の
いかんにかかわらず、コンピュータで読み取り可能な記
録媒体であれば、あらゆる記録媒体を含むものである。
Here, the recording medium includes a semiconductor storage medium such as RAM and ROM, a magnetic storage type storage medium such as FD and HD, an optical reading type storage medium such as CD, CDV, LD and DVD, and MO. It is a magnetic storage type / optical reading type storage medium, and includes any recording medium as long as it is a computer-readable recording medium regardless of a reading method such as electronic, magnetic, or optical.

【0075】 上記実施の形態において、アサインサフ
ィックスは、請求項1ないし3記載の識別子に対応し、
記憶装置40は、請求項記載の記憶手段に対応してい
る。
In the above embodiment, the assignment suffix corresponds to the identifier according to claims 1 to 3 ,
The storage device 40 corresponds to the storage means described in claim 1 .

【0076】[0076]

【0077】[0077]

【0078】[0078]

【0079】[0079]

【0080】[0080]

【0081】[0081]

【発明の効果】以上説明したように、本発明に係る請求
1ないし4記載のネットリスト生成装置によれば、従
来に比して、設計作業を容易に行うことができるととも
に、設計の信頼性を向上することができ、しかもセルラ
イブラリの管理を容易に行うこともできるという効果が
得られる。さらに、パラメータを変更するときの手続き
を簡単に行うことができるとともに、回路設計データ中
のパラメータの変更をきめ細かく行うことができるとい
う効果も得られる。
As described above, according to the net list generating apparatus according to the first aspect of the present invention, the design work can be performed more easily and the reliability of the design can be improved as compared with the conventional one. Therefore, it is possible to improve the efficiency and easily manage the cell library. In addition, the procedure for changing parameters
Can be performed easily, and in the circuit design data
You can make detailed changes to the parameters of
There is also an effect.

【0082】[0082]

【0083】 さらに、本発明に係る請求項記載のネ
ットリスト生成装置によれば、パラメータを変更すると
きの手続きをさらに簡単に行うことができるという効果
も得られる。
Further, according to the netlist generating device according to the third aspect of the present invention, it is possible to obtain the effect that the procedure for changing the parameter can be performed more easily.

【0084】 さらに、本発明に係る請求項記載のネ
ットリスト生成装置によれば、回路設計データ中の電源
名を変更する場合に好適に適用することができるという
効果も得られる。
Further, according to the netlist generating device of the fourth aspect of the present invention, there is an effect that it can be suitably applied when changing the power supply name in the circuit design data.

【図面の簡単な説明】[Brief description of drawings]

【図1】本発明に係るネットリスト生成装置の構成を示
すブロック図である。
FIG. 1 is a block diagram showing a configuration of a netlist generation device according to the present invention.

【図2】ネットアサイン定義テーブルの構成を示す図で
ある。
FIG. 2 is a diagram showing a configuration of a net assignment definition table.

【図3】回路の設計およびレイアウトを行うための処理
を示すフローチャートである。
FIG. 3 is a flowchart showing a process for designing and laying out a circuit.

【図4】ネットリスト生成処理を示すフローチャートで
ある。
FIG. 4 is a flowchart showing a netlist generation process.

【図5】電源名変更処理を示すフローチャートである。FIG. 5 is a flowchart showing a power supply name changing process.

【図6】実施の形態の動作を説明するための図である。FIG. 6 is a diagram for explaining the operation of the embodiment.

【図7】実施の形態の動作を説明するための図である。FIG. 7 is a diagram for explaining the operation of the embodiment.

【図8】実施の形態の動作を説明するための図である。FIG. 8 is a diagram for explaining the operation of the embodiment.

【符号の説明】[Explanation of symbols]

10 コンピュータ 12 CPU 14 ROM 16 RAM 17 VRAM 18 CRTC 29 バス 30 入力装置 40 記憶装置 50 表示装置 TB ネットアサイン定義テーブル 10 computers 12 CPU 14 ROM 16 RAM 17 VRAM 18 CRTC 29 bus 30 input device 40 storage 50 display TB net assignment definition table

Claims (4)

(57)【特許請求の範囲】(57) [Claims] 【請求項1】 回路を設計するために必要な回路設計デ
ータを含む複数のモジュールを階層的にリンクさせて記
憶手段に格納しておき、前記モジュールのモジュール名
に所定の識別子を与えると、前記各モジュールの回路設
計データを関連付けてひとまとめにしたネットリストを
生成する装置であって、 前記モジュールのモジュール名から前記識別子を取得す
る識別子取得手段と、前記モジュールを前記記憶手段か
ら読み出すモジュール読出手段と、前記識別子を取得し
たモジュールの階層及びこれより下位の階層における前
記モジュールの回路設計データ中のパラメータを前記取
得した識別子を参照して一括して変更するパラメータ変
更手段と、を備え 前記記憶手段は、前記識別子の種別と変更規則とを対応
付けたテーブルを記憶しておき、 前記パラメータ変更手段は、前記取得した識別子に基づ
いて前記テーブルにより変更規則を決定し、前記決定し
た変更規則に基づいて前記モジュールの回路設計データ
中のパラメータを変更するようになっている ことを特徴
とするネットリスト生成装置
1. A plurality of modules containing circuit design data necessary for designing a circuit are hierarchically linked and stored in a storage means, and a predetermined identifier is given to the module name of the module, An apparatus for generating a netlist in which circuit design data of each module are associated with each other, and an identifier acquisition unit for acquiring the identifier from the module name of the module, and a module reading unit for reading the module from the storage unit. And a parameter changing unit that collectively changes the parameters in the circuit design data of the module in the hierarchy of the module that has acquired the identifier and the hierarchy lower than that, and the storage unit. Corresponds to the type of the identifier and the change rule
The attached table is stored, and the parameter changing unit is based on the acquired identifier.
Change rules according to the table,
Circuit design data of the module based on the change rule
A netlist generation device characterized in that the parameters therein are changed .
【請求項2】 請求項1において、 前記識別子を与えたモジュールのうち最上位のものの階
層及びこれより下位の階層における前記モジュールの回
路設計データ中のパラメータを変更する際は、自己の階
層から上位の階層を見たときに前記複数の識別子のうち
最も近い階層のモジュールのモジュール名に与えたもの
を参照して一括して行う処理と、 前記識別子を与えたモジュールのうち最上位のものの階
層及びこれより下位の階層における前記モジュールの回
路設計データ中のパラメータを、前記識別子を与えたモ
ジュールのうち最上位のものに与えた前記識別子を参照
して一括して変更する処理とのいずれかを設定する手段
を備え、 前記パラメータ変更手段は、前記設定に基づいて前記処
理のいずれかにより前記パラメータを変更するようにな
っている ことを特徴とするネットリスト生成装置
2. The floor of the highest module of the modules given the identifier according to claim 1.
The times of the module in the layer and lower layers
When changing the parameters in the road design data,
When looking at the upper layer from the layer, among the plurality of identifiers
The one given to the module name of the closest module
And the floor of the highest module among the modules given the identifier.
The times of the module in the layer and lower layers
The parameters in the road design data are
Refer to the identifier given to the top of the modules
And a method to set any of the processing to change all at once
And the parameter changing means performs the processing based on the setting.
Or change the above parameters by any reason.
Net list producing device according to claim that you are me.
【請求項3】 請求項1及び2のいずれかにおいて、 前記モジュール名には、モジュール名称とモジュールサ
フィックスとが含まれており、前記識別子を前記モジュ
ールサフィックスとして与えておき、 前記識別子取得手段は、前記モジュールのモジュールサ
フィックスを取得するようになっていることを特徴とす
るネットリスト生成装置
3. The module name according to claim 1 , wherein the module name includes a module name and a module suffix, and the identifier is given as the module suffix. A netlist generating device, wherein the module suffix of the module is acquired.
【請求項4】 請求項1乃至3のいずれかにおいて、 前記パラメータは、電源名であることを特徴とするネッ
トリスト生成装置
4. The netlist generating device according to claim 1 , wherein the parameter is a power supply name.
JP28801798A 1998-10-09 1998-10-09 Netlist generation method and netlist generation device Expired - Lifetime JP3476688B2 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP28801798A JP3476688B2 (en) 1998-10-09 1998-10-09 Netlist generation method and netlist generation device

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP28801798A JP3476688B2 (en) 1998-10-09 1998-10-09 Netlist generation method and netlist generation device

Publications (2)

Publication Number Publication Date
JP2000113024A JP2000113024A (en) 2000-04-21
JP3476688B2 true JP3476688B2 (en) 2003-12-10

Family

ID=17724741

Family Applications (1)

Application Number Title Priority Date Filing Date
JP28801798A Expired - Lifetime JP3476688B2 (en) 1998-10-09 1998-10-09 Netlist generation method and netlist generation device

Country Status (1)

Country Link
JP (1) JP3476688B2 (en)

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4620241B2 (en) * 2000-12-21 2011-01-26 旭化成エレクトロニクス株式会社 Semiconductor device design support apparatus and method
JP2003058593A (en) * 2001-08-15 2003-02-28 Ricoh Co Ltd Netlist preparing device and program
KR100486274B1 (en) * 2002-10-24 2005-04-29 삼성전자주식회사 Method for generating net-list for integrated circuit device design
JP4496055B2 (en) * 2004-10-12 2010-07-07 株式会社リコー Circuit data creation apparatus for multi-power supply layout and circuit data creation method thereof

Also Published As

Publication number Publication date
JP2000113024A (en) 2000-04-21

Similar Documents

Publication Publication Date Title
JP3027009B2 (en) Design capture system
US6889370B1 (en) Method and apparatus for selecting and aligning cells using a placement tool
US8001509B2 (en) Method for programming a mask-programmable logic device and device so programmed
US7159202B2 (en) Methods, apparatus and computer program products for generating selective netlists that include interconnection influences at pre-layout and post-layout design stages
JPH11505943A (en) Automated Megacell Generation Method for Integrated Circuit Design System
JP2004502259A (en) Method and system for checking tiered metal terminations, surroundings, and exposure
JP2001519958A (en) Method and system for generating optimal physical embodiments from high-level descriptions of electronic designs
JPH10171848A (en) Method for designing architecture system
US6378114B1 (en) Method for the physical placement of an integrated circuit adaptive to netlist changes
JP3476688B2 (en) Netlist generation method and netlist generation device
US11704467B2 (en) Automated balanced global clock tree synthesis in multi level physical hierarchy
US8196085B1 (en) Interactive design optimization techniques and interface
US20170090882A1 (en) Program development support system and program development support software
JP6981296B2 (en) Bus wiring search program, bus wiring search method and information processing device
JP5835498B2 (en) LSI and LSI manufacturing method
JPH09232436A (en) Method and apparatus for logic synthesis, and method for designing semiconductor integrated circuit
Enns et al. Designing FPGAs and Reconfigurable SoCs Using Methods of Program Analysis and Prototyping
JPH0415873A (en) Control method for test pattern for logical circuit simulation
JP2003036281A (en) System, method and program for creating circuit symbol
JP2002151594A (en) Design method for semiconductor integrated circuit and design support apparatus for semiconductor integrated circuit
CN118153509A (en) Method and device for realizing layout wiring of FPGA
JPS62121579A (en) Functional block developing system
JP2003196337A (en) Circuit simulation method, its device and program
JPH07262238A (en) Layout design support device for semiconductor integrated circuit
JPH1185490A (en) Engineering system

Legal Events

Date Code Title Description
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20030909

S111 Request for change of ownership or part of ownership

Free format text: JAPANESE INTERMEDIATE CODE: R313111

R360 Written notification for declining of transfer of rights

Free format text: JAPANESE INTERMEDIATE CODE: R360

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20080926

Year of fee payment: 5

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20080926

Year of fee payment: 5

R360 Written notification for declining of transfer of rights

Free format text: JAPANESE INTERMEDIATE CODE: R360

R371 Transfer withdrawn

Free format text: JAPANESE INTERMEDIATE CODE: R371

S531 Written request for registration of change of domicile

Free format text: JAPANESE INTERMEDIATE CODE: R313531

S111 Request for change of ownership or part of ownership

Free format text: JAPANESE INTERMEDIATE CODE: R313111

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20080926

Year of fee payment: 5

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20090926

Year of fee payment: 6

S531 Written request for registration of change of domicile

Free format text: JAPANESE INTERMEDIATE CODE: R313531

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20090926

Year of fee payment: 6

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20100926

Year of fee payment: 7

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20110926

Year of fee payment: 8

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20110926

Year of fee payment: 8

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20120926

Year of fee payment: 9

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130926

Year of fee payment: 10

EXPY Cancellation because of completion of term