JP3348553B2 - Forming connection holes - Google Patents

Forming connection holes

Info

Publication number
JP3348553B2
JP3348553B2 JP32836994A JP32836994A JP3348553B2 JP 3348553 B2 JP3348553 B2 JP 3348553B2 JP 32836994 A JP32836994 A JP 32836994A JP 32836994 A JP32836994 A JP 32836994A JP 3348553 B2 JP3348553 B2 JP 3348553B2
Authority
JP
Japan
Prior art keywords
etching
connection hole
film
layer
insulating film
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP32836994A
Other languages
Japanese (ja)
Other versions
JPH08186111A (en
Inventor
哲治 長山
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Sony Corp
Original Assignee
Sony Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Sony Corp filed Critical Sony Corp
Priority to JP32836994A priority Critical patent/JP3348553B2/en
Publication of JPH08186111A publication Critical patent/JPH08186111A/en
Application granted granted Critical
Publication of JP3348553B2 publication Critical patent/JP3348553B2/en
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Landscapes

  • Drying Of Semiconductors (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Description

【発明の詳細な説明】DETAILED DESCRIPTION OF THE INVENTION

【0001】[0001]

【産業上の利用分野】本発明は半導体プロセス等の微細
加工分野に適用される接続孔の形成方法に関し、特に接
続孔のアスペクト比が大きい場合にも後工程において上
層配線材料による埋め込みを容易化するための断面形状
の改良に関する。
BACKGROUND OF THE INVENTION 1. Field of the Invention The present invention relates to a method for forming a connection hole applied to the field of microfabrication such as a semiconductor process. To the improvement of the cross-sectional shape in order to perform

【0002】[0002]

【従来の技術】VLSI,ULSIのように高集積化,
高性能化が進展した近年の半導体装置においては、その
製造過程において絶縁膜のエッチングに対する技術的要
求がますます厳しくなっている。絶縁膜のエッチングの
中でも特に難度の高いものが、コンタクト・ホールやビ
ア・ホールと呼ばれる接続孔を開口するためのエッチン
グである。この背景には、多層配線構造の採用により増
大するデバイスの表面段差を吸収するために絶縁膜で平
坦化が行われるようになり、これに接続孔そのものの直
径の縮小が加わって接続孔のアスペクト比が著しく増大
している事情がある。このような条件の下では、高エッ
チレート,高選択比,低ダメージ,マイクロローティン
グ効果の低減といった諸要求をいずれも実用レベルで満
足させながらドライエッチングを行うことが極めて困難
となっている。
2. Description of the Related Art High integration, such as VLSI and ULSI,
2. Description of the Related Art In recent years, in semiconductor devices with higher performance, technical requirements for etching an insulating film in the manufacturing process have become more and more severe. Among the etchings of the insulating film, particularly difficult is etching for opening a connection hole called a contact hole or a via hole. This is due to the fact that flattening is performed with an insulating film to absorb the surface steps of the device, which has increased due to the adoption of the multilayer wiring structure, and this has been accompanied by a reduction in the diameter of the connection hole itself. There are circumstances where the ratio has increased significantly. Under these conditions, it is extremely difficult to perform dry etching while satisfying all requirements at a practical level, such as a high etch rate, a high selectivity, a low damage, and a reduction in the micro-rotating effect.

【0003】半導体装置の製造プロセスにおいて絶縁膜
として用いられる代表的な材料は、酸化シリコン(Si
x :典型的にはx=2)であり、これをドライエッチ
ング(プラズマ・エッチング)するためのエッチング・
ガスとしては、CHF3 (トリフルオロメタン)やc−
4 8 (オクタフルオロシクロブタン)といったフル
オロカーボン(FC)系ガスが用いられている。FCガ
スを用いると、エッチング種であるF* (フッ素ラジカ
ル)による化学反応をCFx + (フルオロカーボン・イ
オン)の運動エネルギーで支援するいわゆるイオン・ア
シスト機構が働くことにより、強固なSi−O結合が切
断されSiOx のエッチングが進行する。その一方で、
エッチング反応の副生成物としてFCガスに由来する炭
素系ポリマーが堆積し、下地選択性やマスク幅の変動に
影響を与える。近年の酸化シリコン系絶縁膜のエッチン
グでは、エッチング過程に関与するフッ素系化学種と堆
積過程に関与する炭素系化学種との量的バランス、すな
わちF/C比を制御することにより、高いエッチレート
や優れた形状異方性、あるいはこれらとトレードオフの
関係にある高選択比や低ダメージ性といった要求に対応
するために条件を最適化する方法が主流となっている。
A typical material used as an insulating film in a semiconductor device manufacturing process is silicon oxide (Si).
O x : typically x = 2), which is an etching method for dry etching (plasma etching).
As the gas, CHF 3 (trifluoromethane) or c-
A fluorocarbon (FC) gas such as C 4 F 8 (octafluorocyclobutane) is used. When FC gas is used, a so-called ion assist mechanism that supports a chemical reaction caused by F * (fluorine radical), which is an etching species, with the kinetic energy of CF x + (fluorocarbon ion) works, thereby forming a strong Si—O bond. Is cut and the etching of SiO x proceeds. On the other hand,
As a by-product of the etching reaction, a carbon-based polymer derived from the FC gas is deposited, which affects the underlayer selectivity and the fluctuation of the mask width. In recent etching of a silicon oxide-based insulating film, a high etch rate is achieved by controlling a quantitative balance between a fluorine-based species involved in an etching process and a carbon-based species involved in a deposition process, that is, an F / C ratio. A method of optimizing conditions has become the mainstream in order to meet requirements such as high anisotropy or high selectivity and low damage, which are in a trade-off relationship with them.

【0004】半導体プロセスで用いられる絶縁膜の構成
材料としては、他に窒化シリコン(Six y :典型的
にはx=3,y=4)がある。Six y 系絶縁膜は、
そのエッチングにSiOx 系絶縁膜ほどには強いイオン
・アシスト機構を必要としないが、エッチング反応,お
よびこれと拮抗する堆積反応に対する基本的な考え方は
SiOx 系絶縁膜と同じである。
[0004] As the material of the insulating film used in a semiconductor process, other silicon nitride (Si x N y: typically x = 3, y = 4) is. Si x N y based insulating film,
Does not require a strong ion assist mechanism to the extent SiO x based insulating film on the etching, the basic idea for the etching reaction, and deposition reactions that antagonize this is the same as SiO x based insulating film.

【0005】[0005]

【発明が解決しようとする課題】ところで接続孔に関し
ては、上述のようなF/C比制御の概念にもとづいてた
とえ異方性エッチングが達成されたとしても、アスペク
ト比が高い場合には後工程において上層配線による埋め
込みが困難となるといった新たな問題が発生する。現状
では、接続孔の埋め込みはブランケットCVD法により
成膜されるタングステン(W)膜、あるいは高温スパッ
タリング法により成膜されるAl膜を用いて行われてい
る。ただし、これらの方法ではW膜やAl膜の単層膜で
埋め込みが達成される訳ではなく、オーミック・コンタ
クト,バリヤ性,下地密着性を確保する観点から、接続
孔の内部を一旦、チタン(Ti)系バリヤメタルで被覆
することが必要である。たとえば、図11に示されるよ
うに、下層配線31上に積層された層間絶縁膜32に接
続孔32aが開口されている場合、この接続孔32aは
まずTi系バリヤメタル33で被覆される。このTi系
バリヤメタル33は、実際には図示されるような単層膜
ではなく、多くの場合はTi/TiN積層膜、Ti/T
iON/Ti積層膜等が用いられる。
By the way, regarding the connection hole, even if anisotropic etching is achieved based on the concept of F / C ratio control as described above, if the aspect ratio is high, a post-process is required. In such a case, a new problem such as difficulty in embedding by upper layer wiring occurs. At present, the filling of the connection holes is performed using a tungsten (W) film formed by a blanket CVD method or an Al film formed by a high-temperature sputtering method. However, in these methods, embedding is not achieved by a single layer film of a W film or an Al film, but from the viewpoint of ensuring ohmic contact, barrier properties, and base adhesion, the inside of the contact hole is temporarily filled with titanium ( It is necessary to coat with a Ti) -based barrier metal. For example, as shown in FIG. 11, when a connection hole 32 a is opened in an interlayer insulating film 32 stacked on a lower wiring 31, the connection hole 32 a is first covered with a Ti-based barrier metal 33. The Ti-based barrier metal 33 is not actually a single-layer film as shown in the figure, but is often a Ti / TiN laminated film, Ti / T
An iON / Ti laminated film or the like is used.

【0006】しかしながら上記のTi系バリヤメタル、
特に下層側のTi膜の成膜に関しては、CVD成膜がま
だ研究段階にあることから、現状ではスパッタリング成
膜が主流となっている。ところが、基板へ向かう被着粒
子の飛来方向が最初からある程度規制されているスパッ
タリング法では、十分なステップ・カバレージ(段差被
覆性)を達成することができない。しかも、アスペクト
比の高い接続孔の内部では、奥深い領域ほど到達できる
被着粒子が減少するため、図11に示されるように接続
孔32aの開口端にTi系バリヤメタル33が厚く堆積
する現象、いわゆるオーバーハングが発生する。このオ
ーバーハングは、上層配線の埋め込み特性にも重大な影
響を及ぼし、たとえば接続孔32aの内部が完全に埋め
込まれる前に開口端が塞がれると空隙(キー・ホール)
が発生する原因となる。
However, the above-mentioned Ti-based barrier metal,
In particular, as for the formation of a lower Ti film, sputtering is currently the mainstream at present because CVD film formation is still in the research stage. However, in the sputtering method in which the direction of the adhered particles toward the substrate is restricted to some extent from the beginning, sufficient step coverage (step coverage) cannot be achieved. Moreover, inside the connection hole having a high aspect ratio, the deeper the region, the smaller the amount of particles that can be reached. Therefore, as shown in FIG. 11, a phenomenon in which the Ti-based barrier metal 33 is deposited thickly at the opening end of the connection hole 32a, a so-called phenomenon. Overhang occurs. This overhang has a significant effect on the burying characteristics of the upper layer wiring. For example, if the opening end is closed before the inside of the connection hole 32a is completely buried, a void (key hole) is formed.
This can cause

【0007】このような埋め込み特性の劣化を防止する
方法として、図12に示されるように、接続孔32tそ
のものを初めからテーパー化させるようなエッチングを
行うことも提案されている。たとえば、1990年ドラ
イ・プロセス・シンポジウム(Dry Process Symposium)
抄録集,p.105〜109,演題番号V−3には、ウ
ェハを約−50℃に冷却しながらCHF3 ガスを用いて
SiO2 層間絶縁膜をドライエッチングする方法が記載
されている。ここでは、過剰な炭素系ポリマーの堆積に
より実質的なマスク幅が絶えず増大しながらエッチング
が進行するので、ビアホールの側壁面が傾斜する。
[0007] As a method for preventing such deterioration of the embedding characteristic, as shown in FIG. 12, it has been proposed to perform etching such that the connection hole 32t itself is tapered from the beginning. For example, the 1990 Dry Process Symposium
Abstracts, p. 105-109, and Abstract No. V-3, describe a method of dry-etching an SiO 2 interlayer insulating film using CHF 3 gas while cooling a wafer to about −50 ° C. Here, the etching proceeds while the substantial mask width is constantly increased due to the excessive deposition of the carbon-based polymer, so that the side wall surface of the via hole is inclined.

【0008】一方、上述の方法が、低温冷却下における
炭素系ポリマーの蒸気圧の低下と堆積の促進にもとづい
ているのに対し、エッチング・ガス中に水素を添加して
フッ素系エッチング種を消費することにより系内のF/
C比を上昇させ、結果的に炭素性ポリマーの堆積を促進
する別の手法も知られている。たとえば、プロシーディ
ングス・オブ・ザ・セカンド・シンポジウム・オン・ド
ライ・プロセス(Proceedings of the Second Symposiu
m on Dry Process) (1980),p.49〜53に
は、CF4 ガスを用いて窒化シリコン薄膜をエッチング
する際に、ガス系へのH2 添加量が増えると堆積物の増
加によりエッチング・パターンの側壁面が傾斜する現象
が報告されている。なお、この刊行物に記載される技術
は、接続孔ではなく溝型パターンを対象としたものであ
るが、H2 ガス添加によりF/C比を制御する基本的な
考え方は共通である。
On the other hand, while the above-mentioned method is based on the reduction of the vapor pressure of the carbon-based polymer under low-temperature cooling and the promotion of the deposition, hydrogen is added to the etching gas to consume the fluorine-based etching species. By doing, F /
Other approaches to increasing the C ratio and consequently promoting carbonaceous polymer deposition are also known. For example, the Proceedings of the Second Symposiu on Dry Process
m on Dry Process) (1980), p. 49 to 53, when etching a silicon nitride thin film using a CF 4 gas, a phenomenon in which the side wall surface of the etching pattern is inclined due to an increase in the amount of deposits when the amount of H 2 added to the gas system is increased. ing. Although the technology described in this publication is directed to a groove pattern instead of a connection hole, the basic concept of controlling the F / C ratio by adding H 2 gas is common.

【0009】しかしながら、エッチング・パターンの断
面形状をテーパ化させるこれらの方法にあっては、いず
れにしても有意なテーパ化を達成するために過剰な炭素
系ポリマーの生成が必要であり、パーティクル・レベル
を悪化させる懸念が大きい。しかも、これらを接続孔の
エッチングに適用すると、接続孔の底面積がマスクの開
口面積よりも狭くなるため、そこに埋め込まれた導電材
料層と下地の配線材料層とのコンタクト抵抗が増大する
といった問題も生ずる。さらに、このように接続孔の側
壁面全体が傾斜している場合には、テーパ角が余程正確
に制御されていないと接続孔の底面積やコンタクト位置
も変化することになるため、今後のデザイン・ルールの
より一層の縮小には対応が困難となる。
However, in these methods for tapering the cross-sectional shape of the etching pattern, in any case, it is necessary to generate an excessive carbon-based polymer in order to achieve a significant taper, and to reduce the particle size. There is a great concern that the level will worsen. Moreover, when these are applied to the etching of the connection hole, the bottom area of the connection hole becomes smaller than the opening area of the mask, so that the contact resistance between the conductive material layer embedded therein and the underlying wiring material layer increases. Problems also arise. Further, when the entire side wall surface of the connection hole is inclined as described above, the bottom area and the contact position of the connection hole will change if the taper angle is not controlled so accurately. It is difficult to cope with further reduction of design rules.

【0010】そこで本発明は、アスペクト比が大きく開
口寸法が微細であっても、後工程における上層配線材料
による埋め込みに支障を来さない接続孔を良好な制御性
および再現性をもって形成する方法を提供することを目
的とする。
Accordingly, the present invention provides a method for forming a connection hole with good controllability and reproducibility which does not hinder the filling with an upper wiring material in a later step even if the aspect ratio is large and the opening size is fine. The purpose is to provide.

【0011】[0011]

【課題を解決するための手段】本発明の半導体装置の製
造方法は、上述の目的を達成するために提案されるもの
であり、下層配線を被覆する絶縁膜の上にエッチング・
マスクを形成し、プラズマ・エッチングにより該絶縁膜
に接続孔を開口する際に、前記絶縁膜の最表層部をプラ
ズマ中のエッチング種を消費し得るスカベンジャの含有
量を高めた富化層にて構成し、該富化層のエッチング中
には、前記エッチング・マスクの側壁面上における堆積
種の堆積を促進することにより、前記接続孔の開口端に
おいてその断面形状をテーパ化させ、該富化層のエッチ
ング終了後には、前記接続孔の開口端を除く部分を異方
的にエッチングすることにより、前記接続孔の開口端の
みをテーパ化させるものである。
SUMMARY OF THE INVENTION A method of manufacturing a semiconductor device according to the present invention is proposed to achieve the above-mentioned object, and is formed by etching an insulating film covering a lower wiring.
When a mask is formed and a connection hole is opened in the insulating film by plasma etching, the outermost layer of the insulating film is formed by an enriched layer having an increased content of a scavenger capable of consuming etching species in plasma. During the etching of the enriched layer, the cross-sectional shape at the opening end of the connection hole is tapered by promoting the deposition of the deposited species on the side wall surface of the etching mask, After the etching of the layer is completed, only the opening end of the connection hole is tapered by anisotropically etching the portion other than the opening end of the connection hole.

【0012】ここで、上記の“富化”を達成し得るスカ
ベンジャの含有量は、接続孔の断面形状に有意なテーパ
形状を発生させ得る量であれば特に限定されるものでは
ないが、10〜数10wt%のオーダーであることが必
要である。
Here, the content of the scavenger that can achieve the above “enrichment” is not particularly limited as long as it can generate a significant tapered shape in the sectional shape of the connection hole. It needs to be on the order of wt10% by weight.

【0013】上記富化層は、気相成長法あるいはイオン
注入によりスカベンジャを絶縁膜に導入することによ
り、形成可能である。
The above-described enriched layer can be formed by introducing a scavenger into the insulating film by a vapor phase growth method or ion implantation.

【0014】実際の半導体プロセスを考えた場合に最も
実用的な方法は、前記絶縁膜としてシリコン化合物膜、
前記エッチング種としてフッ素系化学種、前記スカベン
ジャとして水素系化学種、前記堆積種として炭素系ポリ
マーをそれぞれ用いる方法である。かかるエッチング
は、フルオロカーボン系ガスを用いた場合に達成される
ものである。この場合の水素系化学種は、エッチング反
応系のF/C比を変化させる働きをする。
When considering an actual semiconductor process, the most practical method is to use a silicon compound film as the insulating film,
In this method, a fluorine-based chemical species is used as the etching species, a hydrogen-based chemical species is used as the scavenger, and a carbon-based polymer is used as the deposition species. Such etching is achieved when a fluorocarbon-based gas is used. In this case, the hydrogen-based chemical species functions to change the F / C ratio of the etching reaction system.

【0015】前記シリコン化合物膜の代表例は、酸化シ
リコン系薄膜であり、プラズマCVD法により形成する
ことができる。酸化シリコン系薄膜をプラズマCVD法
で形成する場合、一般的にSi供給源としてはSiH4
等のシラン系ガスが用いられるが、このときSiH4
由来する水素原子が膜内に取り込まれる。このときの水
素含有量が成膜条件に依存して変化することは、たとえ
ば“VLSIテクノロジー”(S.M.シー編,マグロ
ウヒル社刊,1988年)p.258にも記載されてい
る公知の事実であり、通常はこの値を含有量を許容レベ
ル以下に抑えて耐水性や絶縁耐圧を保証するような条件
設定を行っている。本発明では、成膜工程の一部におい
てこの水素含有量を増大させるような条件変更を行い、
水素富化酸化シリコン系薄膜を形成する。
A typical example of the silicon compound film is a silicon oxide-based thin film, which can be formed by a plasma CVD method. When a silicon oxide-based thin film is formed by a plasma CVD method, SiH 4 is generally used as a Si supply source.
A silane-based gas such as that described above is used. At this time, hydrogen atoms derived from SiH 4 are taken into the film. The change in the hydrogen content depending on the film formation conditions at this time is described in, for example, "VLSI Technology" (edited by SM C., published by McGraw-Hill, 1988), p. This is a known fact described also in H.258, and conditions are usually set such that the content is suppressed to below an allowable level to guarantee water resistance and dielectric strength. In the present invention, conditions are changed so as to increase the hydrogen content in a part of the film forming process,
A hydrogen-enriched silicon oxide-based thin film is formed.

【0016】あるいは、絶縁膜の大部分を通常の酸化シ
リコン系薄膜とし、富化層をプラズマCVDにより形成
される窒化シリコン系薄膜としても良い。窒化シリコン
系薄膜をプラズマCVD法で形成する場合も、Si供給
源として一般にSiH4 等のシラン系ガスが用いられ
る。窒化シリコン系薄膜の水素含有量が成膜条件の制御
により可変であることは、やはり上記“VLSIテクノ
ロジー”p.262に記載されている。ただし、窒化シ
リコン系薄膜は酸化シリコン系薄膜に比べて誘電率が2
倍程度も高く、また応力も大きいため、絶縁膜全体を窒
化シリコン系薄膜にて構成すると配線間容量を増大させ
たり基板にクラックを発生させたりする虞れがある。本
発明において、窒化シリコン系薄膜を富化層にのみ用い
ているのは、このような不都合を回避するためである。
Alternatively, most of the insulating film may be a normal silicon oxide-based thin film, and the enriched layer may be a silicon nitride-based thin film formed by plasma CVD. When a silicon nitride-based thin film is formed by a plasma CVD method, a silane-based gas such as SiH 4 is generally used as a Si supply source. The fact that the hydrogen content of the silicon nitride-based thin film is variable by controlling the film formation conditions is also described in the above-mentioned "VLSI Technology" p. 262. However, the silicon nitride based thin film has a dielectric constant of 2 compared to the silicon oxide based thin film.
Therefore, if the entire insulating film is formed of a silicon nitride-based thin film, there is a possibility that the capacitance between wirings is increased or cracks are generated on the substrate. In the present invention, the silicon nitride-based thin film is used only for the enriched layer in order to avoid such inconvenience.

【0017】[0017]

【作用】本発明において、膜厚方向の一部にスカベンジ
ャの含有量の高い富化層を有する絶縁膜をエッチングす
ると、富化層のエッチング中にはここから放出されるス
カベンジャによりプラズマ中のエッチング種が捕捉さ
れ、エッチング反応系における堆積種の含有比が相対的
に増大する。この堆積種はエッチング・マスクの側壁面
上に堆積し、その見掛け上のパターン幅を増大させるよ
うに働く。このため、富化層のエッチング時にはエッチ
ング深さが増すと同時にパターン幅が広がり、結果的に
接続孔の深さ方向の一部において、その断面形状がテー
パ化する。上記富化層を絶縁膜の最表層部に形成すれ
ば、接続孔の開口端がテーパ化し、バリヤメタルや上層
配線の埋め込みが極めて容易となる。
In the present invention, when an insulating film having an enriched layer having a high scavenger content is etched in a part of the thickness direction, the etching in the plasma is performed by the scavenger released from the enriched layer during the etching of the enriched layer. The species are trapped, and the content ratio of the deposited species in the etching reaction system is relatively increased. This deposited species deposits on the sidewalls of the etch mask and serves to increase its apparent pattern width. For this reason, at the time of etching the enriched layer, the pattern width is increased at the same time as the etching depth is increased, and as a result, the cross-sectional shape of the connection hole is tapered at a part in the depth direction. If the enriched layer is formed in the outermost layer of the insulating film, the opening end of the connection hole is tapered, and it becomes extremely easy to embed the barrier metal and the upper wiring.

【0018】このように本発明では、テーパ化は接続孔
の深さ方向の一部にのみ生ずるため、従来のように接続
孔の深さ方向の全体をテーパー化させる方法と異なり、
接続孔の底面積が極端に縮小したりコンタクト抵抗が上
昇する虞れが無い。また、エッチング・ガスへ添加され
たスカベンジャによりF/C比を制御するのではなく、
被エッチング物そのものから放出されるスカベンジャに
より接続孔の近傍で堆積種を増大させるため、従来法に
比べてテーパ角の再現性に優れ、またパーティクル汚染
を増大させる虞れもない。
As described above, in the present invention, since the tapering occurs only in a part of the connection hole in the depth direction, unlike the conventional method in which the entire connection hole is tapered in the depth direction,
There is no possibility that the bottom area of the connection hole is extremely reduced or the contact resistance is increased. Also, instead of controlling the F / C ratio with a scavenger added to the etching gas,
Since the number of deposited species is increased in the vicinity of the connection hole by the scavenger released from the etching object itself, the reproducibility of the taper angle is superior to that of the conventional method, and there is no possibility of increasing particle contamination.

【0019】前記絶縁膜をシリコン化合物膜により構成
し、前記エッチング種としてフッ素系化学種,前記堆積
種として炭素系ポリマーをそれぞれ用いるようなFC系
ガスを用いたプラズマ・エッチングでは、スカベンジャ
として水素系化学種が供給されることによりフッ素系化
学種が除去されるため、エッチング反応系のF/C比が
低下し、炭素系ポリマーの堆積が促進される。この時の
反応式は、典型的には次のように表される。 CFx + xH → C(堆積)+ xHF(排気除
去) なお上記富化層は、気相成長法やイオン注入によりスカ
ベンジャを導入する手法により、絶縁膜の膜厚方向の一
部に制御性良く形成することができる。特に、プラズマ
CVDにより形成される酸化シリコン系薄膜を用いて絶
縁膜を構成する場合には、前記富化層はプラズマCVD
の成膜条件の途中変更により連続的かつ簡便に形成する
ことができる。また、前記富化層をプラズマCVDによ
り形成される窒化シリコン系薄膜を用いて構成する場合
には、絶縁膜全体としての絶縁耐圧を保証することがで
きる。
In the plasma etching using an FC-based gas in which the insulating film is formed of a silicon compound film and a fluorine-based chemical species is used as the etching species and a carbon-based polymer is used as the deposition species, a hydrogen-based scavenger is used. Since the supply of the chemical species removes the fluorine-based chemical species, the F / C ratio of the etching reaction system is reduced, and the deposition of the carbon-based polymer is promoted. The reaction formula at this time is typically represented as follows. CF x + xH → C (deposition) + xHF (exhaust removal) The above-mentioned enriched layer has good controllability in a part of the insulating film in the film thickness direction by a method of introducing a scavenger by a vapor phase growth method or ion implantation. Can be formed. In particular, when an insulating film is formed using a silicon oxide-based thin film formed by plasma CVD, the enriched layer is formed by plasma CVD.
By changing the film forming conditions in the middle, the film can be formed continuously and simply. Further, when the enriched layer is formed using a silicon nitride-based thin film formed by plasma CVD, the withstand voltage of the entire insulating film can be guaranteed.

【0020】[0020]

【実施例】以下、本発明の好適な実施例について図面を
参照しながら説明する。
DESCRIPTION OF THE PREFERRED EMBODIMENTS Preferred embodiments of the present invention will be described below with reference to the drawings.

【0021】実施例1 本実施例は、プラズマCVD法によりSiOx 膜、およ
びその表層部にスカベンジャ含有量の高い層として水素
富化SiOx 層(以下、SiOx :H層と記載する。)
からなる水素富化層を連続的に形成し、これらを有磁場
マイクロ波プラズマ・エッチング装置とCHF3 /CH
2 2 混合ガスを用いてエッチングしてコンタクト・ホ
ールを形成し、さらにこのコンタクト・ホールをAl系
上層配線で埋め込んだ例である。このプロセスを、図1
ないし図5を参照しながら説明する。
Embodiment 1 In this embodiment, a hydrogen-enriched SiO x layer (hereinafter, referred to as SiO x : H layer) is formed by a plasma CVD method as a SiO x film and a layer having a high scavenger content on its surface.
Continuously formed hydrogen-enriched layers consisting of: a magnetic field microwave plasma etching apparatus and CHF 3 / CH
It is etched to form a contact hole using 2 F 2 gas mixture, a further example of embedding the contact holes with Al-based upper layer wiring. This process is illustrated in FIG.
This will be described with reference to FIG.

【0022】まず、図1に示されるように、予め不純物
拡散領域(図示せず。)が形成されたSi基板1上に絶
縁膜Dを成膜し、さらにこの上にコンタクト・ホール・
パターンに倣った開口5(開口径0.5μm)を有する
レジスト・マスク4を一例としてi線リソグラフィによ
り形成した。ここで上記絶縁膜Dは、下層側から順にS
iOx 膜2、およびSiOx :H層からなる水素富化層
3とが各々0.5μmの膜厚をもってプラズマCVD法
により順次積層されたものである。このプラズマCVD
条件の一例を以下に示す。
First, as shown in FIG. 1, an insulating film D is formed on an Si substrate 1 on which an impurity diffusion region (not shown) has been formed in advance, and a contact hole and a contact hole are further formed thereon.
A resist mask 4 having an opening 5 (opening diameter 0.5 μm) following the pattern was formed by i-line lithography as an example. Here, the insulating film D is formed of S in order from the lower layer side.
An iO x film 2 and a hydrogen-enriched layer 3 composed of a SiO x : H layer are sequentially laminated with a thickness of 0.5 μm by a plasma CVD method. This plasma CVD
An example of the condition is shown below.

【0023】〔SiOx 膜2の成膜条件〕 SiH4 流量 300 SCCM O2 流量 300 SCCM ガス圧 300 Pa ウェハ温度 400 ℃ 成膜時間 2 分 〔水素富化層(SiOx :H層)3の成膜条件〕 SiH4 流量 400 SCCM O2 流量 300 SCCM ガス圧 300 Pa ウェハ温度 350 ℃ 成膜時間 3 分 上記SiOx 膜2のH含有量が約2〜3wt%であるの
に対し、上記水素富化層3のそれは約20wt%であっ
た。
[Formation conditions of SiO x film 2] SiH 4 flow rate 300 SCCM O 2 flow rate 300 SCCM gas pressure 300 Pa Wafer temperature 400 ° C. Film formation time 2 minutes [Hydrogen-enriched layer (SiO x : H layer) 3 Film formation conditions] SiH 4 flow rate 400 SCCM O 2 flow rate 300 SCCM gas pressure 300 Pa Wafer temperature 350 ° C. Film formation time 3 minutes The H content of the SiO x film 2 is about 2 to 3 wt%, while the H content is about 2 to 3 wt%. That of the enriched layer 3 was about 20 wt%.

【0024】次に、このウェハを有磁場マイクロ波プラ
ズマ・エッチング装置にセットし、一例として下記の条
件で絶縁膜Dをエッチングした。 CHF3 流量 45 SCCM CH2 2 流量 5 SCCM ガス圧 0.27 Pa マイクロ波パワー 1200 W(2.45 GHz) RFバイアス・パワー 200 W(800 kHz) ウェハ温度 20 ℃ このエッチングは、基本的にF* による絶縁膜Dからの
Siの引き抜き反応がCFx + イオンの入射エネルギー
にアシストされる機構で進行するものであり、上記の条
件はSiOx 膜2のエッチングが異方的に進行するよう
に最適化されている。しかし、H含有量の多い水素富化
層3のエッチング中には、ここから放出されるHがプラ
ズマ中のF* を捕捉してHF(フッ化水素)を生成し、
これが系外へ除去されてしまうため、相対的にフルロオ
カーボン系ポリマーの堆積量が多くなる。この結果、図
2に示されるように側壁保護膜6が厚く形成され、水素
富化層3には断面形状がテーパ化した開口3t〔添え字
tはテーパ(tapered) 形状を表す。〕が形成された。
Next, this wafer was set in a magnetic field microwave plasma etching apparatus, and the insulating film D was etched under the following conditions as an example. CHF 3 flow rate 45 SCCM CH 2 F 2 flow rate 5 SCCM gas pressure 0.27 Pa microwave power 1200 W (2.45 GHz) RF bias power 200 W (800 kHz) Wafer temperature 20 ° C. This etching is basically performed. The extraction of Si from the insulating film D by F * proceeds by a mechanism assisted by the incident energy of CF x + ions, and the above conditions are set so that the etching of the SiO x film 2 proceeds anisotropically. Optimized for However, during the etching of the hydrogen-enriched layer 3 having a large H content, H released from this traps F * in the plasma to generate HF (hydrogen fluoride),
Since this is removed to the outside of the system, the deposition amount of the fluorocarbon-based polymer relatively increases. As a result, as shown in FIG. 2, the side wall protective film 6 is formed thick, and the hydrogen-enriched layer 3 has an opening 3t having a tapered cross-sectional shape [the suffix t indicates a tapered shape. ] Was formed.

【0025】しかし、その後のSiOx 膜2のエッチン
グは異方的に進行し、図3に示されるような開口2a
〔添え字aは異方性(anisotropic)形状を表す。〕が形
成された。このようにして形成された開口3t,2a
は、全体としてみると底面に比べて開口端の開口径の広
いコンタクト・ホール7を構成している。このコンタク
ト・ホール7の底面における開口径は0.4μmであ
り、レジスト・マスク4の開口幅より狭くなった。つま
り本発明では、予めテーパ化による開口径の減少分を見
込んで、レジスト・マスク4の開口5の幅を設定してお
くことが必要である。しかし、従来のようにエッチング
雰囲気全体を堆積性の強い雰囲気としていないため、パ
ーティクル汚染が深刻化せず、またコンタクト・ホール
7の開口径やテーパ角の制御性も極めて良好であった。
However, the subsequent etching of the SiO x film 2 proceeds anisotropically, and the opening 2a as shown in FIG.
[Subscript a represents an anisotropic shape. ] Was formed. The openings 3t and 2a thus formed
Constitutes a contact hole 7 having a larger opening diameter at the opening end than the bottom surface as a whole. The opening diameter at the bottom of the contact hole 7 was 0.4 μm, which was smaller than the opening width of the resist mask 4. That is, in the present invention, it is necessary to set the width of the opening 5 of the resist mask 4 in advance in consideration of the decrease in the opening diameter due to the tapering. However, since the entire etching atmosphere is not an atmosphere having a high deposition property as in the prior art, particle contamination did not become serious, and the controllability of the opening diameter and the taper angle of the contact hole 7 was very good.

【0026】その後の工程は常法にしたがって行った。
すなわち、まずアッシングを行って図4に示されるよう
にレジスト・マスク4および側壁保護膜6を除去した。
さらにスパッタリングを行い、図5に示されるようにバ
リヤメタル8とAl系上層配線膜9とを順次積層し、上
記コンタクト・ホール7を埋め込んだ。ここで、上記バ
リヤメタル8は一例として厚さ約30nmのTi膜と厚
さ約70nmのTiN膜とがこの順に積層されたもので
あり、またAl系上層配線9はたとえばAl−1%Si
膜からなる。このとき、コンタクト・ホール7の開口端
がテーパ化されていることにより、従来のようなバリヤ
メタル8のオーバーハングは形成されず、したがって上
層配線9の埋め込みもキー・ホールを発生することなく
円滑に行われた。
The subsequent steps were performed according to a conventional method.
That is, ashing was first performed to remove the resist mask 4 and the side wall protective film 6 as shown in FIG.
Further, sputtering was performed, and as shown in FIG. 5, a barrier metal 8 and an Al-based upper wiring film 9 were sequentially laminated to fill the contact hole 7. Here, as an example, the barrier metal 8 is formed by laminating a Ti film having a thickness of about 30 nm and a TiN film having a thickness of about 70 nm in this order, and the Al-based upper wiring 9 is made of, for example, Al-1% Si.
Consists of a membrane. At this time, since the opening end of the contact hole 7 is tapered, the overhang of the barrier metal 8 is not formed as in the prior art, and therefore, the embedding of the upper layer wiring 9 is smoothly performed without generating a key hole. It was conducted.

【0027】実施例2 本実施例は、実施例1と同様のSiOx 膜およびSiO
x :H層からなる絶縁膜をAl系下層配線上に形成し、
これらを有磁場マイクロ波プラズマ・エッチング装置と
CHF3 /CH2 2 混合ガスを用いてエッチングして
ビア・ホールを形成し、さらにこのビア・ホールをAl
系上層配線で埋め込んだ例である。このプロセスを、図
6ないし図10を参照しながら説明する。
Embodiment 2 In this embodiment, the same SiO x film and SiO
x : forming an insulating film composed of an H layer on the Al-based lower wiring,
These are etched using a magnetic field microwave plasma etching apparatus and a CHF 3 / CH 2 F 2 mixed gas to form a via hole, and the via hole is further formed into an Al hole.
This is an example of embedding with system upper layer wiring. This process will be described with reference to FIGS.

【0028】まず、図5に示されるように、層間絶縁膜
11上に形成された下層配線15を被覆して絶縁膜Dを
成膜し、さらにこの上にビア・ホール・パターンに倣っ
た開口19(開口径0.5μm)を有するレジスト・マ
スク18を一例としてi線リソグラフィにより形成し
た。ここで、下層配線15は、一例としてTi系バリヤ
メタル12,Al−1%Si膜13,およびSiON系
反射防止膜14がこの順に積層された積層膜をパターニ
ングしてなるものである。また、上記絶縁膜Dは、下層
側から順に膜厚約0.2μmのSiOx 膜16と、膜厚
約0.3μmのSiOx :H層からなる水素富化層17
とがプラズマCVD法により順次積層されたものであ
る。このときのプラズマCVD条件は、SiOx 膜16
の成膜時間を1分、SiOx 膜17の成膜時間を2分と
した他は、実施例1と同じであり、各膜のH含有量はそ
れぞれ約2〜3wt%および20wt%であった。
First, as shown in FIG. 5, an insulating film D is formed by covering the lower layer wiring 15 formed on the interlayer insulating film 11, and an opening is formed thereon in accordance with a via hole pattern. A resist mask 18 having an opening 19 (opening diameter 0.5 μm) was formed by i-line lithography as an example. Here, the lower wiring 15 is formed by patterning a laminated film in which, for example, a Ti-based barrier metal 12, an Al-1% Si film 13, and a SiON-based antireflection film 14 are laminated in this order. The insulating film D is composed of a SiO x film 16 having a thickness of about 0.2 μm and a hydrogen-enriched layer 17 of a SiO x : H layer having a thickness of about 0.3 μm in this order from the lower layer side.
Are sequentially laminated by the plasma CVD method. Plasma CVD conditions at this time, SiO x film 16
Example 1 was the same as Example 1 except that the film formation time of the film was 1 minute and the film formation time of the SiO x film 17 was 2 minutes. The H content of each film was about 2-3 wt% and 20 wt%, respectively. Was.

【0029】次に、このウェハを有磁場マイクロ波プラ
ズマ・エッチング装置にセットし、上記絶縁膜Dをエッ
チングした。このときのエッチング条件は、下地である
下層配線15のスパッタを抑えるためにRFバイアス・
パワーを170Wに下げた他は、実施例1と同じとし
た。このとき、H含有量の多い水素富化層17のエッチ
ング中には、フルロオカーボン系ポリマーの堆積が促進
されて側壁保護膜20が肥厚化するために、SiOx
H層17には図7に示されるようにテーパ化した断面形
状を有する開口17tが形成された。
Next, the wafer was set in a magnetic field microwave plasma etching apparatus, and the insulating film D was etched. The etching conditions at this time are RF bias and RF bias in order to suppress sputtering of the lower wiring 15 serving as a base.
Except that the power was reduced to 170 W, it was the same as Example 1. In this case, during the etching of the H content-rich hydrogen-enriched layer 17, for side wall protection film 20 is promoted deposition of full Roo carbon-based polymer is thickened, SiO x:
An opening 17t having a tapered cross-sectional shape was formed in the H layer 17 as shown in FIG.

【0030】しかし、その後のSiOx 膜16のエッチ
ングは異方的に進行し、図8に示されるような開口16
aが形成された。このようにして形成された開口17
t,16aは、全体としてみると底面に比べて開口端の
開口径の広いビア・ホール21を構成している。このコ
ンタクト・ホール7の底面における開口径は0.4μm
であり、レジスト・マスク4の開口幅より狭くなった
が、再現性は良好であった。
However, the subsequent etching of the SiO x film 16 proceeds anisotropically, and the opening 16 as shown in FIG.
a was formed. The opening 17 thus formed
t, 16a constitute a via hole 21 having an opening diameter larger at the opening end than the bottom surface as a whole. The opening diameter at the bottom of the contact hole 7 is 0.4 μm.
The width was smaller than the opening width of the resist mask 4, but the reproducibility was good.

【0031】この後の工程は常法にしたがって行った。
すなわち、まずアッシングを行って図9に示されるよう
にレジスト・マスク4および側壁保護膜6を除去した。
さらに、スパッタリングを行って図10に示されるよう
に、バリヤメタル22と上層配線膜23とを順次積層
し、上記ビア・ホール21を良好に埋め込んだ。本発明
では、このように微細なビア・ホールを制御性良く形成
し、しかもこれを良好に埋め込むことができるため、従
来のように上下パターンの合わせズレを見込んでコンタ
クト部の下層配線幅を太くする必要が無くなり、いわゆ
るオーバーラップレス・コンタクトを実現することがで
きた。
The subsequent steps were performed according to a conventional method.
That is, first, ashing was performed to remove the resist mask 4 and the side wall protective film 6 as shown in FIG.
Further, as shown in FIG. 10, a barrier metal 22 and an upper wiring film 23 were sequentially laminated by performing sputtering, and the via hole 21 was satisfactorily filled. In the present invention, since such fine via holes can be formed with good controllability and can be buried well, the lower wiring width of the contact portion can be increased in anticipation of misalignment of the upper and lower patterns as in the prior art. This eliminates the need to perform contact, thus achieving a so-called overlapless contact.

【0032】実施例3 本実施例は、プラズマCVD法によりSiO2 膜、およ
びその表層部に水素富化層として水素富化SiN層(以
下、SiN:H層と記載する。)を形成し、これらをI
CP(誘導結合プラズマ)エッチング装置とC2 6
スを用いてエッチングしてコンタクト・ホールを形成
し、さらにこのコンタクト・ホールをAl系上層配線で
埋め込んだ例である。参照図面は、前出の図1ないし図
3である。
Embodiment 3 In this embodiment, a SiO 2 film and a hydrogen-enriched SiN layer (hereinafter referred to as a SiN: H layer) are formed as a hydrogen-enriched layer on the surface of the SiO 2 film by a plasma CVD method. These are I
In this example, a contact hole is formed by etching using a CP (inductively coupled plasma) etching device and C 2 F 6 gas, and the contact hole is further filled with an Al-based upper wiring. The reference drawings are FIGS. 1 to 3 described above.

【0033】まず、図1に示されるように、予め不純物
拡散領域(図示せず。)の形成されたSi基板1上に絶
縁膜Dを成膜し、さらにこの上にコンタクト・ホール・
パターンに倣った開口5(開口径0.5μm)を有する
レジスト・マスク4を一例としてi線リソグラフィによ
り形成した。ここで上記絶縁膜Dは、下層側から順に膜
厚約0.7μmのSiOx 膜2と、膜厚約0.3μmの
SiN:H層からなる水素富化層3とがプラズマCVD
法により順次積層されたものである。このプラズマCV
D条件の一例を以下に示す。
First, as shown in FIG. 1, an insulating film D is formed on an Si substrate 1 on which an impurity diffusion region (not shown) is formed in advance, and a contact hole is formed thereon.
A resist mask 4 having an opening 5 (opening diameter 0.5 μm) following the pattern was formed by i-line lithography as an example. Here, the insulating film D is composed of an SiO x film 2 having a thickness of about 0.7 μm and a hydrogen-enriched layer 3 made of a SiN: H layer having a thickness of about 0.3 μm in this order from the bottom.
The layers are sequentially laminated by a method. This plasma CV
An example of the D condition is shown below.

【0034】〔SiOx 膜2の成膜条件〕 SiH4 流量 300 SCCM O2 流量 300 SCCM ガス圧 300 Pa ウェハ温度 400 ℃ 成膜時間 4 分 〔水素富化層(SiN:H層)3の成膜条件〕 SiH4 流量 200 SCCM NH3 流量 2000 SCCM N2 流量 1000 SCCM ガス圧 300 Pa ウェハ温度 350 ℃ 成膜時間 2 分 上記SiOx 膜2のH含有量が約2〜3wt%であるの
に対し、上記水素富化層3のそれは約20wt%であっ
た。
[Film formation conditions of SiO x film 2] SiH 4 flow rate 300 SCCM O 2 flow rate 300 SCCM gas pressure 300 Pa Wafer temperature 400 ° C. Film formation time 4 minutes [Formation of hydrogen-enriched layer (SiN: H layer) 3] Film conditions] SiH 4 flow rate 200 SCCM NH 3 flow rate 2000 SCCM N 2 flow rate 1000 SCCM Gas pressure 300 Pa Wafer temperature 350 ° C. Deposition time 2 minutes Although the H content of the SiO x film 2 is about 2-3 wt%. On the other hand, that of the hydrogen-enriched layer 3 was about 20 wt%.

【0035】次に、このウェハをICPエッチング装置
にセットし、上記絶縁膜Dをエッチングした。なお、こ
のICPエッチング装置の上部電極は、エッチング・チ
ャンバの天板を兼ねており、その少なくとも表面は不純
物含有ポリシリコンにて構成され、内蔵されるヒータで
加熱可能とされている。このときのエッチング条件の一
例を以下に示す。
Next, the wafer was set in an ICP etching apparatus, and the insulating film D was etched. The upper electrode of this ICP etching apparatus also serves as a top plate of the etching chamber, and at least the surface thereof is made of impurity-containing polysilicon and can be heated by a built-in heater. An example of the etching conditions at this time is shown below.

【0036】 C2 6 流量 50 SCCM ガス圧 0.27 Pa ソース・パワー 2000 W(2 MHz) RFバイアス・パワー 1000 W(1.8 MHz) ウェハ温度 20 ℃ 上部電極温度 250 ℃ ここで、上部電極を加熱しているのは、このICPエッ
チング装置の放電解離効率が極めて高くエッチング・チ
ャンバ内に大量のF* が発生するので、上部電極の表面
で余分なF* をSiFx の形で揮発除去させるためであ
る。上記のエッチング条件は、このようなF/C比の操
作を行った上でSiOx 膜2が異方性加工されるように
設定されている。ここでは、水素富化層3のエッチング
中にこのF/C比がさらに低下してフルロオカーボン系
ポリマーの堆積が促進されることにより、図2に示され
るように該水素富化層3にテーパ化した開口3tが形成
された。続くSiOx 膜2は異方的にエッチングされる
ので、図3に示されるように垂直壁を有する開口2aが
形成され、最終的に開口端の近傍のみがテーパ化された
コンタクト・ホール7を形成することができた。この後
のアッシングや上層配線による埋め込みについては、前
述したとおりである。
C 2 F 6 flow rate 50 SCCM Gas pressure 0.27 Pa Source power 2000 W (2 MHz) RF bias power 1000 W (1.8 MHz) Wafer temperature 20 ° C. Upper electrode temperature 250 ° C. the heating of the electrode may be volatile since discharge dissociation efficiency of mass to very high etch chamber F * is generated in the ICP etching device, the surface of the upper electrode excess F * in the form of SiF x This is to remove it. The above-described etching conditions are set so that the SiO x film 2 is anisotropically processed after performing such an operation of the F / C ratio. Here, the F / C ratio is further reduced during the etching of the hydrogen-enriched layer 3 and the deposition of the fluorocarbon-based polymer is promoted, so that as shown in FIG. A tapered opening 3t was formed. Since the subsequent SiO x film 2 is anisotropically etched, an opening 2 a having a vertical wall is formed as shown in FIG. 3, and finally a contact hole 7 having a taper only in the vicinity of the opening end is formed. Could be formed. Subsequent ashing and embedding with the upper wiring are as described above.

【0037】実施例4 本実施例では、プラズマCVD法により形成されたSi
x 膜に水素のイオン注入を行うことにより、該SiO
x 膜の表層部のみをSiOx :H層からなる水素富化層
に変化させ、これらをヘリコン波プラズマ・エッチング
装置とc−C48 /CH2 2 混合ガスを用いてエッ
チングしてコンタクト・ホールを形成した例について説
明する。参照図面は図1ないし図3である。
Embodiment 4 In the present embodiment, Si formed by plasma CVD is used.
By implanting hydrogen ions into the O x film, the SiO 2
Only the surface layer portion of the x film was changed to a hydrogen-enriched layer consisting of a SiO x : H layer, and these were etched using a helicon wave plasma etching apparatus and a c-C 4 F 8 / CH 2 F 2 mixed gas. An example in which a contact hole is formed will be described. The reference drawings are FIGS. 1 to 3.

【0038】まず、実施例1の成膜条件中、成膜時間を
4分に延長して膜厚約1.0μmのSiOx 膜2を成膜
した後、一例として下記の条件で該SiOx 膜2の表層
部にH2 + のイオン注入を行った。
[0038] First, after in the film formation conditions of Example 1, a SiO x film 2 of extending the deposition time to 4 minutes a thickness of about 1.0μm is deposited, the SiO x for instance, under the following conditions H 2 + ions were implanted into the surface layer of the film 2.

【0039】 ビーム電流 5 mA イオン加速電圧 10 keV ドース量 1×1016 /cm2 この結果、SiOx 膜2の表層部には水素富化層3とし
てSiOx :H層が約50nmの厚さに形成された。こ
の水素富化層のH含有量は約20wt%であった。
Beam current 5 mA Ion acceleration voltage 10 keV Dose amount 1 × 10 16 / cm 2 As a result, a SiO x : H layer having a thickness of about 50 nm is formed as a hydrogen-enriched layer 3 on the surface layer of the SiO x film 2. Formed. The H content of this hydrogen-enriched layer was about 20 wt%.

【0040】次に、たとえばKrFエキシマ・レーザ・
リソグラフィを行って上記水素富化層3の上に開口5
(開口径0.35μm)を有するレジスト・マスク4を
形成した。
Next, for example, a KrF excimer laser
Lithography is performed to form an opening 5 on the hydrogen-enriched layer 3.
A resist mask 4 having an opening diameter of 0.35 μm was formed.

【0041】次に、このウェハをヘリコン波プラズマ・
エッチング装置にセットし、上記絶縁膜Dをエッチング
した。このときのエッチング条件の一例を以下に示す。 c−C4 8 流量 50 SCCM CH2 2 流量 10 SCCM ガス圧 0.27 Pa ソース・パワー 2500 W(13.56 MHz) RFバイアス・パワー 100 W(400 kHz) ウェハ温度 20 ℃ このエッチングにより、水素富化層3には断面形状がテ
ーパ化した開口3t、SiOx 膜2には断面形状が垂直
な開口2aが形成され、全体として開口端の近傍がテー
パ化したコンタクト・ホール7が形成された。この後の
アッシングや上層配線による埋め込みについては、前述
したとおりである。
Next, this wafer is helicon-wave plasma
The film was set in an etching apparatus, and the insulating film D was etched. An example of the etching conditions at this time is shown below. c-C 4 F 8 flow rate 50 SCCM CH 2 F 2 flow rate 10 SCCM gas pressure 0.27 Pa source power 2500 W (13.56 MHz) RF bias power 100 W (400 kHz) Wafer temperature 20 ° C. , the opening cross-sectional shape of the hydrogen rich layer 3 is tapered 3t, SiO x film 2 is cross-sectional shape perpendicular opening 2a is formed in the contact hole 7 to the vicinity of the opening end is tapered as a whole form Was done. Subsequent ashing and embedding by the upper layer wiring are as described above.

【0042】以上、本発明を4例の実施例にもとづいて
説明したが、本発明はこれらの実施例に何ら限定される
ものではなく、プラズマCVD条件、ドライエッチング
条件、ウェハの構成等の細部については適宜変更が可能
である。
Although the present invention has been described based on the four embodiments, the present invention is not limited to these embodiments, and details such as plasma CVD conditions, dry etching conditions, and the structure of the wafer. Can be changed as appropriate.

【0043】[0043]

【発明の効果】以上の説明からも明らかなように、本発
明を適用すれば接続孔の開口端近傍のみをテーパ化する
ことができるため、上層配線の良好な埋め込み特性と開
口径の良好な制御性とを両立させることができ、しかも
これを再現性低下やパーティクル増加を招くことなく実
現することができる。このことは、特にビア・ホールを
形成する場合のオーバーラップレス・コンタクトの実現
につながる。本発明は、接続孔の形成方法の改良を通じ
て、半導体装置の高集積化,高性能化,高信頼化に大き
く貢献するものである。
As is apparent from the above description, according to the present invention, only the vicinity of the opening end of the connection hole can be tapered, so that the good embedding property of the upper wiring and the good opening diameter can be obtained. It is possible to achieve both controllability and controllability without lowering reproducibility or increasing particles. This leads to the realization of an overlapless contact especially when forming a via hole. The present invention greatly contributes to high integration, high performance, and high reliability of a semiconductor device through improvement of a method of forming a connection hole.

【図面の簡単な説明】[Brief description of the drawings]

【図1】本発明をコンタクト・ホール加工に適用したプ
ロセス例において、SiOx 膜と水素富化層からなる絶
縁膜の上にレジスト・マスクを形成した状態を示す模式
的断面図である。
FIG. 1 is a schematic cross-sectional view showing a state in which a resist mask is formed on an insulating film composed of a SiO x film and a hydrogen-enriched layer in a process example in which the present invention is applied to contact hole processing.

【図2】図1の水素富化層をテーパ状にエッチングした
状態を示す模式的断面図である。
FIG. 2 is a schematic cross-sectional view showing a state where the hydrogen-enriched layer of FIG. 1 is etched into a tapered shape.

【図3】図2のSiOx 膜を異方性エッチングし、コン
タクト・ホールを完成した状態を示す模式的断面図であ
る。
FIG. 3 is a schematic cross-sectional view showing a state in which a contact hole is completed by anisotropically etching the SiO x film of FIG. 2;

【図4】図3のレジスト・マスクと側壁保護膜をアッシ
ングにより除去した状態を示す模式的断面図である。
FIG. 4 is a schematic cross-sectional view showing a state in which the resist mask and the side wall protective film of FIG. 3 have been removed by ashing.

【図5】図4のコンタクト・ホールをバリヤメタルおよ
び上層配線で埋め込んだ状態を示す模式的断面図であ
る。
FIG. 5 is a schematic cross-sectional view showing a state where the contact hole of FIG. 4 is buried with a barrier metal and an upper wiring.

【図6】本発明をビア・ホール加工に適用したプロセス
例において、Al系下層配線を被覆してSiOx 膜と水
素富化層からなる絶縁膜を形成し、さらにレジスト・マ
スクを形成した状態を示す模式的断面図である。
FIG. 6 shows a state in which, in a process example in which the present invention is applied to via hole processing, an Al-based lower wiring is covered to form an insulating film composed of a SiO x film and a hydrogen-enriched layer, and further a resist mask is formed. FIG.

【図7】図6の水素富化層をテーパ状にエッチングした
状態を示す模式的断面図である。
FIG. 7 is a schematic cross-sectional view showing a state in which the hydrogen-enriched layer of FIG. 6 is etched in a tapered shape.

【図8】図7のSiOx 膜を異方性エッチングし、ビア
・ホールを完成した状態を示す模式的断面図である。
8 is a schematic cross-sectional view showing a state in which a via hole is completed by anisotropically etching the SiO x film of FIG. 7;

【図9】図8のレジスト・マスクと側壁保護膜をアッシ
ングにより除去した状態を示す模式的断面図である。
9 is a schematic cross-sectional view showing a state in which the resist mask and the side wall protective film of FIG. 8 have been removed by ashing.

【図10】図9のビア・ホールをバリヤメタルおよび上
層配線で埋め込んだ状態を示す模式的断面図である。
FIG. 10 is a schematic cross-sectional view showing a state in which the via hole of FIG. 9 is buried with a barrier metal and an upper wiring.

【図11】高アスペクト比を有する従来の接続孔におい
てTi系バリヤメタルのオーバーハングが形成された状
態を示す模式的断面図である。
FIG. 11 is a schematic cross-sectional view showing a state in which a Ti-based barrier metal overhang is formed in a conventional connection hole having a high aspect ratio.

【図12】深さ方向全体にわたって断面形状がテーパ化
された従来の接続孔を示す模式的断面図である。
FIG. 12 is a schematic cross-sectional view showing a conventional connection hole whose cross-sectional shape is tapered over the entire depth direction.

【符号の説明】[Explanation of symbols]

1 Si基板 2,16 SiOx 膜 2a,16a (SiOx 膜に形成された異方性形状を
有する)開口 3,17 水素富化層 3t,17t (水素富化層に形成されたテーパ状の)
開口 D 絶縁膜 4,18 レジスト・マスク 6,20 側壁保護膜 7 コンタクト・ホール 15 下層配線 21 ビア・ホール
Reference Signs List 1 Si substrate 2, 16 SiO x film 2 a, 16 a Opening (having anisotropic shape formed in SiO x film) 3, 17 Hydrogen-enriched layer 3 t, 17 t (Tapered shape formed in hydrogen-enriched layer )
Opening D Insulating film 4, 18 Resist mask 6, 20 Side wall protective film 7 Contact hole 15 Lower wiring 21 Via hole

───────────────────────────────────────────────────── フロントページの続き (56)参考文献 特開 平3−278433(JP,A) 特開 平1−127687(JP,A) 特開 平5−102107(JP,A) 特開 平6−5560(JP,A) 特開 平2−62038(JP,A) 特開 平7−130680(JP,A) 特開 平6−112171(JP,A) (58)調査した分野(Int.Cl.7,DB名) H01L 21/3205 H01L 21/321 H01L 21/3213 H01L 21/768 H01L 21/302 H01L 21/3065 ──────────────────────────────────────────────────続 き Continuation of the front page (56) References JP-A-3-278433 (JP, A) JP-A-1-127687 (JP, A) JP-A-5-102107 (JP, A) JP-A-6-127 5560 (JP, A) JP-A-2-62038 (JP, A) JP-A-7-130680 (JP, A) JP-A-6-112171 (JP, A) (58) Fields investigated (Int. 7 , DB name) H01L 21/3205 H01L 21/321 H01L 21/3213 H01L 21/768 H01L 21/302 H01L 21/3065

Claims (7)

(57)【特許請求の範囲】(57) [Claims] 【請求項1】 下層配線を被覆する絶縁膜の上にエッチ
ング・マスクを形成し、プラズマ・エッチングにより該
絶縁膜に接続孔を開口する接続孔の形成方法において、 前記絶縁膜の最表層部をプラズマ中のエッチング種を消
費し得るスカベンジャの含有量を高めた富化層にて構成
し、 該富化層のエッチング中には、前記エッチング・マスク
の側壁面上における堆積種の堆積を促進することによ
り、前記接続孔の開口端においてその断面形状をテーパ
化させ、該富化層のエッチング終了後には、前記接続孔の開口端
を除く部分を異方的にエッチングすることにより、 前記接続孔の開口端のみをテーパ化させることを特徴と
する 接続孔の形成方法。
1. A to form an etching mask on the insulating film covering the lower wiring, in the method of forming a connection hole for a connection hole in the insulating film by plasma etching, the outermost layer of the insulating film It comprises an enriched layer with an increased content of scavengers that can consume the etching species in the plasma, and during the etching of the enriched layer, promotes deposition of deposited species on the sidewall surfaces of the etching mask. Thereby, the cross-sectional shape at the opening end of the connection hole is tapered, and after the etching of the enriched layer is completed, the opening end of the connection hole is
By anisotropically etching the parts excluding the above, only the opening ends of the connection holes are tapered.
The method of forming the connection hole.
【請求項2】 前記接続孔の開口端と、開口端を除く部
分を同一エッチング条件でエッチングすることを特徴と
する請求項1記載の接続孔の形成方法。
2. An opening end of the connection hole and a portion excluding the opening end.
Is characterized by etching under the same etching conditions
The method for forming a connection hole according to claim 1.
【請求項3】 前記富化層を気相成長法により形成する
請求項1または請求項2に記載の接続孔の形成方法。
3. The method according to claim 1, wherein the enriched layer is formed by a vapor deposition method.
【請求項4】 前記富化層を前記絶縁膜へのスカベンジ
ャのイオン注入により形成する請求項1または請求項2
に記載の接続孔の形成方法。
4. The method according to claim 1, wherein the enrichment layer is formed by ion implantation of a scavenger into the insulating film.
3. The method for forming a connection hole according to 1.
【請求項5】 前記絶縁膜をシリコン化合物膜により構
成し、前記エッチング種としてフッ素系化学種、前記ス
カベンジャとして水素系化学種、前記堆積種として炭素
系ポリマーをそれぞれ用いる請求項1ないし請求項4の
いずれか1項に記載の接続孔の形成方法。
5. The insulating film is made of a silicon compound film, and a fluorine-based chemical species is used as the etching species, a hydrogen-based chemical species is used as the scavenger, and a carbon-based polymer is used as the deposition species. The method for forming a connection hole according to any one of the above items.
【請求項6】 前記シリコン化合物膜はプラズマCVD
により形成される酸化シリコン系薄膜とし、前記富化層
は該プラズマCVDの成膜条件の途中変更にもとづいて
形成される水素富化酸化シリコン系薄膜とする請求項5
記載の接続孔の形成方法。
6. The method according to claim 1, wherein the silicon compound film is formed by plasma CVD.
6. A silicon oxide-based thin film formed by the method described above, wherein the enriched layer is a hydrogen-enriched silicon oxide-based thin film formed based on a change in the film forming conditions of the plasma CVD.
The method for forming the connection hole according to the above.
【請求項7】 前記シリコン化合物膜は前記富化層にお
いてプラズマCVDにより形成される窒化シリコン系薄
膜とし、該富化層以外の部分においてプラズマCVDに
より形成される酸化シリコン系薄膜とする請求項5記載
の接続孔の形成方法。
7. The silicon compound film is a silicon nitride-based thin film formed by plasma CVD in the enriched layer, and a silicon oxide-based thin film formed by plasma CVD in a portion other than the enriched layer. The method for forming the connection hole according to the above.
JP32836994A 1994-12-28 1994-12-28 Forming connection holes Expired - Fee Related JP3348553B2 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP32836994A JP3348553B2 (en) 1994-12-28 1994-12-28 Forming connection holes

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP32836994A JP3348553B2 (en) 1994-12-28 1994-12-28 Forming connection holes

Publications (2)

Publication Number Publication Date
JPH08186111A JPH08186111A (en) 1996-07-16
JP3348553B2 true JP3348553B2 (en) 2002-11-20

Family

ID=18209486

Family Applications (1)

Application Number Title Priority Date Filing Date
JP32836994A Expired - Fee Related JP3348553B2 (en) 1994-12-28 1994-12-28 Forming connection holes

Country Status (1)

Country Link
JP (1) JP3348553B2 (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11581326B2 (en) 2019-11-28 2023-02-14 Samsung Electronics Co., Ltd. Three-dimensional semiconductor memory device

Families Citing this family (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100419026B1 (en) * 1996-12-31 2004-05-22 주식회사 하이닉스반도체 Isolation method of semiconductor device
KR100464391B1 (en) * 1997-07-23 2005-02-28 삼성전자주식회사 Method of forming contact holes of a semiconductor device comprising a process of dry etching for SiON layer
KR100298180B1 (en) * 1998-06-30 2001-08-07 박종섭 Method for forming contact hole in semiconductor
KR100370159B1 (en) * 2000-10-11 2003-01-30 주식회사 하이닉스반도체 Method for Fabricating Semiconductor Device
KR100415088B1 (en) * 2001-10-15 2004-01-13 주식회사 하이닉스반도체 method for fabricating semiconductor device
KR100457046B1 (en) * 2002-08-07 2004-11-10 삼성전자주식회사 Method for forming a contact in semiconductor device process
KR100486660B1 (en) * 2002-09-05 2005-05-03 동부아남반도체 주식회사 Polishing method of semiconductor device
KR100721206B1 (en) 2006-05-04 2007-05-23 주식회사 하이닉스반도체 Method of fabricating the storage node contact in semiconductor device
DE112015004272T5 (en) * 2014-09-19 2017-06-01 Semiconductor Energy Laboratory Co., Ltd. Manufacturing method of the semiconductor device
JP6340338B2 (en) * 2015-03-30 2018-06-06 東京エレクトロン株式会社 Thin film formation method
JP6621882B2 (en) * 2018-08-08 2019-12-18 東京エレクトロン株式会社 Etching device
WO2024020819A1 (en) * 2022-07-27 2024-02-01 京东方科技集团股份有限公司 Functional substrate and manufacturing method therefor, and electronic device

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11581326B2 (en) 2019-11-28 2023-02-14 Samsung Electronics Co., Ltd. Three-dimensional semiconductor memory device

Also Published As

Publication number Publication date
JPH08186111A (en) 1996-07-16

Similar Documents

Publication Publication Date Title
US5269879A (en) Method of etching vias without sputtering of underlying electrically conductive layer
JP3027951B2 (en) Method for manufacturing semiconductor device
US6426300B2 (en) Method for fabricating semiconductor device by using etching polymer
US9607883B2 (en) Trench formation using rounded hard mask
JP3348553B2 (en) Forming connection holes
TW394985B (en) A semiconductor device having an improved contact structure and a manufacturing method thereof
US5658425A (en) Method of etching contact openings with reduced removal rate of underlying electrically conductive titanium silicide layer
JPH10223608A (en) Manufacture of semiconductor device
KR20010014954A (en) Semiconductor device and manufacturing method thereof
JP3213803B2 (en) Method for forming slope contact hole in semiconductor using high-density plasma etching equipment
JP4451934B2 (en) Method and integrated circuit for etching a conductive layer
CN116013850A (en) Semiconductor structure and manufacturing method thereof
JP3427534B2 (en) Forming connection holes
US6236091B1 (en) Method of forming a local interconnect with improved etch selectivity of silicon dioxide/silicide
JP2000332106A (en) Semiconductor device for its manufacture
JP2003133293A (en) Method for manufacturing semiconductor device
JPH1167766A (en) Method for manufacturing semiconductor device
JPH10116904A (en) Manufacture of semiconductor device
JPH10144633A (en) Manufacture of semiconductor device
JP2001250817A (en) Method of dry etching and method of manufacturing semiconductor device
US6911374B2 (en) Fabrication method for shallow trench isolation region
WO2002049089A1 (en) Method of etching porous insulating film, dual damascene process, and semiconductor device
JPH1140669A (en) Multilayered wiring structure and its manufacture
JP3239460B2 (en) Forming connection holes
JPH09120990A (en) Formation of connecting hole

Legal Events

Date Code Title Description
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20020813

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20080913

Year of fee payment: 6

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20090913

Year of fee payment: 7

LAPS Cancellation because of no payment of annual fees