JP3246139U - reactor manifold - Google Patents

reactor manifold Download PDF

Info

Publication number
JP3246139U
JP3246139U JP2023003651U JP2023003651U JP3246139U JP 3246139 U JP3246139 U JP 3246139U JP 2023003651 U JP2023003651 U JP 2023003651U JP 2023003651 U JP2023003651 U JP 2023003651U JP 3246139 U JP3246139 U JP 3246139U
Authority
JP
Japan
Prior art keywords
block
manifold
semiconductor processing
hole
processing apparatus
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2023003651U
Other languages
Japanese (ja)
Inventor
シュヤン・ジャン
ジェレルド・リー・ウィンクラー
アンキト・キムティー
エリック・ジェームズ・シェロ
ミモ・クワトラ
ディンカル・ナンドワナ
トッド・ロバート・ダン
カール・ルイス・ホワイト
Original Assignee
エーエスエム・アイピー・ホールディング・ベー・フェー
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US18/045,419 external-priority patent/US20230069359A1/en
Application filed by エーエスエム・アイピー・ホールディング・ベー・フェー filed Critical エーエスエム・アイピー・ホールディング・ベー・フェー
Application granted granted Critical
Publication of JP3246139U publication Critical patent/JP3246139U/en
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45561Gas plumbing upstream of the reaction chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Manufacturing & Machinery (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

【課題】ガスを反応チャンバーに送達するように構成された穴を含むマニホールドを含む、半導体処理装置を提供する。
【解決手段】ガスを反応チャンバーに送達するように構成された穴を含むマニホールド100を含む、半導体処理装置10であって、マニホールドは第二のブロック112bに取り付けられた第一のブロック112aを含み、第一および第二の取り付けられたブロックは協働して穴130を少なくとも部分的に画定する。マニホールドは、第一のブロックまたは第二のブロックの周りに配置された絶縁体キャップをさらに備えてもよい。半導体処理装置は、前駆体の逆流が防止されるように、第二のブロックに取り付けられた少なくとも三つのバルブブロックを備えてもよい。ヒーターロッドは、第二のブロックを通って、第一のブロックに隣接する位置に延在し得る。
【選択図】図1

A semiconductor processing apparatus includes a manifold that includes a hole configured to deliver gas to a reaction chamber.
A semiconductor processing apparatus 10 includes a manifold 100 that includes a hole configured to deliver gas to a reaction chamber, the manifold including a first block 112a attached to a second block 112b. , the first and second attached blocks cooperate to at least partially define the hole 130. The manifold may further include an insulator cap disposed about the first block or the second block. The semiconductor processing apparatus may include at least three valve blocks attached to the second block such that backflow of precursors is prevented. The heater rod may extend through the second block to a location adjacent to the first block.
[Selection diagram] Figure 1

Description

(関連出願の相互参照)
本出願は、2020年3月9日に出願された米国特許出願第16/813,527号の一部継続であり、これは、「REACTOR MANIFOLDS」という題名の、2019年3月19日に出願された米国仮特許出願第62/820,711号の優先権の利益を主張するものであり、その各々の内容は、参照によりその全体が本明細書に組み込まれる。
(Cross reference to related applications)
This application is a continuation in part of U.S. patent application Ser. claims the benefit of priority to U.S. Provisional Patent Application No. 62/820,711, each of which is incorporated herein by reference in its entirety.

この分野は、一般に蒸着用マニホールドに関し、特に、原子層堆積(ALD)反応器における堆積の品質を改善するためのマニホールドに関する。 TECHNICAL FIELD This field relates generally to deposition manifolds, and specifically to manifolds for improving the quality of deposition in atomic layer deposition (ALD) reactors.

基材の表面上に薄膜を堆積するためのいくつかの蒸着方法がある。これらの方法は、真空蒸着、分子線エピタキシー(MBE)、化学蒸着(CVD)の様々な変形(低圧および有機金属CVDおよびプラズマ強化CVDなど)および原子層堆積(ALD)を含む。 There are several deposition methods for depositing thin films on the surface of a substrate. These methods include vacuum deposition, molecular beam epitaxy (MBE), various variants of chemical vapor deposition (CVD) (such as low pressure and metal-organic CVD and plasma-enhanced CVD), and atomic layer deposition (ALD).

ALDプロセスでは、被覆される少なくとも一つの表面を有する一つまたは複数の基材が堆積チャンバーに導入される。基材は、典型的には、選択された気相反応物質の凝縮温度よりも高く、かつその熱分解温度より低い所望の温度に加熱される。一つの反応物質は、前の反応物質の吸着種と反応して、基材表面上に所望の生成物を形成することができる。二つ、三つ以上の反応物質は、典型的には空間的および時間的に分離されたパルスで基材に提供される。 In an ALD process, one or more substrates having at least one surface to be coated are introduced into a deposition chamber. The substrate is typically heated to a desired temperature above the condensation temperature of the selected gas phase reactant and below its thermal decomposition temperature. One reactant can react with adsorbed species of a previous reactant to form a desired product on the substrate surface. Two, three or more reactants are typically provided to the substrate in spatially and temporally separated pulses.

一例では、第一のパルスにおいて、前駆体材料を表す第一の反応物質は、ウエハ上の自己制御プロセスでほとんど無傷に吸着される。気相前駆体は、前駆体の吸着部分と反応することができないか、または吸着することができないため、プロセスは自己制御的である。残りの第一の反応物質がウエハまたはチャンバーから除去された後、基材上の吸着前駆体材料は、その後の反応物質パルスと反応して、所望の材料の単一の分子層のみを形成する。後続の反応物質は、例えば、吸着した前駆体材料からリガンドをはがし、表面を再び反応させ、リガンドを置換し、化合物などに対する追加的な材料を残すことができる。純粋なALDプロセスでは、立体障害のために平均してサイクルごとに単層未満が形成され、それにより、前駆体分子のサイズが基材上の吸着部位へのアクセスを阻止し、これが後続サイクルで利用可能になりうる。より厚い膜は、目標の厚さが達成されるまで繰り返し成長サイクルを経て生成される。理論的には、成長がサイクル数のみに依存し、各パルスが飽和し、温度がそれらの反応物質(熱分解および凝縮なし)に対して理想的なALD温度ウィンドウ内にある限り、供給される質量または温度には依存しないため、成長速度はサイクルあたりオングストロームの観点から提供されることが多い。 In one example, in a first pulse, a first reactant representing a precursor material is adsorbed almost intact on the wafer in a self-controlled process. The process is self-limiting because the gas phase precursor cannot react with or adsorb the adsorbed portion of the precursor. After the remaining first reactant is removed from the wafer or chamber, the adsorbed precursor material on the substrate reacts with subsequent reactant pulses to form only a single molecular layer of the desired material. . Subsequent reactants can, for example, strip the ligand from the adsorbed precursor material, re-react the surface, displace the ligand, and leave additional material for the compound, etc. In a pure ALD process, on average less than a monolayer is formed per cycle due to steric hindrance, whereby the size of the precursor molecules prevents them from accessing the adsorption sites on the substrate, which in subsequent cycles may become available. Thicker films are produced through repeated growth cycles until the target thickness is achieved. Theoretically, growth depends only on the number of cycles, each pulse saturating and delivered as long as the temperature is within the ideal ALD temperature window for those reactants (no pyrolysis and no condensation) Since it is independent of mass or temperature, growth rates are often provided in terms of Angstroms per cycle.

反応物質および温度は、化学反応が複数サイクルの成長に責任を持つように、プロセス中の反応物質の凝縮および熱分解の両方を回避するように典型的に選択される。しかしながら、ALD処理の特定の変形では、条件は選択されて、ハイブリッドCVDおよびALD反応メカニズムを利用することによって、サイクルごとの成長速度、おそらくサイクルごとに一単分子層を超える成長速度に変化させることができる。その他の変形により、反応物質間の空間的および/または時間的な重複が許容されうる。ALDおよびその他の連続蒸着変形において、二つ、三つ、四つ、またはそれ以上の反応物質を単一サイクルで連続して供給することができ、各サイクルの内容は組成を調整するために変えることができる。 Reactants and temperatures are typically selected to avoid both condensation and thermal decomposition of the reactants during the process so that the chemical reaction is responsible for multiple cycles of growth. However, in certain variants of ALD processing, conditions can be selected to vary the growth rate from cycle to cycle, perhaps more than one monolayer per cycle, by utilizing hybrid CVD and ALD reaction mechanisms. I can do it. Other variations may allow for spatial and/or temporal overlap between reactants. In ALD and other continuous deposition variants, two, three, four, or more reactants can be fed sequentially in a single cycle, with the contents of each cycle being varied to adjust the composition. be able to.

典型的なALDプロセスの間、すべてが蒸気形態である反応物質パルスは、反応物質パルス間の除去ステップによって、反応空間(例えば、反応チャンバー)に連続的にパルスされ、気相の反応物質間の直接相互作用を回避する。例えば、不活性ガスパルスまたは「パージ」パルスを、反応物質のパルス間に提供することができる。不活性ガスは、次の反応物質パルスの前に一つの反応物質パルスのチャンバーをパージし、ガス相混合を回避する。自己制御的な成長を得るために、十分な量の各前駆体が提供されて基材を飽和させる。真のALDプロセスの各サイクルの成長速度は自己制御的であるため、成長速度は反応物質の流束ではなく、反応シーケンスの反復速度に比例する。 During a typical ALD process, reactant pulses, all in vapor form, are sequentially pulsed into a reaction space (e.g., a reaction chamber), with a removal step between the reactant pulses, and a removal step between the reactants in the gas phase. Avoid direct interaction. For example, inert gas or "purge" pulses can be provided between pulses of reactants. An inert gas purges the chamber of one reactant pulse before the next reactant pulse to avoid gas phase mixing. Sufficient amounts of each precursor are provided to saturate the substrate to obtain self-limiting growth. The growth rate of each cycle of a true ALD process is self-limiting, so that the growth rate is proportional to the repetition rate of the reaction sequence rather than the flux of reactants.

一態様では、ガスを反応チャンバーに送達するように構成された穴と、第二のブロックに取り付けられた第一のブロックと、穴を少なくとも部分的に画定するように協働する第一および第二の搭載ブロックと、ガス源と穴との間に流体連通を提供する供給チャネルとを含み、供給チャネルは第二のブロック内に少なくとも部分的に配置されている、マニホールドを含む、半導体処理装置が提供されている。半導体処理装置はさらに、第一のブロックと第二のブロックとの間の境界面で、穴の周りに配置された金属シールを含む。 In one aspect, the first block has a hole configured to deliver gas to the reaction chamber, the first block attached to the second block, and the first and second blocks configured to at least partially define the hole. a semiconductor processing apparatus including a manifold, the second mounting block and a supply channel providing fluid communication between the gas source and the hole, the supply channel being disposed at least partially within the second block; is provided. The semiconductor processing apparatus further includes a metal seal disposed about the hole at the interface between the first block and the second block.

いくつかの実施形態では、金属シールはCシールである。金属シールは鋼とすることができる。いくつかの実施形態では、金属シールはWシールである。半導体処理装置はさらに、マニホールドの下部に出口を含んでもよい。供給チャネルは、出口から離れて上方に、かつ穴に向かって内向きに角度付けられうる。第一のブロックは、マニホールドの上部に衝突表面を含むことができ、衝突表面は、穴を通って出口にガスを下方に向け直すように形作られる。衝突表面および出口は、穴の長軸方向軸に沿って配置されてもよい。 In some embodiments, the metal seal is a C-seal. The metal seal can be steel. In some embodiments, the metal seal is a W seal. The semiconductor processing apparatus may further include an outlet at the bottom of the manifold. The feed channel may be angled upwardly away from the outlet and inwardly toward the hole. The first block can include an impingement surface at the top of the manifold, the impingement surface shaped to redirect gas downward through the holes and to the outlet. The impingement surface and outlet may be located along the longitudinal axis of the hole.

いくつかの実施形態では、半導体処理装置は、絶縁体キャップをさらに含む。絶縁体キャップは、ポリテトラフルオロエチレン(PTFE)と、第一のブロックを囲むように構成された少なくとも二つの部材とを含み得る。複数のヒーターロッドは、第二のブロック内に配設されてもよい。 In some embodiments, the semiconductor processing apparatus further includes an insulator cap. The insulator cap may include polytetrafluoroethylene (PTFE) and at least two members configured to surround the first block. A plurality of heater rods may be disposed within the second block.

いくつかの実施形態では、半導体処理装置はさらに、第二のブロックより下に第二のブロックに取り付けられた第三のブロック、第二のブロックと第三のブロックとの間かつ穴の周りに少なくとも部分的に配置された第二の金属シールを含み、第二および第三のブロックは協働して穴を少なくとも部分的に画定する。出口は、第三のブロックによって少なくとも部分的に画定されてもよい。 In some embodiments, the semiconductor processing apparatus further includes a third block attached to the second block below the second block, between the second block and the third block and around the hole. including a second metal seal at least partially disposed, and the second and third blocks cooperatively at least partially define the hole. The outlet may be at least partially defined by the third block.

いくつかの実施形態では、第二のブロックは、第二のブロック内に配設されたヒーターロッドを備えてもよい。第二のブロックは、マニホールドを完全に加熱するために、第一のブロックまでずっと延在するヒーターロッドを収容するように構成されてもよい。 In some embodiments, the second block may include a heater rod disposed within the second block. The second block may be configured to house a heater rod that extends all the way to the first block to fully heat the manifold.

いくつかの実施形態では、半導体処理装置がさらに、出口の下流にガス分散装置を含み、ガス分散装置は、ガスの流れを反応チャンバー内に分散するように構成される。半導体処理装置はさらに、ガス分散装置の下流に反応チャンバーを含んでもよく、反応チャンバーは基材を受けるように構成される。いくつかの実施形態では、半導体処理装置はさらに、第二のブロックに取り付けられたバルブブロックと、バルブブロックと第一のブロックとの間に配置された第二の金属シールと、バルブブロックに取り付けられたかまたはバルブブロックと結合された反応物質ガスバルブとを含む。 In some embodiments, the semiconductor processing apparatus further includes a gas distribution device downstream of the outlet, the gas distribution device configured to distribute the flow of gas into the reaction chamber. The semiconductor processing apparatus may further include a reaction chamber downstream of the gas distribution device, the reaction chamber configured to receive the substrate. In some embodiments, the semiconductor processing apparatus further includes a valve block attached to the second block, a second metal seal disposed between the valve block and the first block, and a second metal seal attached to the valve block. and a reactant gas valve connected to the valve block.

いくつかの実施形態では、半導体処理装置は、第二のブロックに取り付けられた少なくとも三つのバルブブロックを備えてもよい。各バルブブロックは、第二のブロックのそれぞれの側面に取り付けられてもよく、少なくとも三つのバルブブロックのうちの二つは、衝突表面から同じ距離に位置する、互いに対向する側面上に取り付けられる。少なくとも三つのバルブブロックのうちの一つは、互いに対向する側面上に取り付けられた三つのバルブブロックのうちの二つよりも衝突表面の近くに取り付けられる。 In some embodiments, a semiconductor processing apparatus may include at least three valve blocks attached to a second block. Each valve block may be mounted on a respective side of the second block, with two of the at least three valve blocks being mounted on opposite sides located at the same distance from the impingement surface. One of the at least three valve blocks is mounted closer to the impingement surface than two of the three valve blocks mounted on opposite sides.

別の態様では、ガスを反応チャンバーに送達するように構成された穴と、マニホールドの上部で衝突表面とを備える、マニホールドを含む半導体処理装置が提供される。半導体処理装置はさらに、マニホールドの下部に出口と、ガス源と穴との間の流体連通を提供する供給チャネルを含み、供給チャネルは出口から離れて上方、かつ穴に向かって内向きに角度付けられ、供給チャネルは衝突表面に向かって上方にガスを向けるよう方向付けられ、衝突表面は穴を通って出口にガスを下方に向け直すように形作られる。半導体処理装置はまた、マニホールドの上部部分に取り付けられた絶縁体キャップを備えてもよく、絶縁体キャップは断熱性材料を備える。絶縁体キャップは、ポリテトラフルオロエチレン(PTFE)を含む少なくとも二つの部材を備えてもよく、これはマニホールドの上部部分を囲むように構成されてもよい。複数のヒーターロッドは、マニホールドの上部部分を少なくとも部分的に貫通するマニホールド内に配設されてもよい。 In another aspect, a semiconductor processing apparatus is provided that includes a manifold with a hole configured to deliver gas to a reaction chamber and an impingement surface at an upper portion of the manifold. The semiconductor processing apparatus further includes an outlet at the bottom of the manifold and a supply channel providing fluid communication between the gas source and the hole, the supply channel angled upwardly away from the outlet and inwardly toward the hole. and the feed channel is oriented to direct the gas upwardly toward the impingement surface, and the impingement surface is shaped to redirect the gas downwardly through the hole and to the outlet. The semiconductor processing equipment may also include an insulator cap attached to the upper portion of the manifold, the insulator cap comprising a thermally insulating material. The insulator cap may include at least two members comprising polytetrafluoroethylene (PTFE) and may be configured to surround the upper portion of the manifold. The plurality of heater rods may be disposed within the manifold at least partially through an upper portion of the manifold.

いくつかの実施形態では、マニホールドが第二のブロックに取り付けられた第一のブロックを含み、第一および第二の取り付けられたブロックは協働して、穴を少なくとも部分的に画定し、第一のブロックは衝突表面を含む。半導体処理装置はさらに、第一のブロックと第二のブロックとの間の穴の周りに少なくとも部分的に配置された金属シールをさらに含んでもよい。衝突表面および出口は、穴の長軸方向軸に沿って配置されてもよい。半導体処理装置はさらに、出口の下にシャワーヘッドを含んでもよく、シャワーヘッドはガスの流れを横方向に分散するように構成される。半導体処理装置はさらに、シャワーヘッドの下に反応チャンバーを含んでもよく、反応チャンバーは基材を受けるように構成される。いくつかの実施形態では、一つまたは複数の基材を収容するように構成された反応チャンバーに制限なしで出口が開く。 In some embodiments, the manifold includes a first block attached to a second block, the first and second attached blocks cooperate to at least partially define the hole, and the first and second attached blocks cooperate to at least partially define the hole; One block contains the impact surface. The semiconductor processing apparatus may further include a metal seal disposed at least partially around the hole between the first block and the second block. The impingement surface and outlet may be located along the longitudinal axis of the hole. The semiconductor processing apparatus may further include a showerhead below the outlet, the showerhead configured to laterally distribute the flow of gas. The semiconductor processing apparatus may further include a reaction chamber below the showerhead, the reaction chamber configured to receive the substrate. In some embodiments, an outlet opens without restriction into a reaction chamber configured to house one or more substrates.

ここで、本発明のこれらおよびその他の特徴、態様、および利点を、本発明を限定するのではなく例示することを意図する、幾つかの実施形態の図面を参照して記述する。 These and other features, aspects, and advantages of the invention will now be described with reference to the drawings of several embodiments, which are intended to illustrate rather than limit the invention.

図1は、半導体処理装置のマニホールドの実施形態の分解斜視図であり、挿入画像はマニホールドの拡大部分を示す。FIG. 1 is an exploded perspective view of an embodiment of a manifold for semiconductor processing equipment, with the inset showing an enlarged portion of the manifold. 図2Aは、図1の半導体処理装置の断面図である。2A is a cross-sectional view of the semiconductor processing apparatus of FIG. 1. FIG. 図2Bは、半導体処理装置の実施形態の断面図である。FIG. 2B is a cross-sectional view of an embodiment of a semiconductor processing apparatus. 図3Aは、図1および図2Aに示す半導体処理装置の概略断面図である。FIG. 3A is a schematic cross-sectional view of the semiconductor processing apparatus shown in FIGS. 1 and 2A. 図3Bは、図2Bの半導体処理装置の概略断面図である。FIG. 3B is a schematic cross-sectional view of the semiconductor processing apparatus of FIG. 2B. 図4は、バルブの配置を図示した図2Bおよび図3Bの半導体処理装置の概略図である。FIG. 4 is a schematic diagram of the semiconductor processing apparatus of FIGS. 2B and 3B illustrating valve placement. 図5は、半導体処理装置のいくつかの実施形態による斜視図である。FIG. 5 is a perspective view of some embodiments of semiconductor processing equipment. 図6は、マニホールドのいくつかの実施形態による斜視図である。FIG. 6 is a perspective view of some embodiments of a manifold. 図7Aは、マニホールドのいくつかの実施形態によるマニホールド本体の斜視図である。FIG. 7A is a perspective view of a manifold body according to some embodiments of the manifold. 図7Bは、図7Aの部分断面図である。FIG. 7B is a partial cross-sectional view of FIG. 7A. 図8Aは、マニホールドのいくつかの実施形態によるマニホールド本体の斜視図である。FIG. 8A is a perspective view of a manifold body according to some embodiments of the manifold. 図8Bは、図8Aの部分断面図である。FIG. 8B is a partial cross-sectional view of FIG. 8A. 図9は、いくつかの実施形態による絶縁体キャップの斜視図である。FIG. 9 is a perspective view of an insulator cap according to some embodiments.

本明細書に開示される実施形態は、基材への反応物質の曝露(例えば、パルス)を交互に行うプロセスを含む、任意の適切なガスまたは蒸着プロセス用に構成された半導体処理装置とともに利用されうる。例えば、図示された実施形態は、原子層堆積(ALD)技術を使用して基材上に材料を堆積するための様々なシステムを示す。蒸着技術の中で、ALDは、低温での高い共形性、およびプロセス中の組成の微調整を含む多くの利点を有する。ALDタイプのプロセスは、前駆体化学物質の制御された自己制御的表面反応に基づいている。気相反応は、前駆体を交互に逐次反応チャンバーの中へ供給することにより回避される。気相反応物質は、例えば反応物質パルス間の反応チャンバーから過剰の反応物質および/または反応副生成物を除去することにより、反応チャンバー内で互いに分離される。除去は、パルス間の圧力のパージおよび/または低下を含む、様々な技術によって達成することができる。パルスは連続的なフローで連続にすることができ、または反応器を単離して各パルスでバックフィルすることもできる。もちろん、本明細書に開示される装置は、装置によって使用されるプロセスが何らかの程度の熱分解および/または前駆体の重複を空間的または時間的に含みうるように、その他の蒸着プロセス、特に反応物質の交換が望まれるものに有用でありうる。 Embodiments disclosed herein may be utilized with semiconductor processing equipment configured for any suitable gas or vapor deposition process, including processes that provide alternating exposure (e.g., pulses) of reactants to a substrate. It can be done. For example, the illustrated embodiments show various systems for depositing materials onto a substrate using atomic layer deposition (ALD) techniques. Among vapor deposition techniques, ALD has many advantages, including high conformality at low temperatures and fine tuning of composition during the process. ALD-type processes are based on controlled, self-limiting surface reactions of precursor chemicals. Gas phase reactions are avoided by feeding the precursors into the reaction chamber in an alternating sequence. The gas phase reactants are separated from each other within the reaction chamber, eg, by removing excess reactants and/or reaction byproducts from the reaction chamber between reactant pulses. Removal can be accomplished by a variety of techniques, including purging and/or lowering the pressure between pulses. The pulses can be continuous with continuous flow, or the reactor can be isolated and backfilled with each pulse. Of course, the apparatus disclosed herein is suitable for use with other deposition processes, particularly reactions, such that the processes used by the apparatus may include some degree of pyrolysis and/or overlap of precursors in space or time. May be useful where exchange of substances is desired.

簡潔に述べると、基材を反応チャンバーの中へ装填し、一般的に減圧下で適切な堆積温度に加熱する。堆積温度は典型的に、前駆体の熱分解温度以下に維持されるが、反応物質の凝縮を避け、所望の表面反応のための活性化エネルギーを提供するのに十分高いレベルに維持される。もちろん、任意の所与のALD反応に対する適切な温度ウィンドウは、表面終結および関係する反応物質種に依存し、凝縮または熱分解のいずれかを可能にするプロセスを本明細書に記載の装置で実施することができる。 Briefly, the substrate is loaded into a reaction chamber and heated to the appropriate deposition temperature, typically under reduced pressure. Deposition temperatures are typically maintained below the thermal decomposition temperature of the precursor, but at a level high enough to avoid condensation of reactants and provide activation energy for the desired surface reactions. Of course, the appropriate temperature window for any given ALD reaction will depend on the surface termination and reactant species involved, and processes that allow either condensation or pyrolysis to be carried out in the apparatus described herein. can do.

第一の反応物質を、気相パルスの形態でチャンバー内へ導入し、基材の表面と接触させる。好ましくは、前駆体の約一単層以下が自己制御で基材表面に吸着されるように条件を選択する。過剰な第一の反応物質および反応副生成物がある場合には、しばしば不活性ガス、例えば窒素またはアルゴンのパルスにより反応チャンバーからパージされる。 A first reactant is introduced into the chamber in the form of a gas phase pulse and brought into contact with the surface of the substrate. Preferably, conditions are selected such that no more than about one monolayer of precursor is adsorbed onto the substrate surface in a self-controlled manner. Excess first reactant and reaction by-products, if any, are often purged from the reaction chamber with a pulse of inert gas, such as nitrogen or argon.

反応チャンバーをパージするということは、真空ポンプでチャンバーを排気することにより、および/または反応器内のガスを不活性ガス、例えばアルゴンもしくは窒素で置換することにより、反応チャンバーから気相前駆体および/または気相副生成物を除去することを意味する。典型的な単一ウエハ反応器のパージ時間は、約0.05~20秒、具体的には、約1~10秒、さらにより具体的には約1~2秒である。しかし、非常に高いアスペクト比の構造上に、または複雑な表面形態を有する他の構造上に層を堆積することが必要な場合、または大容量バッチ反応器を採用する場合などには、必要に応じて、他のパージ時間を利用することができる。適切なパルス時間は、特定の状況に基づいて当業者が容易に決定することができる。 Purging the reaction chamber means removing the gas phase precursors and / or means removing gas phase by-products. Typical single wafer reactor purge times are about 0.05 to 20 seconds, specifically about 1 to 10 seconds, and even more specifically about 1 to 2 seconds. However, it may be necessary, for example, when it is necessary to deposit layers on structures with very high aspect ratios or on other structures with complex surface morphologies, or when large-capacity batch reactors are employed. Other purge times can be used as appropriate. Appropriate pulse times can be readily determined by those skilled in the art based on the particular situation.

第二のガス状反応物質がチャンバーの中へパルスされ、そこで表面に結合した第一の反応物質と反応する。過剰な第二の反応物質および表面反応のガス状副生成物を、好ましくは不活性ガスを用いて反応チャンバーからパージする。パルスおよびパージの工程は、基材上に所望の厚さの薄膜が形成されるまで繰り返され、各サイクルは単分子層以下を残す。いくつかのALDプロセスは、三つ以上の前駆体パルスを交互に有する、より複雑なシーケンスを有することができ、各前駆体は成長する膜に要素を寄与する。反応物質はまた、膜に対する要素を寄与するのではなく、ストリップまたはゲッターの接着リガンドおよび/または遊離副産物に対して独自のパルスまたは前駆体パルスで供給することもできる。さらに、すべてのサイクルは同一である必要はない。例えば、膜の化学量論を制御するために、第三の反応物質のパルスを、例えば五サイクルごとなどに頻繁に追加することによって、第三の要素を用いてバイナリー膜をドープすることができ、膜組成物を等級化するために堆積中に周波数を変化させることができる。さらに、吸着反応物質で始まると記述される一方で、一部のレシピは、例えば、ALD反応を開始するための最大反応部位を確保するために(例えば、特定のレシピでは、水パルスにより基材上にヒドロキシル基を提供して、特定のALD前駆体に対する反応性を高めることができる)、他の反応物質または別個の表面処理で開始してもよい。 A second gaseous reactant is pulsed into the chamber where it reacts with the first reactant bound to the surface. Excess second reactant and gaseous byproducts of the surface reaction are purged from the reaction chamber, preferably using an inert gas. The pulsing and purging steps are repeated until a film of desired thickness is formed on the substrate, each cycle leaving no more than a monolayer. Some ALD processes can have more complex sequences with three or more alternating precursor pulses, each precursor contributing an element to the growing film. Reactants can also be supplied in their own pulses or precursor pulses to the strip or getter adhesion ligands and/or free by-products, rather than contributing elements to the membrane. Furthermore, all cycles do not have to be identical. For example, a binary film can be doped with a third element by adding frequent pulses of the third reactant, e.g. every fifth cycle, to control the stoichiometry of the film. , the frequency can be varied during deposition to grade the film composition. Additionally, while described as starting with an adsorbed reactant, some recipes may require a water pulse to adsorb the substrate (e.g., to ensure maximum reaction sites to initiate the ALD reaction). hydroxyl groups may be provided on top to increase reactivity towards certain ALD precursors), other reactants or separate surface treatments may be initiated.

上述したように、各サイクルの各パルスまたは相は、ALD反応に対して自己制御的であることが好ましい。影響されやすい構造表面を飽和させるために、各相で過剰の反応物質前駆体が供給される。表面飽和によって、(例えば、物理的サイズまたは立体障害の制限を受ける)全ての利用可能な反応性部位の反応物質の占有が確実になり、したがって基材上の任意のトポグラフィー上の優れたステップカバレージが確実になる。いくつかの構成では、自己制御的挙動の程度は、例えば(いくらかのCVD型反応を可能にすることで)堆積速度と共形性との釣り合いを取るために、反応物質パルスのある程度の重なり合いを可能にすることにより調整することができる。時間的および空間的に十分に分離された反応物質を用いた理想的なALD条件は、ほぼ完全な自己制御的挙動、したがって最大の共形性を提供するが、立体障害により一サイクル当たり一単分子層未満となる。自己制御的ALD反応と混合された限られたCVD反応は、堆積速度を上昇させることができる。本明細書に記述した実施形態は、ALDおよび混合モードALD/CVDなどの逐次的パルス堆積技術に特に有利であるが、マニホールドは、パルスまたは連続的なCVD処理にも用いることができる。適切な装置を備えたCVD反応器および前駆体をパルスするための手段を含む、薄膜のALD成長が可能な多くの種類の反応器を使用することができる。いくつかの実施形態では、フロータイプALD反応器は、バックフィルド反応器と比較して使用される。いくつかの実施形態では、マニホールドは、ガスを反応空間、特に単一ウエハ反応空間上のシャワーヘッドアセンブリなどの分散機構に分配するように設計された、インジェクタの上流にある。 As mentioned above, each pulse or phase of each cycle is preferably self-limiting to the ALD reaction. Excess reactant precursors are provided in each phase to saturate sensitive structural surfaces. Surface saturation ensures reactant occupancy of all available reactive sites (e.g., subject to physical size or steric hindrance limitations), thus providing superior step-up over any topography on the substrate. Coverage is assured. In some configurations, the degree of self-limiting behavior is determined by providing some degree of overlap of the reactant pulses, for example to balance deposition rate and conformality (by allowing some CVD-type reactions). It can be adjusted by making it possible. Ideal ALD conditions with reactants that are well separated in time and space provide nearly perfect self-limiting behavior and thus maximum conformality, but steric hindrance causes Less than a molecular layer. A limited CVD reaction mixed with a self-limiting ALD reaction can increase the deposition rate. Although the embodiments described herein are particularly advantageous for sequential pulsed deposition techniques such as ALD and mixed mode ALD/CVD, the manifold can also be used for pulsed or continuous CVD processing. Many types of reactors capable of ALD growth of thin films can be used, including CVD reactors equipped with appropriate equipment and means for pulsing the precursors. In some embodiments, a flow-type ALD reactor is used compared to a backfilled reactor. In some embodiments, a manifold is upstream of an injector designed to distribute gas to a distribution mechanism, such as a showerhead assembly, over a reaction space, particularly a single wafer reaction space.

適切な装置を備えたCVD反応器および前駆体をパルスするための手段を含む、薄膜のALD成長が可能な多くの種類の反応器を使用することができる。いくつかの実施形態では、フロータイプALD反応器は、バックフィルド反応器と比較して使用される。いくつかの実施形態では、マニホールドは、ガスを反応空間、特に単一ウエハ反応空間上のシャワーヘッドアセンブリなどの分散機構に分配するように設計された、インジェクタの上流にある。 Many types of reactors capable of ALD growth of thin films can be used, including CVD reactors equipped with appropriate equipment and means for pulsing the precursors. In some embodiments, a flow-type ALD reactor is used compared to a backfilled reactor. In some embodiments, a manifold is upstream of an injector designed to distribute gas to a distribution mechanism, such as a showerhead assembly, over a reaction space, particularly a single wafer reaction space.

ALDプロセスは、クラスタツールに接続された反応チャンバーまたは反応空間で任意に実施することができる。クラスタツールでは、各反応空間が一つの型のプロセス専用であるため、各モジュール内の反応空間の温度を一定に保つことができ、各運転の前に基材をプロセス温度に加熱する反応器と比較してスループットが向上する。独立型反応器にはロードロックが装備されている。その場合、各運転と運転との間に反応チャンバーまたは反応空間を冷却する必要はない。これらのプロセスはまた、複数の基材を同時に処理するよう設計された反応器、例えばミニバッチ型シャワーヘッド反応器で実行することができる。 The ALD process can optionally be performed in a reaction chamber or reaction space connected to a cluster tool. In cluster tools, the temperature of the reaction spaces within each module can be kept constant because each reaction space is dedicated to one type of process, and the reactor and heat substrates are heated to process temperature before each run. Throughput is improved in comparison. The stand-alone reactor is equipped with a load lock. In that case, there is no need to cool the reaction chamber or reaction space between each run. These processes can also be carried out in reactors designed to process multiple substrates simultaneously, such as mini-batch showerhead reactors.

本明細書に開示される様々な実施形態は、蒸着装置などの半導体装置(例えば、ALD装置、CVD装置など)に関し、これには反応物質蒸気を反応チャンバーに送達するためのマニホールドが含まれる。標準的な条件下での化学物質の自然状態に関わらず、反応物質蒸気は、本明細書では「ガス」と呼ばれうる。本明細書に開示される実施形態は、マニホールドの穴に効果的な流体シールを有益に提供することができる。例えば、様々な実施形態では、隣接するブロック間に金属シール(例えば、Cシール)を提供して、外側環境からマニホールドへのガス(例えば、空気)の流れを抑制することができる。さらに、本明細書に開示された実施形態は、例えば、反応物質ガスをある角度で供給チャネルを通して上方に向け、反応物質ガスを、穴を通して下方に戻すことによって、延長した混合長さを提供することができる。開示された実施形態は、したがって、改善されたシーリング、延長した混合長さ、および基材における不均一性の低減を提供することができる。 Various embodiments disclosed herein relate to semiconductor devices, such as vapor deposition devices (eg, ALD devices, CVD devices, etc.), which include a manifold for delivering reactant vapors to a reaction chamber. Regardless of the natural state of the chemical under standard conditions, the reactant vapor may be referred to herein as a "gas." Embodiments disclosed herein can advantageously provide an effective fluid seal to the manifold holes. For example, in various embodiments, metal seals (eg, C-seals) can be provided between adjacent blocks to restrict the flow of gas (eg, air) from the outside environment to the manifold. Additionally, embodiments disclosed herein provide extended mixing lengths, for example, by directing the reactant gas upwardly through the feed channel at an angle and returning the reactant gas downwardly through the holes. be able to. The disclosed embodiments can therefore provide improved sealing, extended mixing length, and reduced non-uniformity in the substrate.

図1は、マニホールド100のマニホールド本体102に取り付けられるように構成されたバルブブロック112aの分解図を示す。図2Aは、ガスを反応チャンバー(図示せず)に送達するためのマニホールド100を含むことができる、半導体処理装置10の概略側面図である。図2Aに示される半導体処理装置10およびマニホールド100は、米国特許第9,574,268号および米国特許公開第2017-0350011号に示される半導体処理装置およびマニホールドと一般的に類似していてもよく、その開示は、その全体があらゆる目的のために参照により本明細書に組み込まれる。米国特許第9,574,268号明細書および米国特許公開第2017-0350011号に記載されるマニホールドと、図2Aのマニホールド100との間には様々な差異があるが、全体的な機能および設計は、例えば米国特許第9,574,268号および米国特許公開第2017-0350011号の図6A~6Jおよび/または図8A~8Fに類似していてもよい。さらに、本開示のマニホールドは、米国特許第9,574,268号および米国特許公開第2017-0350011号の図2のバルブと組み合わせることができ、および/または米国特許第9,574,268号および米国特許公開第2017-0350011号の図3Aの反応物質/不活性ガス源、ガス分散機構、コントローラ、反応チャンバー、および真空源と組み合わせることができる。 FIG. 1 shows an exploded view of a valve block 112a configured to be attached to the manifold body 102 of the manifold 100. FIG. 2A is a schematic side view of semiconductor processing apparatus 10, which may include a manifold 100 for delivering gases to a reaction chamber (not shown). The semiconductor processing equipment 10 and manifold 100 shown in FIG. 2A may be generally similar to the semiconductor processing equipment and manifold shown in U.S. Patent No. 9,574,268 and U.S. Patent Publication No. 2017-0350011. , the disclosure of which is incorporated herein by reference in its entirety for all purposes. Although there are various differences between the manifold described in U.S. Patent No. 9,574,268 and U.S. Patent Publication No. 2017-0350011 and the manifold 100 of FIG. may be similar to, for example, FIGS. 6A-6J and/or FIGS. 8A-8F of US Patent No. 9,574,268 and US Patent Publication No. 2017-0350011. Additionally, the manifold of the present disclosure can be combined with the valve of FIG. It can be combined with the reactant/inert gas source, gas distribution mechanism, controller, reaction chamber, and vacuum source of FIG. 3A of US Patent Publication No. 2017-0350011.

マニホールド100は、マニホールド本体102の反対側に示されるバルブブロック112a、112bと接続されたマニホールド本体102を含むことができる。反応物質バルブおよび不活性ガスバルブ(図示せず)は、ブロック112a、112b上またはその他の上流ブロック(図示せず)上に配置される。不活性ガス入口120は、例えば、マニホールド100の上部からマニホールド100に不活性ガスを供給することができる。マニホールド本体102は、例えば、上方ブロック104、中間ブロック106、および下方ブロック108を含む複数のブロックを備え、これらは互いに積み重ねられて、穴130を少なくとも部分的に画定し、これに沿ってガスが流れる。図2Aの配設では、中間ブロック106は、サブブロック106aおよびサブブロック106bを備える。下方ブロック108は、第一のサブブロック108a、第二のサブブロック108b、および第三のサブブロック108cを備える。米国特許第9,574,268号明細書および米国特許公開第2017-0350011号に説明されているように、複数のブロックおよびサブブロックを使用することにより、湾曲した形状または角度付けられた形状および他の内部ルーメンを有する内部チャネルの使用を可能にする、マニホールド100のモジュール式構造が可能になる。 Manifold 100 may include a manifold body 102 connected to valve blocks 112a, 112b shown on opposite sides of the manifold body 102. Reactant valves and inert gas valves (not shown) are located on blocks 112a, 112b or other upstream blocks (not shown). Inert gas inlet 120 can supply inert gas to manifold 100 from the top of manifold 100, for example. The manifold body 102 includes a plurality of blocks, including, for example, an upper block 104, an intermediate block 106, and a lower block 108, which are stacked on top of each other to at least partially define a hole 130 along which gas flows. flows. In the arrangement of FIG. 2A, intermediate block 106 comprises sub-block 106a and sub-block 106b. Lower block 108 includes a first sub-block 108a, a second sub-block 108b, and a third sub-block 108c. By using multiple blocks and sub-blocks, curved or angled shapes and Modular construction of manifold 100 is enabled, allowing the use of internal channels with other internal lumens.

図2Aは、米国特許第9,574,268号および米国特許公開第2017-0350011号の図6A~6Jに関連して説明したマニホールドの改変版である。サブブロック108a~108cは、第一の側部180a、オフセット軸部180b、および第二の側部180bを有する延長した混合長さ経路180を画定することができる。経路180は、供給ガスが穴130に導入される場所の下流に延長した混合長さを提供することができる。マニホールド100は、図2Aに示すガス分布チャネル136を含む複数のガス分布チャネルを含むことができる。供給チャネル138a-cは、分布チャネル136から穴130へガスを運ぶ。示されるように、供給チャネル138a-cは、穴130を接合するために下方に角度を付けられた角度付き供給チャネルを備え、流れはまた出口132に向かって下向きである。図2Aの配設では、ガスは、延長した混合長さ経路180に沿ったものを含む、穴130を通って流れ、出口132を通ってマニホールド100を出る。出口132は、シャワーヘッドなどの分散機構上に配置されてもよく、これは反応チャンバー(図示せず)内の基材上にガスを分散することができる。 FIG. 2A is a modified version of the manifold described in connection with FIGS. 6A-6J of US Patent No. 9,574,268 and US Patent Publication No. 2017-0350011. Sub-blocks 108a-108c may define an extended mixed length path 180 having a first side 180a, an offset shank 180b, and a second side 180b. Pathway 180 may provide an extended mixing length downstream of where the feed gas is introduced into hole 130. Manifold 100 may include multiple gas distribution channels, including gas distribution channel 136 shown in FIG. 2A. Supply channels 138a-c convey gas from distribution channels 136 to holes 130. As shown, feed channels 138a-c include angled feed channels that are angled downwardly to join holes 130, and flow is also downward toward outlet 132. In the arrangement of FIG. 2A, gas flows through holes 130, including along extended mixing length path 180, and exits manifold 100 through outlet 132. Outlet 132 may be placed on a dispersion mechanism, such as a showerhead, that can disperse the gas onto a substrate within a reaction chamber (not shown).

図2Aの配設は、延長した混合長さを有益に提供することができるが、延長した混合長さ経路180およびマニホールド100内の他の経路は、例えば、反応物質ガスのパージ中にデッドボリュームを導入しうる湾曲および曲がり角を含む。デッドボリュームの形成は、堆積プロセスの効率および有効性を低下させる場合がある。図2Aに示す配設の湾曲し、角度付けられた流れ経路は、基材上に「スロー」を作り出す場合もある。例えば、湾曲した経路180の使用は、基材上に不均一に導入できるガスに角運動量を与えることができる。 Although the arrangement of FIG. 2A can beneficially provide an extended mixing length, the extended mixing length path 180 and other paths within the manifold 100 may be used to reduce dead volume during purging of reactant gases, for example. including curves and turns that may introduce Dead volume formation may reduce the efficiency and effectiveness of the deposition process. The curved, angled flow path of the arrangement shown in FIG. 2A may also create a "slow" on the substrate. For example, the use of curved path 180 can impart angular momentum to the gas, which can be introduced non-uniformly over the substrate.

さらに、図3Aに関連して以下でより詳細に説明するように、および図1に示すように、図2Aのマニホールド100は、例えば、垂直に積み重ねられたブロック104、106a、106b、108の隣接するブロックと関連するサブブロックとの間、ならびに横方向に隣接するバルブブロック112a、112b(本明細書では「トゥームストーン」とも呼称される)とマニホールド本体102との間の隣接するブロック間のシールとして、ポリマーOリング210(図2Aに示すが、図1および図3Aで標識される)を利用する。しかしながら、高分子Oリングの使用は、外側環境からマニホールド100に入る大気ガスなど、ガスに対して透過性であってもよい。例えば、酸素および/または水分は、ポリマーOリングを通って、穴130に入る場合があり、これは堆積プロセスを汚染する可能性がある。さらに、図1に示すように、Oリング210は、酸素がマニホールド本体102に入ることを可能にし、これによりマニホールド本体102とのOリング境界面に薄片状膜を作り出すことができる。 Further, as described in more detail below in connection with FIG. 3A, and as shown in FIG. 1, the manifold 100 of FIG. seals between adjacent blocks and associated sub-blocks, as well as between laterally adjacent valve blocks 112a, 112b (also referred to herein as “tombstones”) and manifold body 102. As such, a polymer O-ring 210 (shown in FIG. 2A but labeled in FIGS. 1 and 3A) is utilized. However, the use of polymeric o-rings may be permeable to gases, such as atmospheric gases entering manifold 100 from the outside environment. For example, oxygen and/or moisture may enter hole 130 through the polymer O-ring, which can contaminate the deposition process. Additionally, as shown in FIG. 1, the O-ring 210 allows oxygen to enter the manifold body 102, thereby creating a flaky film at the O-ring interface with the manifold body 102.

図2Bは、一実施形態による改善された半導体処理装置10の概略側面図である。特に記載がない限り、図2Bの構成要素は、図2Aの同様の番号付き構成要素と一般的に類似していてもよく、または同様であってもよい。例えば、図2Bの装置10は、マニホールド本体102を通る穴130を画定するマニホールド100を含むことができる。図2Aと同様に、図2Bの実施形態では、マニホールド100は、複数のブロックを互いに取り付けることによって構成されうる。例えば、図2Bに示すように、上方ブロック104は中間ブロック106に取り付けることができる。中間ブロック106は、出口132を含むことができる下方ブロック108に取り付けることができる。本明細書および米国特許公開第2017/0350011号の段落[0049]で説明されるように、複数のブロックの使用は、湾曲した、角度付けられた、またはその他の複雑な幾何学的形状を含む、モジュールのモジュール式構造を可能にしうる。第一および第二のバルブブロック112a、112bはまた、マニホールド本体102に取り付けることができる。 FIG. 2B is a schematic side view of improved semiconductor processing apparatus 10 according to one embodiment. Unless otherwise noted, components in FIG. 2B may be generally similar or similar to similarly numbered components in FIG. 2A. For example, the apparatus 10 of FIG. 2B can include a manifold 100 defining a hole 130 through the manifold body 102. Similar to FIG. 2A, in the embodiment of FIG. 2B, manifold 100 may be constructed by attaching multiple blocks together. For example, as shown in FIG. 2B, upper block 104 can be attached to middle block 106. Intermediate block 106 can be attached to lower block 108, which can include outlet 132. As described herein and in paragraph [0049] of U.S. Patent Publication No. 2017/0350011, the use of multiple blocks includes curved, angled, or other complex geometries. , may enable modular construction of modules. First and second valve blocks 112a, 112b may also be attached to manifold body 102.

供給ライン138a~138cは、対応するガス分布チャネルから穴130へガスを供給するために提供されうる。図2Aの実施形態とは異なり、図2Bのチャネル138A~138cは、中間ブロック106のそれらの初期入力位置に対して出口132および下流反応チャンバーから離れて上方に角度付けすることができる。供給チャネル138a~138cは、供給チャネル138a~138cと穴130との間に測定される鋭角を区切りうる。供給チャネル138a~138cの上端は、衝突表面214で終結または合流することができ、供給されたガスを、穴130を通して下方に向けることができる。図2Bの衝突表面214は、表面214に衝突するガスを、穴130を通って逆戻りする角度で、効率的に方向付けるように形作られた湾曲表面を備えることができる。図2Bでは、衝突表面214および出口132は、穴130の線状長軸方向軸に沿って配置されてもよい。したがって、不活性ガス入口120が上方ブロック104内に提供される図2Aとは異なり、図2Bの実施形態では、上方ブロック104の一番上の部分は、反応物質および不活性ガスを含む供給ガスがマニホールド100の側面から提供されるように、キャップされる。 Supply lines 138a-138c may be provided to supply gas to holes 130 from corresponding gas distribution channels. Unlike the embodiment of FIG. 2A, channels 138A-138c of FIG. 2B can be angled upwardly and away from outlet 132 and downstream reaction chamber relative to their initial input position of intermediate block 106. The feed channels 138a-138c may define an acute angle measured between the feed channels 138a-138c and the hole 130. The upper ends of supply channels 138a-138c can terminate or merge at impingement surface 214 to direct the supplied gas downwardly through holes 130. The impingement surface 214 of FIG. 2B can include a curved surface shaped to efficiently direct gas impinging on the surface 214 at an angle back through the holes 130. In FIG. 2B, impingement surface 214 and outlet 132 may be positioned along the linear longitudinal axis of bore 130. In FIG. Thus, unlike FIG. 2A, where an inert gas inlet 120 is provided in the upper block 104, in the embodiment of FIG. is provided from the side of the manifold 100.

マニホールド本体102の穴130は、マニホールド本体102の底部にある出口132によって、反応物質および/または不活性ガスを反応器21の反応チャンバー30に送達することができる。示されるようなシャワーヘッドなどの分散装置35、または他の実施形態での水平注入装置は、複数の開口部19と流体連通するプレナム32を含みうる。反応物質蒸気は開口部19を通過し、反応チャンバー30内に供給されうる。基材支持体22は、反応チャンバー30内のウエハなどの基材36を支持するように、構成されても、またはサイズ設定および形作られてもよい。分散反応物質蒸気は基材に接触し、反応して、基材上に層(例えば、単層など)を形成することができる。分散装置35は、基材上に均一な層を形成するように、反応物質蒸気を分散させうる。 Holes 130 in manifold body 102 can deliver reactants and/or inert gas to reaction chamber 30 of reactor 21 via outlet 132 at the bottom of manifold body 102 . A dispersion device 35, such as a showerhead as shown, or a horizontal injection device in other embodiments, may include a plenum 32 in fluid communication with a plurality of openings 19. Reactant vapor may pass through opening 19 and be supplied into reaction chamber 30 . Substrate support 22 may be configured or sized and shaped to support a substrate 36, such as a wafer, within reaction chamber 30. The dispersed reactant vapor can contact the substrate and react to form a layer (eg, a monolayer, etc.) on the substrate. Dispersion device 35 may disperse the reactant vapor to form a uniform layer on the substrate.

排気ライン23は、反応チャンバー30と流体連通することができる。真空ポンプ24は、排気ライン23に吸引を適用して、反応チャンバー30から蒸気および過剰材料を排出することができる。反応器21は、原子層堆積(ALD)装置、化学蒸着(CVD)装置などの任意の好適なタイプの半導体反応器を備えることができる。さらに、装置10は、反応器21と電子およびデータ通信する制御システム34を備えることができる。制御システム34は、装置10の動作を制御するように構成された一つまたは複数のプロセッサを備えることができる。装置10の動作を管理するために、追加の構成要素が提供されてもよい。 Exhaust line 23 may be in fluid communication with reaction chamber 30. Vacuum pump 24 can apply suction to exhaust line 23 to evacuate vapor and excess material from reaction chamber 30. Reactor 21 may comprise any suitable type of semiconductor reactor, such as an atomic layer deposition (ALD) device, a chemical vapor deposition (CVD) device, etc. Additionally, apparatus 10 can include a control system 34 in electronic and data communication with reactor 21. Control system 34 may include one or more processors configured to control operation of device 10. Additional components may be provided to manage the operation of device 10.

有益なことには、上方に傾斜した供給チャネル138a~138cおよび衝突表面214の使用は、それに沿ってガスが一様に混合されうる延長した混合長さを提供できる。さらに、チャネル138a~138cによって提供される延長した混合長さは、図2Aの湾曲した経路180が使用された時に生じる可能性のある「スロー」または渦巻き状/らせん状の流動効果、ならびに経路180に沿った湾曲したおよび曲がり角のあるチャネルに生じる可能性のあるデッドボリュームを低減できる。「スロー」効果はまた、例えば、横方向に傾斜する代わりに、垂直平面でのみ傾斜することによって軽減されうる。 Beneficially, the use of upwardly sloping feed channels 138a-138c and impingement surface 214 can provide an extended mixing length along which gas can be mixed uniformly. Additionally, the extended mixing length provided by channels 138a-138c reduces the "throw" or spiral/helical flow effects that may occur when curved path 180 of FIG. 2A is used, as well as the path 180. The dead volume that can occur in curved and angled channels along can be reduced. The "throw" effect may also be reduced, for example, by tilting only in the vertical plane instead of laterally.

また、図2Bでは、図1および図2AのOリング210は(図3Aでコールアウトされている)、隣接するブロック間に配置される金属シール212と置き換えることができる。金属シール212は、任意の適切なタイプの金属シールを含むことができる。金属シール212は、隣接するブロック間の境界面に提供されてもよく、穴130の周りに少なくとも部分的に配置されてもよく、または供給チャネル、ガス分布チャネルなどの他の隣接する通路またはチャネルの周りに少なくとも部分的に配置されてもよい。図示した実施形態では、金属シール212はCシールを含み、シールは、シールの外周に間隙または接続されていない部分を有するC型トロイダル部材を含む。隣接するブロックをまとめて押すと、シールの対向する端が互いに接触するように、間隙が減少されうる。例えば、Wシールを含む他のタイプのシールが適切である場合もある。金属シール212は、鋼などの任意の適切なタイプの金属を含むことができる。金属シール212は、ガスがブロック境界面に沿って穴130に入るかまたは出るのを阻止する不透過性バリアを提供することができる。 Also, in FIG. 2B, the O-ring 210 of FIGS. 1 and 2A (called out in FIG. 3A) can be replaced with a metal seal 212 placed between adjacent blocks. Metal seal 212 may include any suitable type of metal seal. A metal seal 212 may be provided at the interface between adjacent blocks and may be disposed at least partially around the hole 130 or other adjacent passages or channels such as supply channels, gas distribution channels, etc. may be disposed at least partially around the . In the illustrated embodiment, the metal seal 212 includes a C-seal, which includes a C-shaped toroidal member with a gap or unconnected portion around the outer periphery of the seal. By pressing adjacent blocks together, the gap may be reduced so that opposite ends of the seal contact each other. Other types of seals may be suitable, including, for example, W seals. Metal seal 212 may include any suitable type of metal, such as steel. Metal seal 212 can provide an impermeable barrier that prevents gas from entering or exiting hole 130 along the block interface.

図3Aは、マニホールドタワーまたは本体102およびバルブブロック112a、112bのブロック間の境界面での、図2Aの装置10におけるいくつかのOリング210のおおよその位置を示す概略側面図である。図3Bは、図2Bの装置10における金属リング212のおおよその位置を示す概略側面図である。特に明記しない限り、図3A~図3Bの参照番号は、図2A~図2Bの同様の番号付き構成要素と同じまたは一般的に同様の構成要素を表す。図3A~3Bは、それぞれのバルブブロック112a、112bに取り付けられた反応物質バルブ116a、116bも図示する。ガス注入器を穴130の出口132の下流にかつ隣接して提供し、一つまたは複数の基材を収容するよう設計された下流反応チャンバー(図示せず)にガスを提供することができる。いくつかの実施形態では、ガス注入器は、マニホールド100の穴130と反応チャンバーとの間のオープンボリュームを含むことができる。いくつかの実施形態では、マニホールド100の穴130と反応チャンバーとの間にガス分散装置820を提供することができる。図3Aでは、こうしたガス分散装置は、シャワーヘッドプレナムおよびシャワーヘッドプレートを備える。他の配設では、ガス分散装置を伴うまたは伴わないチャンバーの側面にマニホールドが提供されて、ガスを反応チャンバーに注入することができる。 FIG. 3A is a schematic side view showing the approximate location of several O-rings 210 in the apparatus 10 of FIG. 2A at the block-to-block interface of the manifold tower or body 102 and valve blocks 112a, 112b. FIG. 3B is a schematic side view showing the approximate location of metal ring 212 in device 10 of FIG. 2B. Unless otherwise specified, reference numbers in FIGS. 3A-3B represent the same or generally similar components as similarly numbered components in FIGS. 2A-2B. 3A-3B also illustrate reactant valves 116a, 116b attached to respective valve blocks 112a, 112b. A gas injector can be provided downstream and adjacent the outlet 132 of the hole 130 to provide gas to a downstream reaction chamber (not shown) designed to accommodate one or more substrates. In some embodiments, the gas injector can include an open volume between the hole 130 of the manifold 100 and the reaction chamber. In some embodiments, a gas distribution device 820 can be provided between the holes 130 of the manifold 100 and the reaction chamber. In FIG. 3A, such a gas distribution device includes a showerhead plenum and a showerhead plate. In other arrangements, a manifold can be provided on the side of the chamber with or without a gas distribution device to inject gas into the reaction chamber.

図3Aの配設では、装置10は14個のOリング210を含む。マニホールドタワー100上では、各点は、マニホールド100上に提供されるOリング210につき半分のOリング210を示すが、バルブブロック112a、112bとマニホールド本体102との間、およびバルブブロック112a、112bと配電線との間に示されるドットは、概略的に示されている(断面にはない)。ソース1ラインとバルブブロック112aとの間、ソース2ラインとバルブブロック112bとの間、第一のパージライン(パージN2)とバルブブロック112aとの間、および第二のパージライン(パージN2)とバルブブロック112bとの間にOリング210がある。第一のバルブブロック112aと第一の中間ブロック106aとの間、および第二のバルブブロック112bと第一の中間ブロック106aとの間にOリング210の形態のシールがある。上方ブロック4と第一の中間ブロック106aとの間に2個のOリングが提供される。第一の中間ブロック106aと第二の中間ブロック106bとの間に3個のOリング210が提供される。第二の中間ブロック106bと第一の下方ブロック108aとの間、第一の下方ブロック108aと第二の下方ブロック108bとの間、および第二の下方ブロック108bと第三の下方ブロック108cとの間にOリングがある。 In the arrangement of FIG. 3A, device 10 includes fourteen O-rings 210. On the manifold tower 100, each point represents half an O-ring 210 for each O-ring 210 provided on the manifold 100, but between the valve blocks 112a, 112b and the manifold body 102, and between the valve blocks 112a, 112b and The dots shown between the distribution lines are shown schematically (not in cross section). Between the source 1 line and the valve block 112a, between the source 2 line and the valve block 112b, between the first purge line (purge N2) and the valve block 112a, and between the second purge line (purge N2). There is an O-ring 210 between the valve block 112b and the valve block 112b. There are seals in the form of O-rings 210 between the first valve block 112a and the first intermediate block 106a and between the second valve block 112b and the first intermediate block 106a. Two O-rings are provided between the upper block 4 and the first intermediate block 106a. Three O-rings 210 are provided between the first intermediate block 106a and the second intermediate block 106b. Between the second intermediate block 106b and the first lower block 108a, between the first lower block 108a and the second lower block 108b, and between the second lower block 108b and the third lower block 108c. There is an O-ring between them.

図3Bを参照すると、マニホールド100の構造は、設計を簡略化し、密封性を改善するために、ブロック間のシールの数を有益に減少させることができる。例えば、図3Bでは、マニホールド100において8個のシール212のみが使用される。例示された実施形態について、金属シール212は高分子Oリングの代わりに使用される。金属シールは、Oリング、Wリング、またはCリングであってもよい。図3Aと同様に、ソース1とバルブブロック112aとの間、ソース2ラインとバルブブロック112bとの間、第一のパージライン(パージN2)とバルブブロック112aとの間、および第二のパージライン(パージN2)とバルブブロック112bとの間にシール212がある。1個の金属シール212が上方ブロック104と中間ブロック106との間に提供される。1個の金属シール212が、中間ブロック106と下方ブロック108との間に配置される。1個の金属シール212が、バルブブロック112aと中間ブロック106との間に配置され、1個の金属シール212が、バルブブロック112bと中間ブロック106との間に配置される。したがって、図示された実施形態では、より少ないシールは、特にマニホールドタワーまたは本体102の中または上に、図3Aの実装と比較して使用されうる。 Referring to FIG. 3B, the structure of manifold 100 can beneficially reduce the number of seals between blocks to simplify design and improve sealing. For example, in FIG. 3B, only eight seals 212 are used in manifold 100. For the illustrated embodiment, a metal seal 212 is used in place of a polymeric O-ring. The metal seal may be an O-ring, W-ring, or C-ring. Similar to FIG. 3A, between the source 1 and the valve block 112a, between the source 2 line and the valve block 112b, between the first purge line (purge N2) and the valve block 112a, and the second purge line There is a seal 212 between (Purge N2) and the valve block 112b. A metal seal 212 is provided between upper block 104 and intermediate block 106. A metal seal 212 is positioned between intermediate block 106 and lower block 108. One metal seal 212 is positioned between valve block 112a and intermediate block 106, and one metal seal 212 is positioned between valve block 112b and intermediate block 106. Thus, in the illustrated embodiment, fewer seals may be used, particularly in or on the manifold tower or body 102, compared to the implementation of FIG. 3A.

図4は、図1、図2Bおよび図3Bに示した装置10の概略的なシステム図である。図4に示す配設では、4つの反応物質またはソースガスR1-R4が、さまざまな組み合わせで、マニホールド100に連続的におよび/または同時に提供されてもよい。例えば、第一の反応物質バルブ116a(バルブブロック112a上に取り付けられうる)は、第一の反応物質R1をマニホールド100に制御可能に供給するよう構成可能である。第二の反応物質バルブ116b(バルブブロック112b上に取り付けられうる)は、第二の反応物質R2をマニホールド100に制御可能に供給するよう構成可能である。第三の反応物質バルブ116c(専用のバルブブロック上に取り付けられない場合がある)は、第三の反応物質R3をマニホールド100に制御可能に供給するよう構成可能である。第四の反応物質バルブ116d(専用のバルブブロック上に取り付けられない場合がある)は、第四の反応物質R4をマニホールド100に制御可能に供給するよう構成可能である。不活性ガスバルブ114a~114cは、反応物質ガス供給ラインに沿って、パージおよび/または反応物質R1-R4のキャリアガスとしての役割を果たすために、高流動不活性ガスを制御可能に供給するように構成されうる。制限器117a~117bは、例えば、不活性ガスがそれぞれの反応物質R1-R4用のキャリアガスとして使用される場合、バルブ114a~114cからの不活性ガスの流れを低下させるように提供されうる。図4は、図2Bおよび図3Bのマニホールドとの使用のために提供することができる一つの非限定的なバルブスキームのみを表す。 FIG. 4 is a schematic system diagram of the apparatus 10 shown in FIGS. 1, 2B, and 3B. In the arrangement shown in FIG. 4, four reactants or source gases R1-R4 may be provided to manifold 100 sequentially and/or simultaneously in various combinations. For example, first reactant valve 116a (which may be mounted on valve block 112a) can be configured to controllably supply first reactant R1 to manifold 100. Second reactant valve 116b (which may be mounted on valve block 112b) can be configured to controllably supply second reactant R2 to manifold 100. Third reactant valve 116c (which may not be mounted on a dedicated valve block) can be configured to controllably supply third reactant R3 to manifold 100. A fourth reactant valve 116d (which may not be mounted on a dedicated valve block) can be configured to controllably supply a fourth reactant R4 to the manifold 100. Inert gas valves 114a-114c are configured to controllably supply high flow inert gas along the reactant gas supply lines to purge and/or serve as a carrier gas for reactants R1-R4. can be configured. Restrictors 117a-117b may be provided to reduce the flow of inert gas from valves 114a-114c, for example, if the inert gas is used as a carrier gas for the respective reactants R1-R4. FIG. 4 represents only one non-limiting valve scheme that can be provided for use with the manifolds of FIGS. 2B and 3B.

半導体処理装置の追加の実施形態
使用後の一部の反応チャンバー30の検査中、白色粉末が、マニホールド本体102の上部部分109(図7Aおよび図7Bを参照)および第三の反応物質バルブ116c(専用のバルブブロック上に取り付けられない場合がある)の近くのガスラインで観察されているが、バルブブロック112a、112b上に目立った粒子は発見されなかった。この白色粉末は、バルブブロック112a、112b上に取り付けられた反応物質バルブ116a、116bと第三の反応物質バルブ116cとの間の応答遅れによって引き起こされ得る。図4を参照。さらに、残留物は、追加的または代替的に、マニホールド100の上部部分109が図5および図7Aで加熱されないため、バルブブロック112a、112bからの液状前駆体の逆拡散流によって引き起こされてもよく、その結果、圧力降下および液体前駆体凝縮を引き起こす可能性があるコールドスポットが生成される。しかしながら、前駆体の凝縮を回避するために加熱ジャケットを追加することは、ラインの部分的な部分のみをカバーし、応答遅れは依然として持続し得る。
ADDITIONAL EMBODIMENTS OF SEMICONDUCTOR PROCESSING EQUIPMENT During inspection of some reaction chambers 30 after use, white powder may be present in the upper portion 109 of the manifold body 102 (see FIGS. 7A and 7B) and in the third reactant valve 116c (see FIGS. 7A and 7B). No noticeable particles were found on the valve blocks 112a, 112b, although they have been observed in gas lines near the valve blocks (which may not be mounted on dedicated valve blocks). This white powder may be caused by a response delay between the reactant valves 116a, 116b mounted on the valve blocks 112a, 112b and the third reactant valve 116c. See Figure 4. Furthermore, residue may additionally or alternatively be caused by counter-diffusion flow of liquid precursors from valve blocks 112a, 112b, as the upper portion 109 of manifold 100 is not heated in FIGS. 5 and 7A. , resulting in the creation of cold spots that can cause pressure drops and liquid precursor condensation. However, adding a heating jacket to avoid precursor condensation covers only a partial portion of the line and the response delay may still persist.

コールドスポットは、堆積された膜が少量の蓄積の後にのみ剥離され得るような、膜形成不良を引き起こす場合がある。さらに、ガスラインへの拡散および/または逆流は、ウエハ上の粒子蓄積をもたらし、早期のチャンバー故障を引き起こす可能性がある。コールドスポットは、マニホールド本体102の残りの部分と比較して約40°C低い(温度設定点に依存する)と測定された上部部分109上で検出され得る。その結果、半導体処理装置の改良された熱管理に対する継続的なニーズが依然としてある。本明細書に開示されるいくつかの実施形態では、能動的な加熱および/または絶縁は、マニホールド100の上部部分109上に提供され得る。 Cold spots can cause film formation defects such that the deposited film can only be peeled off after a small amount of accumulation. Additionally, diffusion and/or backflow into the gas line can result in particle build-up on the wafer, causing premature chamber failure. A cold spot may be detected on the top portion 109, which is measured to be approximately 40° C. cooler (depending on the temperature set point) compared to the rest of the manifold body 102. As a result, there remains a continuing need for improved thermal management of semiconductor processing equipment. In some embodiments disclosed herein, active heating and/or insulation may be provided on top portion 109 of manifold 100.

別段の記載がない限り、図5~9の実施形態は、上述のものと概して類似した特徴を含んでもよく、概して類似した様式で動作してもよい。図5は、半導体処理装置10の斜視図である。半導体処理装置10は、ガスを反応チャンバー21に送達するように構成された穴130を備えるマニホールド100を備えてもよい。マニホールド100は、第二のブロック106に取り付けられた第一のブロック104を備え、第一および第二のブロックは協働して、穴130を少なくとも部分的に画定する。マニホールド100は、ガス源と穴130との間の流体連通を提供する供給チャネル138と、穴130と連通するマニホールド100の下部部分にある出口132(図2Bを参照)とをさらに備えてもよい。供給チャネル138は、少なくとも部分的に第二のブロック106内に配置されてもよい。半導体処理装置10は、マニホールド本体102の温度均一性を改善する絶縁体キャップ140をさらに備えることができる。絶縁体キャップ140は、ポリマーなどの断熱性材料を備えてもよい。例えば、絶縁体キャップ140は、ポリテトラフルオロエチレン(PTFE)または任意の他の適切な絶縁材料を含むことができる。様々な実施形態では、絶縁体キャップ140は、第一のブロック104の周りに配置される(例えば、取り囲む)ように構成された少なくとも二つのキャップ部材140a、140bを含み得る。有益なことに、絶縁体キャップは、マニホールド100の上部部分109を通る過剰な熱損失を防止し、膜品質を改善し、異常な膜剥離および前駆体凝縮を低減する。 Unless otherwise noted, the embodiments of FIGS. 5-9 may include features generally similar to those described above and may operate in a generally similar manner. FIG. 5 is a perspective view of the semiconductor processing apparatus 10. Semiconductor processing apparatus 10 may include a manifold 100 with a hole 130 configured to deliver gas to reaction chamber 21 . Manifold 100 includes a first block 104 attached to a second block 106, which cooperate to at least partially define a hole 130. Manifold 100 may further include a supply channel 138 that provides fluid communication between the gas source and holes 130, and an outlet 132 (see FIG. 2B) in a lower portion of manifold 100 that communicates with holes 130. . Feed channel 138 may be located at least partially within second block 106 . Semiconductor processing apparatus 10 may further include an insulator cap 140 that improves temperature uniformity of manifold body 102. Insulator cap 140 may comprise a thermally insulating material such as a polymer. For example, insulator cap 140 may include polytetrafluoroethylene (PTFE) or any other suitable insulating material. In various embodiments, insulator cap 140 may include at least two cap members 140a, 140b configured to be disposed about (eg, surround) first block 104. Beneficially, the insulator cap prevents excessive heat loss through the upper portion 109 of the manifold 100, improving film quality and reducing abnormal film delamination and precursor condensation.

図9の実施形態に示すように、絶縁体キャップ140は、第一のキャップ部材140aがコネクタ(例えば、ボルト、締結具など)によって第二のキャップ部材140bに結合され得る、クラムシェル配設を含んでもよい。図5および図6に示すように、半導体処理装置10は、第二のブロック106に取り付けられた少なくとも三つのバルブブロック112a、112b、112cをさらに備えてもよく、反応物質バルブ116a、116bおよび/または不活性ガスバルブ114a、114bは、各バルブブロック112a、112bに取り付けられる。 As shown in the embodiment of FIG. 9, the insulator cap 140 has a clamshell arrangement in which a first cap member 140a may be coupled to a second cap member 140b by a connector (e.g., bolt, fastener, etc.). May include. As shown in FIGS. 5 and 6, the semiconductor processing apparatus 10 may further include at least three valve blocks 112a, 112b, 112c attached to the second block 106, with reactant valves 116a, 116b and/or Alternatively, an inert gas valve 114a, 114b is attached to each valve block 112a, 112b.

さらに、図7Aおよび図7Bに示すように、複数のヒーターロッド142は、第二のブロック106内に配設されてもよい。しかしながら、図7Aおよび図7Bに示すように、複数のヒーターロッド142は、第二のブロック106の上面107に至るまでは延在せず、これはマニホールド100の上部部分109(例えば、第二のブロック106および第一のブロック104の上部部分109を含む)がコールドスポットを有する原因となり、これは上述のような不良な膜形成をもたらす。 Further, as shown in FIGS. 7A and 7B, a plurality of heater rods 142 may be disposed within the second block 106. However, as shown in FIGS. 7A and 7B, the plurality of heater rods 142 do not extend all the way to the top surface 107 of the second block 106, which is similar to the top portion 109 of the manifold 100 (e.g., block 106 and the upper portion 109 of first block 104) have cold spots, which results in poor film formation as described above.

図6は、上面107に延在し、上面107に延在するヒーターロッド142を受けるようにサイズ設定され得る、拡張壁111を有する第二のブロック106を備える半導体処理装置10の斜視図である。示すように、半導体処理装置10は、第二のブロック106に取り付けられた少なくとも三つのバルブブロック112a、112b、112cを備えてもよい。拡張壁111を有する第二のブロック106は、第二のブロック106内に配設されたヒーターロッド142を備えてもよい。図8Aおよび8Bに示すように、第二のブロックは、第一のブロック104に隣接する第二のブロック106の上面107に至るまで延在するヒーターロッドを収容して、マニホールドをより均一に加熱するように構成されてもよい。半導体処理装置10は、第三のブロック108をさらに備えてもよく、第二のブロック106は第三のブロック108上に取り付けられている。図6、図8A、および図8Bに示すように、ヒーターロッド142は、第二のブロック106および第三のブロック108を通って第二のブロック106の上面107に延びる。したがって、マニホールド100全体にわたって能動的な加熱が達成され、膜品質不良、異常な膜剥離、および前駆体凝縮が防止される。 FIG. 6 is a perspective view of semiconductor processing apparatus 10 including a second block 106 extending over top surface 107 and having an extended wall 111 that can be sized to receive heater rod 142 extending over top surface 107. . As shown, semiconductor processing apparatus 10 may include at least three valve blocks 112a, 112b, 112c attached to second block 106. The second block 106 with the expansion wall 111 may include a heater rod 142 disposed within the second block 106 . As shown in FIGS. 8A and 8B, the second block houses heater rods that extend all the way to the top surface 107 of the second block 106 adjacent to the first block 104 to more uniformly heat the manifold. It may be configured to do so. Semiconductor processing apparatus 10 may further include a third block 108, with second block 106 mounted on third block 108. As shown in FIGS. 6, 8A, and 8B, the heater rod 142 extends through the second block 106 and the third block 108 to the top surface 107 of the second block 106. Thus, active heating is achieved throughout the manifold 100, preventing poor film quality, abnormal film delamination, and precursor condensation.

図6に示すように、バルブブロック112a、112b上に取り付けられた反応物質バルブ116a、116bと第三の反応物質バルブ116cとの間の応答遅れを排除するために、半導体処理装置10は、第二のブロック106上に取り付けられた少なくとも三つのバルブブロック112a、112b、122cを備えてもよい。各バルブブロック112a、112b、112cは、第二のブロック106のそれぞれの側面に取り付けられてもよい。示すように、第一および第二のバルブブロック112a、112bは、互いに対向する側面上に取り付けられ得る。様々な実施形態では、第一のバルブブロック112aおよび第二のバルブブロック112bは、衝突表面214からほぼ同じ距離に位置し得る(図2Bを参照)。第三のバルブブロック122cは、反応物質バルブ116a~cの間の流れ環境が合致し、前駆体の逆流が防止されるように提供され得る。第三のブロック112cは、互いに対向する側面上に装着された第一および第二のバルブブロック112a、112bよりも衝突表面214の近くに装着され得る。 As shown in FIG. 6, in order to eliminate response delays between the reactant valves 116a, 116b mounted on the valve blocks 112a, 112b and the third reactant valve 116c, the semiconductor processing apparatus 10 includes a third reactant valve 116c. There may be at least three valve blocks 112a, 112b, 122c mounted on the second block 106. Each valve block 112a, 112b, 112c may be attached to a respective side of the second block 106. As shown, the first and second valve blocks 112a, 112b may be mounted on opposite sides of each other. In various embodiments, first valve block 112a and second valve block 112b may be located approximately the same distance from impingement surface 214 (see FIG. 2B). A third valve block 122c may be provided to match the flow environment between reactant valves 116a-c and prevent backflow of precursors. The third block 112c may be mounted closer to the impingement surface 214 than the first and second valve blocks 112a, 112b, which are mounted on opposite sides.

明確化および理解の目的のために図示および実施例によって詳細に前述されているが、特定の変更および修正を実施することができることは当業者には明らかである。したがって、記載および実施例は、本発明の範囲を本明細書に記載の特定の実施形態および実施例に限定するものとして解釈されるべきではなく、むしろ本発明の真の範囲および趣旨を備えたすべての修正および代替物も包含するものである。さらに、本明細書の上記の特徴、態様、および利点は、それらすべてが本発明を実施するために必ずしも必要とされるわけではない。 Although described above in detail by way of illustration and example for purposes of clarity and understanding, it will be apparent to those skilled in the art that certain changes and modifications may be made. Therefore, the description and examples should not be construed as limiting the scope of the invention to the specific embodiments and examples described herein, but rather as constituting the true scope and spirit of the invention. It is intended to include all modifications and substitutes. Moreover, not all of the above-described features, aspects, and advantages herein are required to practice the invention.

Claims (20)

半導体処理装置であって、
マニホールドであって、
ガスを反応チャンバーに送達するように構成された穴と、
第二のブロックに取り付けられた第一のブロックであって、前記第一のブロックおよび前記第二のブロックが協働して、前記穴を少なくとも部分的に画定する、第一のブロックと、
ガス源と前記穴との間の流体連通を提供する供給チャネルであって、前記供給チャネルが少なくとも部分的に前記第二のブロック内に配置される、供給チャネルと、
前記マニホールドの下部部分にあり、前記穴と連通する出口と、を備える、マニホールドと、
前記第一のブロックの周りに配置された絶縁体キャップであって、断熱性材料を含む、絶縁体キャップと、を備える、半導体処理装置。
A semiconductor processing device,
A manifold,
a hole configured to deliver gas to the reaction chamber;
a first block attached to a second block, the first block and the second block cooperating to at least partially define the hole;
a supply channel providing fluid communication between a gas source and the hole, the supply channel being disposed at least partially within the second block;
an outlet in a lower portion of the manifold that communicates with the hole;
An insulator cap disposed around the first block, the insulator cap including a heat insulating material.
前記第一のブロックが、前記マニホールドの上部部分に衝突表面を備え、前記衝突表面が、前記穴を通って前記出口にガスを下方に向け直すように形作られる、請求項1に記載の半導体処理装置。 2. The semiconductor process of claim 1, wherein the first block includes an impingement surface in an upper portion of the manifold, the impingement surface being shaped to redirect gas downwardly through the hole and to the outlet. Device. 前記絶縁体キャップが、前記第一のブロックを囲むように構成された少なくとも二つのキャップ部材を備える、請求項2に記載の半導体処理装置。 3. The semiconductor processing apparatus of claim 2, wherein the insulator cap includes at least two cap members configured to surround the first block. 前記絶縁体キャップがポリマーを含む、請求項1に記載の半導体処理装置。 The semiconductor processing apparatus of claim 1, wherein the insulator cap comprises a polymer. 前記供給チャネルが、前記出口から離れて上方に、かつ前記穴に向かって内向きに角度付けられる、請求項1に記載の半導体処理装置。 2. The semiconductor processing apparatus of claim 1, wherein the supply channel is angled upwardly away from the outlet and inwardly toward the hole. 複数のヒーターロッドが前記第二のブロック内に配設されている、請求項1に記載の半導体処理装置。 The semiconductor processing apparatus according to claim 1, wherein a plurality of heater rods are disposed within the second block. 半導体処理装置であって、
マニホールドであって、
ガスを反応チャンバーに送達するように構成された穴と、
第二のブロックに取り付けられた第一のブロックであって、前記第一のブロックおよび前記第二のブロックが協働して、前記穴を少なくとも部分的に画定し、前記第一のブロックが、前記穴の上部部分の周りに配置される、第一のブロックと、
ガス源と前記穴との間の流体連通を提供する供給チャネルであって、前記供給チャネルが少なくとも部分的に前記第二のブロック内に配置される、供給チャネルと、
前記マニホールドの下部部分にある出口と、を備えるマニホールドと、
前記第二のブロックを通って、前記第一のブロックに隣接する前記第二のブロックの上面に延在するヒーターロッドと、を備える、半導体処理装置。
A semiconductor processing device,
A manifold,
a hole configured to deliver gas to the reaction chamber;
a first block attached to a second block, wherein the first block and the second block cooperate to at least partially define the hole; a first block disposed around the upper portion of the hole;
a supply channel providing fluid communication between a gas source and the hole, the supply channel being disposed at least partially within the second block;
an outlet in a lower portion of the manifold;
a heater rod extending through the second block and onto an upper surface of the second block adjacent to the first block.
前記マニホールドの前記上部部分で前記第一のブロックに衝突表面をさらに備え、前記衝突表面が、前記穴を通って前記出口にガスを下方に向け直すように形作られる、請求項7に記載の半導体処理装置。 8. The semiconductor of claim 7, further comprising an impingement surface on the first block in the upper portion of the manifold, the impingement surface being shaped to redirect gas downwardly through the hole and to the outlet. Processing equipment. 前記マニホールドが複数のヒーターロッドを備える、請求項7に記載の半導体処理装置。 8. The semiconductor processing apparatus of claim 7, wherein the manifold includes a plurality of heater rods. 第三のブロックをさらに備え、前記第二のブロックが、前記第三のブロック上に取り付けられ、前記ヒーターロッドが、前記第二のブロックおよび前記第三のブロックを通って延在する、請求項7に記載の半導体処理装置。 10. The method of claim 1, further comprising a third block, the second block being mounted on the third block, and the heater rod extending through the second block and the third block. 7. The semiconductor processing apparatus according to 7. 前記第二のブロックに取り付けられた少なくとも三つのバルブブロックをさらに備え、反応物質ガスバルブおよび不活性ガスバルブのうちの少なくとも一つが各バルブブロックに取り付けられる、請求項7に記載の半導体処理装置。 8. The semiconductor processing apparatus of claim 7, further comprising at least three valve blocks attached to the second block, and at least one of a reactant gas valve and an inert gas valve attached to each valve block. 各バルブブロックが、前記第二のブロックのそれぞれの側面に取り付けられる、請求項11に記載の半導体処理装置。 12. The semiconductor processing apparatus of claim 11, wherein each valve block is attached to a respective side of the second block. 前記少なくとも三つのバルブブロックのうちの二つが、互いに対向する前記側面上に取り付けられ、前記衝突表面から同じ距離に位置する、請求項12に記載の半導体処理装置。 13. The semiconductor processing apparatus of claim 12, wherein two of the at least three valve blocks are mounted on opposite sides of the valve block and located at the same distance from the impingement surface. 前記少なくとも三つのバルブブロックのうちの一つが、互いに対向する前記側面上に取り付けられた前記三つのバルブブロックのうちの前記二つよりも前記衝突表面の近くに取り付けられる、請求項13に記載の半導体処理装置。 14. One of the at least three valve blocks is mounted closer to the impingement surface than the two of the three valve blocks mounted on opposite sides of the valve block. Semiconductor processing equipment. 前記供給チャネルが、前記出口から離れて上方に、かつ前記穴に向かって内向きに角度付けられる、請求項7に記載の半導体処理装置。 8. The semiconductor processing apparatus of claim 7, wherein the supply channel is angled upwardly away from the outlet and inwardly toward the hole. 半導体処理装置であって、
マニホールドであって、
ガスを反応チャンバーに送達するように構成された穴と、
前記マニホールドの上部部分にある衝突表面と、
前記マニホールドの下部部分にある出口と、
ガス源と前記穴との間に流体連通を提供する供給チャネルと、を備えるマニホールドと、
前記マニホールドの前記上部部分に取り付けられた絶縁体キャップであって、断熱性材料を含む、絶縁体キャップと、を備える、半導体処理装置。
A semiconductor processing device,
A manifold,
a hole configured to deliver gas to the reaction chamber;
an impingement surface in the upper portion of the manifold;
an outlet in the lower portion of the manifold;
a supply channel providing fluid communication between a gas source and the hole;
an insulator cap attached to the upper portion of the manifold, the insulator cap including an insulating material.
前記絶縁体キャップが、前記マニホールドの前記上部部分を囲むように構成された少なくとも二つの部材を備える、請求項16に記載の半導体処理装置。 17. The semiconductor processing apparatus of claim 16, wherein the insulator cap comprises at least two members configured to surround the upper portion of the manifold. 前記絶縁体キャップがポリマーを含む、請求項16に記載の半導体処理装置。 17. The semiconductor processing apparatus of claim 16, wherein the insulator cap comprises a polymer. 前記供給チャネルが、前記出口から離れて上方に、かつ前記穴に向かって内向きに角度付けられ、前記供給チャネルが前記衝突表面に向かってガスを上方に向けるように方向付けられ、
前記衝突表面が、前記穴を通って前記出口にガスを下方に向け直すように形作られる、請求項16に記載の半導体処理装置。
the feed channel is angled upwardly away from the outlet and inwardly toward the hole, the feed channel being oriented to direct gas upwardly toward the impingement surface;
17. The semiconductor processing apparatus of claim 16, wherein the impingement surface is shaped to redirect gas downwardly through the hole and to the outlet.
複数のヒーターロッドが前記マニホールド内に配設されている、請求項16に記載の半導体処理装置。 17. The semiconductor processing apparatus of claim 16, wherein a plurality of heater rods are disposed within the manifold.
JP2023003651U 2022-10-10 2023-10-05 reactor manifold Active JP3246139U (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US18/045,419 2022-10-10
US18/045,419 US20230069359A1 (en) 2019-03-19 2022-10-10 Reactor manifolds

Publications (1)

Publication Number Publication Date
JP3246139U true JP3246139U (en) 2024-03-26

Family

ID=90366937

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2023003651U Active JP3246139U (en) 2022-10-10 2023-10-05 reactor manifold

Country Status (2)

Country Link
JP (1) JP3246139U (en)
KR (1) KR20240000647U (en)

Also Published As

Publication number Publication date
KR20240000647U (en) 2024-04-17

Similar Documents

Publication Publication Date Title
US11377737B2 (en) Manifolds for uniform vapor deposition
US10370761B2 (en) Pulsed valve manifold for atomic layer deposition
US11208722B2 (en) Vapor flow control apparatus for atomic layer deposition
US6042652A (en) Atomic layer deposition apparatus for depositing atomic layer on multiple substrates
US7648578B1 (en) Substrate processing apparatus, and method for manufacturing semiconductor device
US6821347B2 (en) Apparatus and method for depositing materials onto microelectronic workpieces
US7601223B2 (en) Showerhead assembly and ALD methods
US11830731B2 (en) Semiconductor deposition reactor manifolds
US8211230B2 (en) Reaction system for growing a thin film
US7629256B2 (en) In situ silicon and titanium nitride deposition
US20120225192A1 (en) Apparatus And Process For Atomic Layer Deposition
US20060249077A1 (en) Multiple inlet atomic layer deposition reactor
US20070215036A1 (en) Method and apparatus of time and space co-divided atomic layer deposition
KR20090013111A (en) In situ deposition of different metal-containing films using cyclopentadienyl metal precursors
KR101554334B1 (en) Shower-head assembly and thin film deposition apparatus and method having the same
US11492701B2 (en) Reactor manifolds
JP3246139U (en) reactor manifold
US20230069359A1 (en) Reactor manifolds
KR20210017147A (en) Apparatus for supplying gas and apparatus for processing substrate using the same
KR20010036268A (en) Method for forming a metallic oxide layer by an atomic layer deposition

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20240126

R150 Certificate of patent or registration of utility model

Ref document number: 3246139

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150