JP3220246B2 - X-ray mask manufacturing method - Google Patents

X-ray mask manufacturing method

Info

Publication number
JP3220246B2
JP3220246B2 JP21430092A JP21430092A JP3220246B2 JP 3220246 B2 JP3220246 B2 JP 3220246B2 JP 21430092 A JP21430092 A JP 21430092A JP 21430092 A JP21430092 A JP 21430092A JP 3220246 B2 JP3220246 B2 JP 3220246B2
Authority
JP
Japan
Prior art keywords
thin film
ray
film
forming
mask
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP21430092A
Other languages
Japanese (ja)
Other versions
JPH0661124A (en
Inventor
賢一 室岡
正光 伊藤
真児 杉原
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Toshiba Corp
Original Assignee
Toshiba Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Toshiba Corp filed Critical Toshiba Corp
Priority to JP21430092A priority Critical patent/JP3220246B2/en
Publication of JPH0661124A publication Critical patent/JPH0661124A/en
Application granted granted Critical
Publication of JP3220246B2 publication Critical patent/JP3220246B2/en
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Description

【発明の詳細な説明】DETAILED DESCRIPTION OF THE INVENTION

【0001】[0001]

【産業上の利用分野】本発明は、X線露光用マスク(以
下X線マスク)の製造方法に係り、特にX線透過性薄膜
の改良およびパターンの位置歪の低減に関する。
BACKGROUND OF THE INVENTION 1. Field of the Invention The present invention relates to a method of manufacturing a mask for X-ray exposure (hereinafter referred to as "X-ray mask"), and more particularly to improvement of an X-ray transparent thin film and reduction of positional distortion of a pattern.

【0002】[0002]

【従来の技術】近年、半導体集積回路の高密度化および
高集積化への要求が高まるにつれて、これを構成するL
SI素子の回路パターンはますます微細化していく傾向
にある。 現在、サブハーフミクロンと呼ばれる極微細
なパターンを形成するには高解像度の露光転写技術が不
可欠となっている。量産ラインでは紫外線を露光媒体と
するフォトリソグラフィ技術が主流であるが、解像力の
限界に近づきつつあり、このフォトリソグラフィ技術に
代わるものとして、原理的に解像力が飛躍的に向上する
X線リソグラフィ技術の研究開発が急速な進展をみせて
いる。
2. Description of the Related Art In recent years, as the demand for higher density and higher integration of semiconductor integrated circuits has increased, the L
The circuit pattern of the SI element tends to be further miniaturized. At present, a high-resolution exposure transfer technique is indispensable for forming an extremely fine pattern called a sub-half micron. In mass production lines, photolithography technology using ultraviolet light as the exposure medium is the mainstream, but the resolution is approaching its limit. As an alternative to this photolithography technology, X-ray lithography technology, in which the resolution is dramatically improved in principle, R & D is making rapid progress.

【0003】X線リソグラフィでは、光を用いた露光方
法とは異なり所定のパターンを縮小させて転写するよう
な技術は現在のところない。このため、X線露光では、
所定のパターンの形成されたX線露光用マスクと試料と
を10μmオーダーの間隔で平行に保持し、このX線マ
スクを通してX線を照射することにより露光対象物表面
に転写パターンを形成する1:1転写方式が採用されて
いる。
[0003] In X-ray lithography, unlike the exposure method using light, there is no technology at present for reducing and transferring a predetermined pattern. Therefore, in X-ray exposure,
An X-ray exposure mask on which a predetermined pattern is formed and a sample are held in parallel at an interval of the order of 10 μm, and a transfer pattern is formed on the surface of an exposure object by irradiating X-rays through the X-ray mask. A one-transfer method is employed.

【0004】この等倍転写方式では、X線マスクのパタ
ーンの寸法精度、位置精度がそのままデバイス精度にな
るため、X線マスクのパタ―ンにはデバイスの最小線幅
の10分の1程度の寸法精度、位置精度が要求される。
このために、X線リソグラフィの実現のためには、高い
位置精度を有するX線吸収体パターンを達成することの
できるX線マスクの開発が最も重要な鍵となっている。
In this 1: 1 transfer method, since the dimensional accuracy and positional accuracy of the pattern of the X-ray mask directly become the device accuracy, the pattern of the X-ray mask includes about one-tenth of the minimum line width of the device. Dimensional accuracy and positional accuracy are required.
Therefore, in order to realize X-ray lithography, the most important key is to develop an X-ray mask capable of achieving an X-ray absorber pattern having high positional accuracy.

【0005】X線マスクは一般的には次のような構造を
有している。すなわち、リング状のマスク支持体上に、
特にX線に対する吸収率の小さいX線透過性材料からな
る薄膜を形成し、このX線透過性薄膜上にX線に対する
吸収率の大きい材料からなるマスクパターン(X線吸収
体パターン)を形成した構造となっている。ここでマス
ク支持体は、X線透過性薄膜が極めて薄く機械的強度が
弱いのを補強すべく、このX線透過性薄膜を支持するの
に用いられている。またマスク基板となるX線透過性薄
膜には、露光の際に使用するX線に対する十分な透過
率、露光の際に使用する強力なX線に対する十分な照射
耐性、マスクとウェハのアライメントの際に用いる可視
光(波長633nm)に対する十分な透過率、微細なX線
吸収体パターンが位置歪をおこさないための十分な機械
的強度と十分に小さい引っ張り応力等が要求される。マ
スク基板は、多くの場合シリコンウェハなどの下地基板
上に成膜したのち、この下地基板の不要な部分をエッチ
ングして除去することにより作成する。このため、下地
基板上への成膜工程が、マスク基板の特性を決める重要
な工程となる。
[0005] An X-ray mask generally has the following structure. That is, on a ring-shaped mask support,
In particular, a thin film made of an X-ray transmissive material having a small X-ray absorptance was formed, and a mask pattern (X-ray absorber pattern) made of a material having a large X-ray absorptivity was formed on the X-ray transmissive thin film. It has a structure. Here, the mask support is used to support the X-ray transparent thin film in order to reinforce that the X-ray transparent thin film is extremely thin and has low mechanical strength. In addition, the X-ray transparent thin film serving as a mask substrate has sufficient transmittance for X-rays used for exposure, sufficient irradiation resistance to strong X-rays used for exposure, and , A sufficient transmittance to visible light (wavelength: 633 nm), sufficient mechanical strength to prevent the fine X-ray absorber pattern from causing positional distortion, sufficiently small tensile stress, and the like are required. In many cases, a mask substrate is formed by forming a film on a base substrate such as a silicon wafer and then removing unnecessary portions of the base substrate by etching. Therefore, the film formation process on the base substrate is an important process for determining the characteristics of the mask substrate.

【0006】従来、マスク基板の材料として、BN,S
i,SiN,SiCなどが検討され、真空蒸着法、スパ
ッタリング法、CVD法等の多様な作成方法が検討され
てきた。しかし、前記条件を完全に満たすものを得るこ
とは困難であった。例えば、BN,SiNは露光の際に
使用する強力なX線に対する十分な照射耐性を持つ膜の
作成が困難である。Siは照射耐性はあるが高可視光透
過率の膜を作成するのは難しい。すなわち、SiCは本
来可視光透過率が比較的高く、照射耐性や機械的強度に
優れているという利点があるが、成膜時に結晶欠陥が入
り易いため、高い可視光透過率を得ることは実際には極
めて困難であり、また応力の制御が難しいという欠点を
有している。そこで従来この問題を解決するためにSi
C膜の形成に先立ちバッファ層を形成する方法が用いら
れている。
Conventionally, BN, S
i, SiN, SiC and the like have been studied, and various production methods such as a vacuum evaporation method, a sputtering method, and a CVD method have been studied. However, it has been difficult to obtain one that completely satisfies the above conditions. For example, for BN and SiN, it is difficult to form a film having sufficient irradiation resistance to strong X-rays used for exposure. Although Si has irradiation resistance, it is difficult to form a film having high visible light transmittance. That is, SiC originally has the advantage of relatively high visible light transmittance and is excellent in irradiation resistance and mechanical strength, but it is actually difficult to obtain a high visible light transmittance because crystal defects easily occur during film formation. Has the drawbacks that it is extremely difficult and stress control is difficult. In order to solve this problem conventionally,
Prior to the formation of the C film, a method of forming a buffer layer has been used.

【0007】ところで、このSiCをマスク基板に用い
たX線露光用マスクは、従来、図6(a) 乃至図6(g) に
示すような方法で製造されている。
Incidentally, an X-ray exposure mask using this SiC as a mask substrate is conventionally manufactured by a method as shown in FIGS. 6 (a) to 6 (g).

【0008】まず、図7に示すようにチャンバー100
内にガス供給口101およびガス排出口102を配設す
るとともに基板1を載置し所望の温度に加熱するサセプ
タ103とを配設したCVD装置を用い、基板温度12
00℃の条件でLPCVD法により、C原子の供給ガス
のみを供給して図6(a) に示すようにSi基板1上にバ
ッファ層としての薄いSiC薄膜3bを形成し、さらに
引き続きSi原子の供給ガスとC原子の供給ガスとを供
給して図6(b) に示すように膜厚1μmのSiC膜3a
を形成する。このように2工程でSiC薄膜を形成する
ことにより、成長初期にSi基板から拡散されてくるS
i原子に起因する膜組成のずれを補正するとともにSi
とSiCとの格子定数の差に起因する大きな歪みを緩和
させ、この後に成膜するSiC膜の歪を小さくし、結晶
欠陥の増大を防ぎ、品質の良好なSiC膜を得ることが
できる。
First, as shown in FIG.
A CVD apparatus having a gas supply port 101 and a gas discharge port 102 therein and a susceptor 103 on which the substrate 1 is placed and heated to a desired temperature is disposed.
By supplying only a supply gas of C atoms by LPCVD under the condition of 00 ° C., a thin SiC thin film 3b as a buffer layer is formed on the Si substrate 1 as shown in FIG. A supply gas and a supply gas of C atoms are supplied to supply a 1 μm-thick SiC film 3a as shown in FIG.
To form By forming the SiC thin film in two steps as described above, the SC diffused from the Si substrate in the early stage of growth.
In addition to correcting the deviation of the film composition caused by the i atom,
Large strain caused by the difference between the lattice constants of SiC and SiC can be relaxed, the strain of the SiC film to be formed thereafter can be reduced, crystal defects can be prevented from increasing, and a high-quality SiC film can be obtained.

【0009】この後、図6(c) に示すように、裏面にも
SiC膜4を成膜し、図6(d) に示すようにこの裏面の
SiC膜の中央部を反応性イオンエッチングにより除去
し、表面にはスパッタリング法により、吸収体となるW
膜5を成膜する。
Thereafter, as shown in FIG. 6 (c), a SiC film 4 is also formed on the back surface, and as shown in FIG. 6 (d), the central portion of the SiC film on the back surface is subjected to reactive ion etching. W is removed and the surface becomes W
The film 5 is formed.

【0010】次に、図6(e) に示すようにW膜上にレジ
ストを塗布し、電子ビーム描画装置を用いて所定のパタ
ーンをレジスト上に形成し、このレジストをエッチング
マスクとして反応性イオンエッチングによりW上にレジ
ストのパターンを転写した後に、残ったレジストを除去
することによりW膜のパターニングを行う。
Next, as shown in FIG. 6E, a resist is applied on the W film, a predetermined pattern is formed on the resist by using an electron beam lithography apparatus, and the resist is used as an etching mask to form reactive ions. After transferring the resist pattern onto W by etching, the remaining resist is removed to pattern the W film.

【0011】そして、図6(f) に示すように,図6(d)
において除去されなかったSiC膜をエッチングマスク
として裏面の露出した部分のSi基板を水酸化カリウム
水溶液を用いてエッチングして除去し(バックエッチン
グ)、最後に図6(g) に示すように補強枠を接合しX線
マスクが完成する。
Then, as shown in FIG. 6 (f), FIG.
Using the SiC film that has not been removed in step 1 as an etching mask, the exposed portion of the back side of the Si substrate is etched away using a potassium hydroxide aqueous solution (back etching), and finally a reinforcing frame as shown in FIG. And an X-ray mask is completed.

【0012】このようにしてX線マスクが形成される
が、補強枠の接合に際しては歪みの発生を極力小さくす
るため、接着剤を用いることなく直接接合を用いて接合
することが望ましい。ここで直接接合とは接合面を鏡面
加工することにより、接合面の間で働く原子間力を用い
て接合を行う方法である。ところでSiC膜の成膜に際
しては前述したような高可視光透過率を得るためには、
図8に示すように成膜温度を高くすることが望ましい。
しかしながら、成膜温度を高くした場合、特に1000
℃以上で成膜を行った場合には、成膜の行われない基板
裏面におけるシリコン基板の昇華が無視できず、無数の
微小凹部が形成されて裏面は白濁し、鏡面が失われてし
まう。この結果、前述の直接接合により補強枠の接合を
行う場合はもちろんのこと接着剤を用いる場合にも十分
な接着強度を得、かつ歪を小さくするためには裏面を再
研磨する必要が生じてしまう。しかしX線マスクは0.
1μm 程度の大きさのごみが存在しても、欠陥の原因と
なるため、研磨工程後は研磨剤や研磨されて生じるSi
微粒子等を完全に除去しなければならず、この除去に多
大な労力が必要となるという欠点がある。
Although the X-ray mask is formed in this manner, it is desirable to use a direct bonding without using an adhesive in order to minimize the occurrence of distortion when bonding the reinforcing frame. Here, the direct bonding is a method of performing bonding using an atomic force acting between the bonding surfaces by mirror-finish the bonding surface. By the way, when forming the SiC film, in order to obtain the high visible light transmittance as described above,
It is desirable to increase the film forming temperature as shown in FIG.
However, when the film forming temperature is increased, especially 1000
When the film is formed at a temperature of not less than ° C., the sublimation of the silicon substrate on the back surface of the substrate on which the film is not formed cannot be ignored, and countless minute concave portions are formed, the back surface becomes cloudy, and the mirror surface is lost. As a result, it is necessary to re-grind the back surface in order to obtain a sufficient adhesive strength even when using an adhesive as well as when bonding the reinforcing frame by the direct bonding described above, and to reduce distortion. I will. However, the X-ray mask is 0.
Even if dust having a size of about 1 μm is present, it may cause a defect.
There is a disadvantage that the fine particles and the like must be completely removed, and this removal requires a great deal of labor.

【0013】また、バッファ層としての薄いSiC薄膜
3bの形成に際しては、成膜時の欠陥を防止するため、
基板を成膜温度まで昇温したのちにガスを供給する、あ
るいは基板を加熱する前の室温の段階からC原子系の原
料ガスを供給することにより低温から行うという方法も
試みられている(山田他:ジャーナル・オブ・エレクト
ロケミカル・ソサエティ 137巻(1990)223
1頁、小林他:マイクロエレクトロニック・エンジニア
リング 11巻(1990)237頁等)が、その形成
温度に関して十分な検討はなされておらず、十分に欠陥
を少なくすることはできなかった。
In forming the thin SiC thin film 3b as a buffer layer, in order to prevent defects during film formation,
Attempts have also been made to supply a gas after the substrate is heated to a film forming temperature or to supply the gas from a low temperature by supplying a C atom-based source gas from a room temperature stage before heating the substrate (Yamada). Others: Journal of Electrochemical Society 137 (1990) 223
1, Kobayashi et al .: Microelectronic Engineering, Vol. 11, (1990), p. 237), however, no sufficient study has been made on the formation temperature thereof, and the defect could not be sufficiently reduced.

【0014】また、上記方法ではバッファ層が用いられ
ているため成膜時の欠陥は低減されるが、バックエッチ
ング工程によって基板支持体であるシリコン基板をエッ
チング除去した後もこのバッファ層は残留していること
になり、薄いものではあるが大きな歪みを緩和させるた
めの結晶欠陥が数多く含まれるSiCを含んだマスク基
板となり、可視光透過率は本来のSiCの可視光透過率
よりも劣ってしまうという欠点がある。
In the above method, since a buffer layer is used, defects at the time of film formation are reduced, but the buffer layer remains even after the silicon substrate as a substrate support is removed by etching in a back etching step. As a result, the mask substrate contains SiC, which is thin but contains many crystal defects for relaxing large distortion, and the visible light transmittance is inferior to the visible light transmittance of the original SiC. There is a disadvantage that.

【0015】[0015]

【発明が解決しようとする課題】このように、従来のX
線マスクの製造において、高可視光透過率のマスク基板
を形成するために、成膜時の基板温度を高くした場合、
基板裏面に白濁が生じてしまう。そこで、十分な接合強
度と低歪の補強枠接合を行うためには、基板裏面の再研
磨を行う必要があり、研磨後の洗浄に多大な労力を必要
とするという欠点があった。
As described above, the conventional X
In the production of a line mask, when the substrate temperature during film formation is increased in order to form a mask substrate having a high visible light transmittance,
Cloudiness occurs on the back surface of the substrate. Therefore, in order to join the reinforcing frame with sufficient joining strength and low distortion, it is necessary to re-polish the back surface of the substrate, and there is a drawback that a large amount of labor is required for cleaning after polishing.

【0016】また、従来の方法においては、マスク基板
の形成に先立ち形成されるバッファ層の形成温度は最適
化されておらず、欠陥の低減は十分ではなかった。
Further, in the conventional method, the formation temperature of the buffer layer formed prior to the formation of the mask substrate is not optimized, and the reduction of defects is not sufficient.

【0017】さらに、従来の方法において、マスク基板
の形成に先立ち形成されるバッファ層は薄くはあるが、
大きな歪みを緩和させるための結晶欠陥が高密度に含ま
れた状態で残留するため、マスク基板としての可視光透
過率は本来のSiCの可視光透過率よりも劣ってしまう
という欠点がある。
Furthermore, in the conventional method, although the buffer layer formed prior to the formation of the mask substrate is thin,
Since crystal defects for relaxing large strain remain in a state of being contained at a high density, there is a disadvantage that the visible light transmittance as a mask substrate is inferior to the original visible light transmittance of SiC.

【0018】本発明は、前記実情に鑑みてなされたもの
で、高可視光透過率でかつ低歪の補強枠接合を達成する
ことのできるX線マスクを提供することを目的とする。
The present invention has been made in view of the above circumstances, and has as its object to provide an X-ray mask which can achieve high visible light transmittance and low distortion bonding of a reinforcing frame.

【0019】また本発明は、バッファ層の形成に際し、
結晶欠陥を低減することを目的とする。
Further, according to the present invention, when forming the buffer layer,
An object is to reduce crystal defects.

【0020】さらに本発明はバッファ層に残留する結晶
欠陥をバッファ層を除去することにより取り去り、高可
視光透過率を持つX線マスクを低減することを目的とす
る。
It is a further object of the present invention to remove crystal defects remaining in the buffer layer by removing the buffer layer, thereby reducing an X-ray mask having a high visible light transmittance.

【0021】[0021]

【課題を解決するための手段】そこで本発明の第1で
は、マスク支持体(下地基板)上にマスク基板すなわち
X線透過性薄膜を成膜するに先立ち、支持体裏面に保護
膜を形成するようにしている。
Therefore, in the first aspect of the present invention, a protective film is formed on the back surface of a mask support, that is, before forming an X-ray transparent thin film on a mask support (base substrate). Like that.

【0022】例えば、下地基板の裏面に基板シリコンの
昇華を防ぐことのできる炭素からなる保護膜をあらかじ
め低温下で形成したのちに表面のマスク基板の成膜を行
うことにより、マスク基板の温度を1000℃以上でX
線透過性薄膜を形成しても裏面の白濁を防ぐことができ
る。
For example, by forming a protective film made of carbon capable of preventing sublimation of the substrate silicon on the back surface of the base substrate at a low temperature in advance and then forming the mask substrate on the front surface, the temperature of the mask substrate can be reduced. X above 1000 ° C
Even when a line-transparent thin film is formed, it is possible to prevent white turbidity on the back surface.

【0023】本発明の第2では、バッファ層の形成に際
し、700℃〜900℃望ましくは800℃で極薄膜の
形成を行った後、CVD法によってSiC膜の本成膜を
行うようにしている。
In the second aspect of the present invention, when forming the buffer layer, after forming an ultra-thin film at 700 ° C. to 900 ° C., preferably 800 ° C., the SiC film is formed by CVD. .

【0024】本発明の第3では、X線透過性薄膜の形成
に先立ち形成されるバッファ層を、X線透過性薄膜形成
後にエッチング除去するようにしている。
According to a third aspect of the present invention, the buffer layer formed prior to the formation of the X-ray transparent thin film is removed by etching after the formation of the X-ray transparent thin film.

【0025】[0025]

【作用】本発明の第1によれば、X線透過性薄膜の形成
時には基板の裏面は保護膜で被覆されているため、高温
下で成膜しても基板が白濁するのを防止することができ
る。また保護膜の形成を低温下で行うようにすれば、保
護膜形成時にマスク基板を成膜する面である表面側が白
濁することもない。したがってX線透過部の可視光透過
率が極めて高くかつ高精度のX線マスクを低コストで提
供することが可能となる。
According to the first aspect of the present invention, since the back surface of the substrate is covered with the protective film when forming the X-ray transparent thin film, it is possible to prevent the substrate from becoming cloudy even when the film is formed at a high temperature. Can be. If the protective film is formed at a low temperature, the surface side on which the mask substrate is formed during the formation of the protective film does not become cloudy. Therefore, it is possible to provide an X-ray mask having an extremely high visible light transmittance of the X-ray transmitting portion and high accuracy at low cost.

【0026】ここで、マスク支持体としては、例えばS
iが用いられる。X線透過性薄膜としては、例えばSi
C,Si3 4 ,BN、ボロンド―プしたSi等が挙げ
られる。また保護膜としては酸化シリコン膜、炭化硅素
膜、炭素膜、酸化アルミニウム膜、窒化硅素等を用いる
のが望ましい。またX線吸収体薄膜として、W,Ta及
びその窒化物(WNx ,TaNx ),炭化物(WCx
TaC)等の化合物或いは合金が挙げられるが、このう
ちでも特に、高密度のW薄膜が望ましい。これらの薄膜
は、例えばスパッタリング法により形成される。
Here, as the mask support, for example, S
i is used. As the X-ray transparent thin film, for example, Si
C, Si 3 N 4 , BN, boron-doped Si, and the like. It is preferable to use a silicon oxide film, a silicon carbide film, a carbon film, an aluminum oxide film, a silicon nitride, or the like as the protective film. Further, as an X-ray absorber thin film, W, Ta and its nitride (WN x , TaN x ), carbide (WC x ,
Compounds or alloys such as TaC) may be mentioned, and among them, a high-density W thin film is particularly desirable. These thin films are formed by, for example, a sputtering method.

【0027】また本発明者らは、バッファ層の形成に際
し種々の実験を重ねた結果、1μmのマスク基板に対し
てわずか数十nm以下の厚さのバッファ層の形成条件を変
えることにより、得られる可視光透過率が5%以上も異
なることが判明した。特にバッファ層の成膜温度を変え
ることにより、可視光透過率に8%の差が生じることが
わかった。本発明の第2はこれらの実験結果に着目して
なされたもので、700℃〜900℃望ましくは800
℃で極薄膜の形成を行った後、CVD法によってSiC
膜の本成膜を行うことにより、結晶欠陥の発生を低減
し、結晶品質の高いSiC膜を得ることができる。従っ
て可視光透過率が向上し、アライメント信号のS/N比
を向上させることができるため、高精度でマスクとウェ
ハのアライメントをすることが可能となる。しかも同一
の装置で一連の処理を行うことが可能であるため、マス
クの汚染やコストの上昇を極めて小さくすることができ
る。本発明の第3によれば、バッファ層を、X線透過性
薄膜形成後にエッチング除去するようにしているため、
バッファ層の機能を有効に達成した後多量の欠陥を含む
層は除去されることになり、可視光透過率の低下を防ぐ
ことができ、高精度でウェハのアライメントが可能なX
線マスクを形成することが可能となる。
The present inventors have conducted various experiments on the formation of the buffer layer. As a result, by changing the conditions for forming the buffer layer having a thickness of only several tens of nm or less for a 1 μm mask substrate, the present inventors have obtained the results. It was found that the required visible light transmittance differs by 5% or more. In particular, it was found that changing the film formation temperature of the buffer layer caused a difference of 8% in the visible light transmittance. The second aspect of the present invention is made by paying attention to these experimental results.
After forming a very thin film at
By performing the main film formation, generation of crystal defects is reduced, and a SiC film with high crystal quality can be obtained. Therefore, the visible light transmittance is improved, and the S / N ratio of the alignment signal can be improved, so that the mask and the wafer can be aligned with high accuracy. In addition, since a series of processes can be performed by the same apparatus, contamination of the mask and an increase in cost can be extremely reduced. According to the third aspect of the present invention, the buffer layer is etched away after the formation of the X-ray transparent thin film.
After effectively achieving the function of the buffer layer, the layer containing a large amount of defects will be removed, preventing a decrease in the visible light transmittance, and enabling high precision wafer alignment.
A line mask can be formed.

【0028】なおこのバッファ層は下地基板とマスク基
板となるX線透過性薄膜との格子定数の差に起因する歪
みの緩和のための層であり、薄膜と同一材料であるSi
Cのほか基板と同一材料であるシリコンなどを用いても
有効である。また、同一の装置内で一連の処理を行うよ
うにすれば、マスクの汚染やコストの高騰を抑制するこ
とができる。
This buffer layer is a layer for alleviating the strain caused by the difference in lattice constant between the base substrate and the X-ray transparent thin film serving as the mask substrate, and is made of Si, which is the same material as the thin film.
It is also effective to use silicon or the like made of the same material as the substrate in addition to C. In addition, if a series of processes are performed in the same apparatus, contamination of the mask and a rise in cost can be suppressed.

【0029】[0029]

【実施例】以下、本発明の実施例について図面を参照し
つつ詳細に説明する。
Embodiments of the present invention will be described below in detail with reference to the drawings.

【0030】実施例1 図1は本発明の第1の実施例のX線マスクの製造工程を
示す断面図である。
Embodiment 1 FIG. 1 is a sectional view showing a manufacturing process of an X-ray mask according to a first embodiment of the present invention.

【0031】この方法は、X線透過性薄膜の形成に先立
ち、下地基板裏面に保護膜としてのアモルファス炭素膜
を形成しておくようにしたことを特徴とするものであ
る。
This method is characterized in that prior to forming the X-ray transparent thin film, an amorphous carbon film as a protective film is formed on the back surface of the underlying substrate.

【0032】製造に際してはまず、図1(a) に示す如
く、両面研磨を行った厚さ600μm、面方位(10
0)の3インチSi基板1の裏面に、スパッタリング法
を用いて常温で膜厚100nmのアモルファス炭素膜から
なる保護膜2を形成する。
In manufacturing, first, as shown in FIG. 1 (a), both sides are polished to a thickness of 600 μm and a plane orientation (10
A protective film 2 made of an amorphous carbon film having a thickness of 100 nm at room temperature is formed on the back surface of the 3-inch Si substrate 1) by the sputtering method.

【0033】この後図1(b) に示す如く高周波加熱方式
のLPCVD装置を用い、グラファイト表面にSiCを
コ―ティングしたサセプタ上に、Si基板1を設置し、
減圧CVD法を用いて、シリコン原料としてシラン(S
iH4 :1%濃度水素希釈)300sccm、炭素原料とし
てアセチレン(C2 2 :1%濃度の水素希釈)125
sccm、キャリアガスとして水素(H2 )ガス150scc
m、添加ガスとして塩化水素(HCl)5sccmを供給し
つつ、基板温度1150℃、圧力1kPaにて、45分
間成膜を行うことにより、Si基板1上にSiC膜3a
を1μm堆積する。 この後、さらに、Si基板1の裏
面に形成された保護膜2およびシリコン基板との界面に
生じたSiC膜を、反応性イオンエッチングで全面にわ
たって除去しこの後電子ビームを用いた真空蒸着装置を
用いて、Si基板1の裏面に膜厚0.1μm のCr膜4
を堆積する(図1(c) )。この反応性イオンエッチング
の条件は、四フッ化炭素25sccmと酸素30sccmとの混
合ガスを用い、高周波印加電力300Wとした。
Thereafter, as shown in FIG. 1 (b), using a high-frequency heating type LPCVD apparatus, a Si substrate 1 was placed on a susceptor having SiC coated on the graphite surface.
Using a low pressure CVD method, silane (S
iH 4 : 1% concentration hydrogen dilution) 300 sccm, acetylene (C 2 H 2 : 1% concentration hydrogen dilution) 125 as a carbon raw material
sccm, hydrogen (H 2 ) gas 150 scc as carrier gas
m, while supplying 5 sccm of hydrogen chloride (HCl) as an additional gas, forming a film at a substrate temperature of 1150 ° C. and a pressure of 1 kPa for 45 minutes, thereby forming a SiC film 3 a on the Si substrate 1.
Is deposited at 1 μm. Thereafter, the protective film 2 formed on the back surface of the Si substrate 1 and the SiC film formed on the interface with the silicon substrate are further removed by reactive ion etching over the entire surface, and then a vacuum deposition apparatus using an electron beam is used. A 0.1 μm-thick Cr film 4 on the back surface of the Si substrate 1
Is deposited (FIG. 1 (c)). The reactive ion etching was performed using a mixed gas of 25 sccm of carbon tetrafluoride and 30 sccm of oxygen at a high frequency applied power of 300 W.

【0034】そして、図1(d) に示すように、通常のフ
ォトリソグラフィ技術により中央部の25mm四方の領域
および周辺部の幅12mmの領域に開口部を有するレジス
トパターンを形成し、このレジストパターンをマスクと
して、硝酸第2セリウムアンモニウム溶液を用いた液相
エッチングによりCr膜4に開口部を形成する。一方表
面には、マグネトロンDCスパッタリング装置を用い
て、SiC膜3aの表面に、X線吸収体として膜厚0.
5μmのW膜5を堆積する。ここでスパッタリング条件
としては、電力を1kwとし、アルゴンガス圧力を密度
の大きいW膜を形成できる低圧力側で、応力が0となる
3mTorr となるようにした。このようにして形成したW
膜5の応力はシリコン基板の反りから測定した結果2×
107 N/m2 であった。
Then, as shown in FIG. 1D, a resist pattern having an opening in a central 25 mm square region and a peripheral 12 mm wide region is formed by a usual photolithography technique. Is used as a mask, an opening is formed in the Cr film 4 by liquid phase etching using a ceric ammonium nitrate solution. On the other hand, using a magnetron DC sputtering device, the surface of the SiC film 3a is coated with a film having a film thickness of 0.1 mm as an X-ray absorber.
A 5 μm W film 5 is deposited. Here, as the sputtering conditions, the power was set to 1 kW, and the argon gas pressure was set to 3 mTorr where the stress became 0 on the low pressure side where a W film having a large density could be formed. W formed in this manner
The stress of the film 5 was 2 × as measured from the warpage of the silicon substrate.
It was 10 7 N / m 2 .

【0035】次に図1(e) に示すように、シリコンから
なる補強枠6とシリコン基板とを接着剤を用いない直接
接合によって接合する次に、W膜5内にArイオンをエ
ネルギー180keV,2×1015atoms/cm2 のドーズ
量でイオン注入し、応力を0にする。この後、W膜5上
に電子ビームレジストとして膜厚0.5μm のシリコン
含有レジストを塗布し、電子ビーム描画装置で描画し
て、所望のレジストパターン(最小線幅0.15μm )
を形成する。そしてこのレジストをエッチングマスクと
してSF6 を主成分とするガスを用いて反応性イオンエ
ッチングによりWにレジストのパターンを転写した後に
残ったレジストを除去し、W吸収体5のパターニングを
行う(図1(f) )。
Next, as shown in FIG. 1E, the reinforcing frame 6 made of silicon and the silicon substrate are joined by direct joining without using an adhesive. Next, Ar ions are introduced into the W film 5 with an energy of 180 keV and an energy of 180 keV. Ions are implanted at a dose of 2 × 10 15 atoms / cm 2 to reduce the stress to zero. Thereafter, a silicon-containing resist having a thickness of 0.5 μm is applied as an electron beam resist on the W film 5 and drawn by an electron beam drawing apparatus to obtain a desired resist pattern (minimum line width: 0.15 μm).
To form Using the resist as an etching mask, the resist remaining after transferring the resist pattern to W by reactive ion etching using a gas containing SF 6 as a main component is removed, and the W absorber 5 is patterned (FIG. 1). (f)).

【0036】次に、図1(d) で除去されずに残ったCr
膜4をエッチングマスクとして、95℃に加熱した濃度
30%の水酸化カリウム溶液によりシリコン基板1を液
相でエッチングし除去した。これにより、25mm四方の
開口部を形成することができた(図1(g))。
Next, the Cr remaining without being removed in FIG.
Using the film 4 as an etching mask, the silicon substrate 1 was etched in a liquid phase with a 30% concentration potassium hydroxide solution heated to 95 ° C. and removed. As a result, a 25 mm square opening could be formed (FIG. 1 (g)).

【0037】以上の工程により形成したX線マスクを評
価するために、電子ビーム描画後のレジストパターンと
バックエッチング後の吸収体パターンとの位置ずれを測
定した。このパターン位置歪みを、ニコン社製「光波3
l」と指称されているレーザ干渉測長器を用い、26mm
四方の領域においてあらかじめ2mm間隔で形成しておい
た十字パターンの座標を測定し比較したところ、測定精
度である、0.03μm (3σ)以上の差は検出され
ず、高い位置精度を達成することができた。
In order to evaluate the X-ray mask formed by the above steps, the displacement between the resist pattern after electron beam writing and the absorber pattern after back etching was measured. This pattern position distortion is converted into a light wave 3 made by Nikon Corporation.
l ”, using a laser interferometer measuring 26 mm
When measuring and comparing the coordinates of a cross pattern formed at intervals of 2 mm in advance in the four regions, no difference of 0.03 μm (3σ) or more, which is the measurement accuracy, is detected, and high position accuracy is achieved. Was completed.

【0038】なお、前記実施例では、アモルファス炭素
膜を保護膜としたが、低温で成膜が可能であり、除去が
比較的容易であれば、酸化硅素(SiO2 ),炭化硅素
(SiC)、窒化硅素(SiN)、酸化アルミニウム
(Al2 3 )など他の材料でも、保護膜として使用す
ることが可能である。またこの保護膜の成膜方法として
もスパッタリング法に限定されることなく、酸素ガス雰
囲気中で行われる反応性スパッタリング法、真空蒸着
法、低温での熱CVD法、プラズマCVD法、光励起C
VD法等も適用可能である。
In the above embodiment, the amorphous carbon film is used as the protective film. However, if the film can be formed at a low temperature and the removal is relatively easy, silicon oxide (SiO 2 ) or silicon carbide (SiC) can be used. Other materials such as silicon, silicon nitride (SiN), and aluminum oxide (Al 2 O 3 ) can be used as the protective film. Also, the method of forming the protective film is not limited to the sputtering method, but includes a reactive sputtering method performed in an oxygen gas atmosphere, a vacuum deposition method, a low-temperature thermal CVD method, a plasma CVD method, and a photo-excitation method.
The VD method or the like is also applicable.

【0039】さらに前記実施例では、保護膜を完全にエ
ッチングジ除去した後改めてバックエッチングのマスク
材となるCr膜4を形成したが、裏面保護膜をそのまま
バックエッチングのマスク材として用いることも可能で
ある。
Further, in the above embodiment, the Cr film 4 serving as a mask material for back etching is formed again after the protection film is completely removed by etching, but the back surface protection film can be used as it is as a mask material for back etching. It is.

【0040】この例について説明する。例えば前記実施
例における図1(a) の工程で保護膜としてのアモルファ
ス炭素膜に代えて膜厚50nmのSiC膜を基板温度60
0℃の減圧CVD法により形成しておき、さらに図1
(c) で保護膜を除去することなくそのまま残して膜厚5
00nmのSiC膜を基板温度1050℃の減圧CVD法
で形成し、これらのSiC膜を図1(d) に示したのと同
様に四フッ化炭素と酸素の混合ガスを用いた反応性イオ
ンエッチングによりバックエッチング用マスクのパター
ニングを行うようにしてもよい。
This example will be described. For example, in the process of FIG. 1A in the above embodiment, a 50 nm-thick SiC film is used instead of the amorphous carbon film as the protective film at a substrate temperature of 60 ° C.
It is formed by a low pressure CVD method at 0 ° C.
In (c), the protective film is left as it is without being removed, and the film thickness is 5
A 100 nm SiC film is formed by a reduced pressure CVD method at a substrate temperature of 1050 ° C., and these SiC films are subjected to reactive ion etching using a mixed gas of carbon tetrafluoride and oxygen in the same manner as shown in FIG. May be used to pattern the mask for back etching.

【0041】また、この保護膜はマスク基板としてのX
線透過性薄膜の成膜の主たる部分の形成前に行えば良い
ため、バッファ層あるいは反射防止膜の形成後に形成し
ても良く、また同時に形成してもよい。
This protective film is made of X as a mask substrate.
Since the film may be formed before the formation of the main part of the line transmitting thin film, it may be formed after forming the buffer layer or the antireflection film, or may be formed simultaneously.

【0042】また、X線吸収体もWに限らず、Ta,A
u及びこれらの窒化物及び炭化物を用いることもでき
る。さらにX線透過性薄膜としてSiC膜を用いたが、
SiNx ,BN,ボロンド―プしたSiなども用いるこ
とができ、さらに成膜方法についても減圧CVD法に限
定されることなく、常圧CVD法、プラズマCVD法、
ECR−CVD法、光励起CVD法等他の成膜方法にも
適用可能である。
Further, the X-ray absorber is not limited to W, but Ta, A
u and their nitrides and carbides can also be used. Furthermore, although the SiC film was used as the X-ray transparent thin film,
SiN x , BN, boron-doped Si, and the like can also be used. Further, the film formation method is not limited to the low pressure CVD method, and the normal pressure CVD method, the plasma CVD method,
The present invention can be applied to other film forming methods such as an ECR-CVD method and a photo-excited CVD method.

【0043】その他、本発明の要旨を逸脱しない範囲
で、種々変形して実施することができる。
In addition, various modifications can be made without departing from the scope of the present invention.

【0044】実施例2 図2は本発明の第2の実施例のX線マスクの製造工程を
示す断面図である。
Embodiment 2 FIG. 2 is a sectional view showing a manufacturing process of an X-ray mask according to a second embodiment of the present invention.

【0045】ここではX線透過性薄膜の形成に先立ち、
基板温度800℃で欠陥の発生を抑制しながらバッファ
層21bを形成し、この上層に結晶欠陥の極めて少ない
X線透過性薄膜を得るようにしたことを特徴とするもの
である。
Here, prior to the formation of the X-ray transparent thin film,
The buffer layer 21b is formed while suppressing the generation of defects at a substrate temperature of 800 ° C., and an X-ray transparent thin film having extremely few crystal defects is obtained on the buffer layer 21b.

【0046】製造に際してはまず、前記実施例と同様に
高周波加熱方式のLPCVD装置を用い、グラファイト
表面にSiCをコ―ティングしたサセプタ上に、両面研
磨を行った厚さ600μm 、面方位(100)の3イン
チSi基板11を設置し、100℃においてHC1ガス
によりSi基板の気相エッチングを施すことにより、S
i基板上に存在する自然酸化膜及び重金属類の汚染物を
除去した。これにより、Si基板の表面清浄化処理が完
了する。
At the time of manufacture, first, both sides were polished on a susceptor having a graphite surface coated with SiC by using a high-frequency heating type LPCVD apparatus in the same manner as in the above embodiment, and a thickness of 600 μm and a plane orientation of (100) 3 inch Si substrate 11 is set, and the Si substrate is subjected to gas phase etching with HC1 gas at 100 ° C., so that S
The natural oxide film and heavy metal contaminants present on the i-substrate were removed. Thereby, the surface cleaning treatment of the Si substrate is completed.

【0047】次いで、図2(a) に示す如く、この装置内
で濃度1%の水素希釈のアセチレン40sccmと、キャリ
アガスとして水素(H2 )ガス200sccmの混合ガスを
基板温度800℃圧力1kPaで5分間供給することに
より、膜厚15nmのSiC層からなるバッファ層21b
を形成する。
Next, as shown in FIG. 2 (a), a mixed gas of 40 sccm of acetylene diluted with hydrogen having a concentration of 1% and 200 sccm of hydrogen (H 2 ) gas as a carrier gas at a substrate temperature of 800 ° C. and a pressure of 1 kPa in this apparatus. The buffer layer 21b made of a 15 nm-thick SiC layer is supplied for 5 minutes.
To form

【0048】そして同一装置内で引き続き図2(b) に示
す如く、減圧CVD法を用いて、シリコン原料として濃
度1%の水素希釈のシラン(SiH4 )300sccm、炭
素原料としてアセチレン(C2 2 :1%濃度の水素希
釈)135sccm、、キャリアガスとして水素(H2 )ガ
ス150sccm、添加ガスとして塩化水素(HCl)5sc
cmを供給しつつ、基板温度1050℃、圧力1kPaに
て、45分間成膜を行うことにより、Si基板11上に
多結晶SiC膜13を1μm堆積する。
[0048] Then, as shown in subsequently in the same apparatus Figure 2 (b), by low pressure CVD method, a silicon raw material as concentration of 1% silane diluted with hydrogen (SiH 4) 300 sccm, acetylene as a carbon source (C 2 H 2 : 1% concentration hydrogen dilution) 135 sccm, hydrogen (H 2 ) gas 150 sccm as carrier gas, hydrogen chloride (HCl) 5 sc as additional gas
The film is formed at a substrate temperature of 1050 ° C. and a pressure of 1 kPa for 45 minutes while supplying cm, whereby a 1 μm-thick polycrystalline SiC film 13 is deposited on the Si substrate 11.

【0049】この後、さらに、Si基板11の裏面にも
減圧CVD法によりシラン300sccm、アセチレン13
0sccm、キャリアガスとして水素ガス150sccmを供給
しつつ、基板温度1050℃、圧力1kPaにて、20
分間成膜を行うことにより、膜厚0.7μm のSiC膜
14を形成した(図2(c) )。
After that, silane 300 sccm and acetylene 13
At a substrate temperature of 1050 ° C. and a pressure of 1 kPa, 20 sccm was supplied while supplying 150 sccm of hydrogen gas as a carrier gas.
The SiC film 14 having a thickness of 0.7 μm was formed by performing film formation for a minute (FIG. 2C).

【0050】そして、図2(d) に示すように、このSi
C膜14表面の中央部の25mm四方の領域以外をアルミ
ニウム製エッチングマスクで覆い、四フッ化炭素25sc
cmと酸素30sccmとの混合ガスを用いて高周波印加電力
300Wの条件で反応性イオンエッチングにより除去す
る。一方表面側には、Arガスを用いたスパッタリング
装置を用いて、SiC膜13の表面に、X線吸収体とし
て膜厚0.5μmのW膜15を堆積する。ここでスパッ
タリング条件としては、電力を1kwとし、アルゴンガ
ス圧力を密度の大きいW膜を形成できる低圧力側で、応
力が0となる3mTorr となるようにした。このようにし
て形成したW膜15の応力はシリコン基板の反りから測
定した結果2×107 N/m2 であった。
Then, as shown in FIG.
Except for a 25 mm square region at the center of the surface of the C film 14, the surface was covered with an aluminum etching mask, and carbon tetrafluoride 25 sc
It is removed by reactive ion etching under a condition of high-frequency applied power of 300 W using a mixed gas of cm and 30 sccm of oxygen. On the other hand, on the surface side, a 0.5 μm-thick W film 15 is deposited as an X-ray absorber on the surface of the SiC film 13 using a sputtering apparatus using Ar gas. Here, as the sputtering conditions, the power was set to 1 kW, and the argon gas pressure was set to 3 mTorr where the stress became 0 on the low pressure side where a W film having a large density could be formed. The stress of the W film 15 thus formed was 2 × 10 7 N / m 2 as measured from the warpage of the silicon substrate.

【0051】次に図2(e) に示すように、W膜15内に
Arイオンをエネルギー180keV,2×1015atom
s/cm2 のドーズ量でイオン注入を行い応力を0にする。
この後、W膜15上に電子ビームレジストとして膜厚
0.5μm のシリコン含有レジストを塗布し、電子ビー
ム描画装置により描画して所望のレジストパターン(最
小線幅0.15μm )を形成する。そしてこのレジスト
をエッチングマスクとしてSF6 を主成分とするガスを
用いて反応性イオンエッチングによりWにレジストのパ
ターンを転写した後に残ったレジストを除去することに
よりW吸収体15のパターニングを行う。
Next, as shown in FIG. 2E, Ar ions are introduced into the W film 15 at an energy of 180 keV and 2 × 10 15 atoms.
Ion implantation is performed at a dose of s / cm 2 to reduce the stress to zero.
Thereafter, a 0.5 μm-thick silicon-containing resist is applied as an electron beam resist on the W film 15 and drawn by an electron beam drawing apparatus to form a desired resist pattern (minimum line width 0.15 μm). Then, using the resist as an etching mask, a W-absorber 15 is patterned by removing the resist remaining after transferring the resist pattern to W by reactive ion etching using a gas containing SF 6 as a main component.

【0052】そして、図2(d) で除去されずに残ったS
iC膜14をエッチングマスクとして、90℃に加熱し
た濃度30%の水酸化カリウム溶液によりシリコン基板
11を液相でエッチングし除去した。これにより、25
mm四方の開口部を形成することができた(図2(f))。
Then, the remaining S which is not removed in FIG.
Using the iC film 14 as an etching mask, the silicon substrate 11 was etched in a liquid phase with a 30% concentration potassium hydroxide solution heated to 90 ° C. and removed. As a result, 25
An opening of mm square could be formed (FIG. 2 (f)).

【0053】以上の工程により形成したX線マスクを評
価するために、薄膜状態となって残された表面の25mm
四方の開口部の可視光透過率を分光光度計を用いて測定
した結果633nmにおける可視光透過率は68%であっ
た。
In order to evaluate the X-ray mask formed by the above process, the surface of the thin film having a thickness of 25 mm was evaluated.
The visible light transmittance of the four openings was measured using a spectrophotometer. As a result, the visible light transmittance at 633 nm was 68%.

【0054】比較のために、基板温度を変化させたとき
の基板温度と可視光透過率との関係を測定した結果を図
3に示す。この結果基板温度700〜900℃としたと
き可視光透過率が大きくなることがわかる。
For comparison, FIG. 3 shows the result of measuring the relationship between the substrate temperature and the visible light transmittance when the substrate temperature was changed. As a result, it is found that the visible light transmittance increases when the substrate temperature is set to 700 to 900 ° C.

【0055】さらにまた種々の実験の結果、バッファ層
の形成に際しての欠陥の発生は、バッファ層の形成開始
時の基板温度に大きく依存し、バッファ層の形成を停止
しX線透過性薄膜の形成を開始する温度にはほとんど依
存しないことがわかった。
Further, as a result of various experiments, the occurrence of defects during the formation of the buffer layer greatly depends on the substrate temperature at the start of the formation of the buffer layer. Was found to be almost independent of the temperature at which to initiate.

【0056】このことを利用して、バッファ層の形成開
始時の基板温度を700〜900℃とし、次第に昇温
し、本成膜温度である1050℃程度まで温度上昇した
とき、アセチレンガスの供給を停止して本成膜以下の工
程を行うようにしても、同様の可視光透過率を得ること
ができた。
By taking advantage of this fact, the substrate temperature at the start of the formation of the buffer layer is set to 700 to 900 ° C., the temperature is gradually increased, and when the temperature is raised to about 1050 ° C., which is the main film forming temperature, the supply of acetylene gas is performed. Was stopped and the steps following the main film formation were performed, the same visible light transmittance could be obtained.

【0057】すなわち図4に示すように面指数(10
0)のSi基板を水素ガス200sccmを供給しなが
ら成膜容器圧力0.01kPa以下に保った状態で約6
0℃/分の速度で昇温し、基板温度が約750℃となっ
た時点で、1%の水素希釈アセチレン40sccmと水
素ガス200sccmとの混合ガスを圧力1kPaの条
件で供給する。そしてアセチレンガスの供給を停止する
ことなく引き続き昇温を行い、基板温度1050℃とな
るまでの間SiCからなるバッファ層を形成し、基板温
度1050℃となったところで一旦アセチレンガスの供
給を停止し、引き続き前記実施例と同様に本成膜以下の
工程を行った。
That is, as shown in FIG.
The silicon substrate of 0) is maintained at a pressure of 0.01 kPa or less while supplying 200 sccm of hydrogen gas while maintaining a pressure of 0.01 kPa or less.
The temperature is raised at a rate of 0 ° C./min, and when the substrate temperature reaches about 750 ° C., a mixed gas of 1% hydrogen-diluted acetylene 40 sccm and hydrogen gas 200 sccm is supplied under a pressure of 1 kPa. Then, the temperature was continuously increased without stopping the supply of the acetylene gas, a buffer layer made of SiC was formed until the substrate temperature reached 1050 ° C., and when the substrate temperature reached 1050 ° C., the supply of the acetylene gas was stopped once. Then, the same steps as those of the above embodiment were performed after the main film formation.

【0058】これにより、先の実施例と同様のバッファ
層の形成が可能となる。
Thus, a buffer layer similar to that of the previous embodiment can be formed.

【0059】なお、本成膜の際の基板温度については1
200℃までの範囲では基板温度が高いほど得られるS
iC膜の可視光透過率が十分によいため、先の実施例と
同様なバッファ層の効果が明瞭に現れる。また、さらに
このようにして形成した膜に例えば酸化シリコン、酸化
アルミニウムなどの反射防止膜を形成することによりよ
り高い可視光透過率を得ることができる。
The substrate temperature during the main film formation is 1
In the range up to 200 ° C., the higher the substrate temperature, the higher the S
Since the visible light transmittance of the iC film is sufficiently high, the same effect of the buffer layer as in the previous embodiment is clearly exhibited. Further, a higher visible light transmittance can be obtained by forming an antireflection film such as silicon oxide and aluminum oxide on the film thus formed.

【0060】実施例3 図5は本発明の第3の実施例のX線マスクの製造工程を
示す断面図である。
Embodiment 3 FIG. 5 is a sectional view showing a manufacturing process of an X-ray mask according to a third embodiment of the present invention.

【0061】ここではX線透過性薄膜の形成に先立ち、
形成しておいたバッファ層11bを、バックエッチング
工程でエッチング除去し、結晶欠陥のないX線透過性薄
膜を得るようにしたことを特徴とするものである。
Here, prior to forming the X-ray transparent thin film,
The buffer layer 11b that has been formed is etched away in a back etching step to obtain an X-ray transparent thin film free of crystal defects.

【0062】製造に際してはまず、前記実施例と同様に
高周波加熱方式のLPCVD装置を用い、グラファイト
表面にSiCをコ―ティングしたサセプタ上に、両面研
磨を行った厚さ600μm 、面方位(100)の3イン
チSi基板11を設置し、100℃においてHC1ガス
によりSi基板の気相エッチングを施すことにより、S
i基板上に存在する自然酸化膜及び重金属類の汚染物を
除去した。これにより、Si基板の表面清浄化処理が完
了する。
At the time of manufacture, first, a double-side polishing was carried out on a susceptor having a graphite surface coated with SiC using a high-frequency heating type LPCVD apparatus in the same manner as in the above embodiment, and a thickness of 600 μm and a plane orientation of (100) 3 inch Si substrate 11 is set, and the Si substrate is subjected to gas phase etching with HC1 gas at 100 ° C., so that S
The natural oxide film and heavy metal contaminants present on the i-substrate were removed. Thereby, the surface cleaning treatment of the Si substrate is completed.

【0063】次いで、図5(a) に示す如く、この装置内
で基板温度1050℃、圧力1kPaの条件で濃度1%
の水素希釈のシラン(SiH4 )300sccmと、キャリ
アガスとして水素(H2 )ガス150sccmの混合ガスを
1分間供給することにより、膜厚30nmの多結晶シリコ
ン層からなるバッファ層11bを形成する。
Next, as shown in FIG. 5 (a), in this apparatus, the substrate temperature is 1050 ° C., the pressure is 1 kPa, and the concentration is 1%.
By supplying a mixed gas of 300 sccm of silane (SiH 4 ) diluted with hydrogen and 150 sccm of hydrogen (H 2 ) gas as a carrier gas for 1 minute, a buffer layer 11 b made of a polycrystalline silicon layer having a thickness of 30 nm is formed.

【0064】そして同一装置内で引き続き図5(b) に示
す如く、減圧CVD法を用いて、シリコン原料として濃
度1%の水素希釈のシラン(SiH4 )300sccm、炭
素原料としてアセチレン(C2 2 :1%濃度の水素希
釈)125sccm、、キャリアガスとして水素(H2 )ガ
ス150sccm、添加ガスとして塩化水素(HCl)5sc
cmを供給しつつ、基板温度1050℃、圧力1kPaに
て、45分間成膜を行うことにより、Si基板11上に
SiC膜13を1μm堆積する。
[0064] Then, as shown in FIG continue in the same apparatus 5 (b), by low pressure CVD method, a silicon raw material as concentration of 1% silane diluted with hydrogen (SiH 4) 300 sccm, acetylene as a carbon source (C 2 H 2 : 1% concentration hydrogen dilution) 125 sccm, hydrogen (H 2 ) gas 150 sccm as carrier gas, hydrogen chloride (HCl) 5 sc as additional gas
While supplying cm, a film is formed at a substrate temperature of 1050 ° C. and a pressure of 1 kPa for 45 minutes to deposit a 1 μm SiC film 13 on the Si substrate 11.

【0065】この後、さらに、Si基板11の裏面にも
減圧CVD法によりシラン300sccm、アセチレン13
0sccm、キャリアガスとして水素ガス150sccmを供給
しつつ、基板温度1050℃、圧力1kPaにて、20
分間成膜を行うことにより、膜厚0.7μm のSiC膜
14を形成した(図5(c) )。
Thereafter, the silane 300 sccm and the acetylene 13
At a substrate temperature of 1050 ° C. and a pressure of 1 kPa, 20 sccm was supplied while supplying 150 sccm of hydrogen gas as a carrier gas.
By performing film formation for a minute, an SiC film 14 having a thickness of 0.7 μm was formed (FIG. 5C).

【0066】そして、図5(d) に示すように、このSi
C膜14表面の中央部の25mm四方の領域以外をアルミ
ニウム製エッチングマスクで覆い、四フッ化炭素25sc
cmと酸素30sccmとの混合ガスを用いて高周波印加電力
300Wの条件で反応性イオンエッチングにより除去す
る。一方表面側には、Arガスを用いたスパッタリング
装置を用いて、SiC膜13の表面に、X線吸収体とし
て膜厚0.5μmのW膜15を堆積する。ここでスパッ
タリング条件としては、電力を1kwとし、アルゴンガ
ス圧力を密度の大きいW膜を形成できる低圧力側で、応
力が0となる3mTorr となるようにした。このようにし
て形成したW膜15の応力はシリコン基板の反りから測
定した結果2×107 N/m2 であった。
Then, as shown in FIG.
Except for a 25 mm square region at the center of the surface of the C film 14, the surface was covered with an aluminum etching mask, and carbon tetrafluoride 25 sc
It is removed by reactive ion etching under a condition of high-frequency applied power of 300 W using a mixed gas of cm and 30 sccm of oxygen. On the other hand, on the surface side, a 0.5 μm-thick W film 15 is deposited as an X-ray absorber on the surface of the SiC film 13 using a sputtering apparatus using Ar gas. Here, as the sputtering conditions, the power was set to 1 kW, and the argon gas pressure was set to 3 mTorr where the stress became 0 on the low pressure side where a W film having a large density could be formed. The stress of the W film 15 thus formed was 2 × 10 7 N / m 2 as measured from the warpage of the silicon substrate.

【0067】次に図5(e) に示すように、W膜15内に
Arイオンをエネルギー180keV,2×1015atom
s/cm2 のドーズ量でイオン注入を行い応力を0にする。
この後、W膜15上に電子ビームレジストとして膜厚
0.5μm のシリコン含有レジストを塗布し、電子ビー
ム描画装置により描画して所望のレジストパターン(最
小線幅0.15μm )を形成する。そしてこのレジスト
をエッチングマスクとしてSF6 を主成分とするガスを
用いて反応性イオンエッチングによりWにレジストのパ
ターンを転写した後に残ったレジストを除去することに
よりW吸収体15のパターニングを行う。
Next, as shown in FIG. 5E, Ar ions are introduced into the W film 15 at an energy of 180 keV and 2 × 10 15 atoms.
Ion implantation is performed at a dose of s / cm 2 to reduce the stress to zero.
Thereafter, a 0.5 μm-thick silicon-containing resist is applied as an electron beam resist on the W film 15 and drawn by an electron beam drawing apparatus to form a desired resist pattern (minimum line width 0.15 μm). Then, using the resist as an etching mask, a W-absorber 15 is patterned by removing the resist remaining after transferring the resist pattern to W by reactive ion etching using a gas containing SF 6 as a main component.

【0068】そして、図5(d) で除去されずに残ったS
iC膜14をエッチングマスクとして、90℃に加熱し
た濃度30%の水酸化カリウム溶液によりシリコン基板
11およびバッファ層11bを液相でエッチングし除去
した。これにより、25mm四方の開口部を形成すること
ができた(図5(f))。
Then, the remaining S which has not been removed in FIG.
Using the iC film 14 as an etching mask, the silicon substrate 11 and the buffer layer 11b were removed by etching in a liquid phase with a 30% concentration potassium hydroxide solution heated to 90 ° C. Thereby, a 25 mm square opening could be formed (FIG. 5 (f)).

【0069】以上の工程により形成したX線マスクを評
価するために、薄膜状態となって残された表面の25mm
四方の開口部の可視光透過率を分光光度計を用いて測定
した結果633nmにおける可視光透過率は73%であっ
た。
In order to evaluate the X-ray mask formed by the above steps, the surface of the thin film having a thickness of 25 mm was evaluated.
The visible light transmittance of the four openings was measured using a spectrophotometer. As a result, the visible light transmittance at 633 nm was 73%.

【0070】従来の方法のようにアセチレンのみを供給
する炭化処理によりシリコン表面にバッファ層を形成し
この上層に同様にしてSiC膜を形成した場合、添加ガ
スを用いない場合では45%,塩化水素ガスを添加して
成膜した場合でも68%程度の可視光透過率しか得るこ
とができなかった。これらと本発明の結果との比較によ
りバッファ層を除去することによって大幅に可視光透過
率を向上することが可能であることがわかる。
When a buffer layer is formed on the silicon surface by a carbonization treatment for supplying only acetylene as in the conventional method and an SiC film is formed on the buffer layer in the same manner as above, when no additional gas is used, 45% hydrogen chloride is used. Even when a film was formed by adding a gas, only a visible light transmittance of about 68% could be obtained. Comparison of these results with the results of the present invention shows that the visible light transmittance can be significantly improved by removing the buffer layer.

【0071】なお、前記実施例では多結晶シリコン膜を
バッファ層として用いたが、結晶欠陥を含む単結晶シリ
コン膜をバッファ層として用いても有効である。この場
合は結晶欠陥の導入は不純物原子の添加特に原子半径の
小さい硼素を1019cm-3程度混入させて行うことが、格
子歪みの緩和に効果的である。また、CVD法を用いた
Siの形成以外にも下地基板であるシリコン表面にイオ
ン注入を行うことにより表面に結晶欠陥を導入すること
によっても可能である。
Although the above embodiment uses a polycrystalline silicon film as the buffer layer, it is also effective to use a single crystal silicon film containing crystal defects as the buffer layer. In this case, it is effective to introduce lattice defects by adding impurity atoms, particularly by mixing boron having a small atomic radius of about 10 19 cm -3 to alleviate lattice distortion. In addition to the formation of Si using the CVD method, it is also possible to introduce crystal defects into the surface by performing ion implantation on the silicon surface which is the underlying substrate.

【0072】さらにバッファ層は、バックエッチングの
エッチング液に可溶であればバックエッチング工程で除
去可能であるため、水酸化カリウムに代えてフッ酸と硝
酸の混合液をエッチング液として用いる場合には、例え
ばTa,Wなどの高融点金属あるいはこれらの金属とシ
リコンとの化合物を用いることも可能である。
Further, the buffer layer can be removed in the back etching step if it is soluble in the etching solution of the back etching. Therefore, when a mixed solution of hydrofluoric acid and nitric acid is used as the etching solution instead of potassium hydroxide, For example, a high melting point metal such as Ta or W, or a compound of these metals and silicon can be used.

【0073】さらにまたバッファ層の除去は、バックエ
ッチング工程で行わなくてもよく、バックエッチングの
後に他のエッチング液を用いてエッチングしてもよいし
あるいは反応性イオンエッチングなどのドライエッチン
グを用いてエッチングしてもよい。この場合は窒化シリ
コンなど他の種々の物質を用いる事も可能である。
Further, the removal of the buffer layer may not be performed in the back etching step, may be performed by using another etching solution after the back etching, or may be performed by using dry etching such as reactive ion etching. It may be etched. In this case, various other substances such as silicon nitride can be used.

【0074】さらにバッファ層はその全体を除去する必
要はなく少なくともアライメントに用いるマスクパター
ン形成領域で除去すればよくまた、膜厚方向に一部除去
した状態でも良い。
Further, the buffer layer need not be entirely removed, but may be removed at least in a mask pattern forming region used for alignment, or may be partially removed in the film thickness direction.

【0075】さらにまたこのようにして形成された膜に
例えば酸化シリコン、酸化アルミニウムなどの反射防止
膜を付加すれば、より高い可視光透過率を得ることがで
きることはいうまでもない。
It is needless to say that a higher visible light transmittance can be obtained by adding an antireflection film such as silicon oxide or aluminum oxide to the film formed in this manner.

【0076】また以上に示した実施例ではシラン、アセ
チレン、水素、塩化水素を用いてSiCの成膜を行うよ
うにしたが、シランに代えてジクロルシラン、トリクロ
ルシラン、テトラクロロシラン、四フッ化硅素、ジシラ
ンなどのシリコン原子を含むガスあるいはこれらの混合
ガスを用い、アセチレンに代えてメタン、エタン、エチ
レン、プロパンなどのC原子を含むガスあるいはこれら
の混合ガスを用い、塩化水素の代わりにフッ素、塩素等
のハロゲンを含むガスを用いても良い。
In the embodiment described above, the SiC film is formed using silane, acetylene, hydrogen, and hydrogen chloride. However, dichlorosilane, trichlorosilane, tetrachlorosilane, silicon tetrafluoride, Use a gas containing silicon atoms such as disilane or a mixed gas thereof, use a gas containing a C atom such as methane, ethane, ethylene, and propane instead of acetylene or a mixed gas thereof, and use fluorine or chlorine instead of hydrogen chloride. Or a gas containing halogen such as

【0077】そしてまた、成膜方法としても減圧CVD
法に限定されることなく、常圧CVD法、プラズマCV
D法、ECR−CVD法、光励起CVD法等の他の成膜
方法にも適用可能である。
Further, a low pressure CVD is also used as a film forming method.
Pressure CVD, plasma CV
The present invention can be applied to other film forming methods such as a D method, an ECR-CVD method, and a photo-excited CVD method.

【0078】[0078]

【発明の効果】以上説明してきたように、本発明によれ
ば、マスク基板(X線透過性薄膜)の成膜に先だち基板
裏面に保護膜を形成しておくようにしているため、再研
磨や研磨に伴う洗浄工程を行うことなく、歪みの発生の
小さい直接接合法を用いて補強枠の接合を行うことが可
能となり、高精度のX線吸収体パターンを有するX線マ
スクを形成することが可能となる。
As described above, according to the present invention, the protective film is formed on the back surface of the mask substrate (X-ray transparent thin film) prior to the formation of the mask substrate. Forming the X-ray mask having a high-precision X-ray absorber pattern by using a direct bonding method with small distortion without performing a cleaning process associated with polishing and polishing. Becomes possible.

【0079】さらに本発明の第2によれば、バッファ層
を700〜900℃望ましくは800℃で堆積している
ため、結晶欠陥の少ない良好なバッファ層の形成が可能
となり、可視光透過率の低下を防ぐことができ、高精度
でウェハとのアライメントを行うことのできるX線マス
クを形成することが可能となる。
Further, according to the second aspect of the present invention, since the buffer layer is deposited at 700 to 900 ° C., preferably 800 ° C., it is possible to form a good buffer layer with few crystal defects, and to reduce the visible light transmittance. It is possible to form an X-ray mask that can prevent the reduction and can perform alignment with the wafer with high accuracy.

【0080】さらに本発明の第3によれば、バッファ層
を、X線透過性薄膜形成後にエッチング除去するように
しているため、バッファ層の機能を有効に達成した後多
量の欠陥を含む層は除去されることになり、可視光透過
率の低下を防ぐことができ、より高精度でウェハとのア
ライメントを行うことのできるX線マスクを形成するこ
とが可能となる。
Further, according to the third aspect of the present invention, since the buffer layer is etched away after the formation of the X-ray transparent thin film, the layer containing a large number of defects after effectively achieving the function of the buffer layer is removed. As a result, a reduction in visible light transmittance can be prevented, and an X-ray mask capable of performing alignment with the wafer with higher precision can be formed.

【図面の簡単な説明】[Brief description of the drawings]

【図1】本発明の第1の実施例のX線マスクの製造工程
FIG. 1 is a manufacturing process diagram of an X-ray mask according to a first embodiment of the present invention.

【図2】本発明の第2の実施例のX線マスクの製造工程
FIG. 2 is a manufacturing process diagram of an X-ray mask according to a second embodiment of the present invention.

【図3】バッファ層成膜温度と可視光透過率との関係を
示す図
FIG. 3 is a diagram showing a relationship between a buffer layer deposition temperature and a visible light transmittance;

【図4】本発明の他の実施例のバッファ層成膜時の基板
温度プロファイルを示す図
FIG. 4 is a diagram showing a substrate temperature profile when forming a buffer layer according to another embodiment of the present invention.

【図5】本発明の第3の実施例のX線マスクの製造工程
FIG. 5 is a manufacturing process diagram of an X-ray mask according to a third embodiment of the present invention.

【図6】従来例のX線マスクの製造工程図FIG. 6 is a manufacturing process diagram of a conventional X-ray mask.

【図7】CVD装置を示す図FIG. 7 shows a CVD apparatus.

【図8】基板温度と可視光透過率との関係を示す図FIG. 8 is a diagram showing a relationship between a substrate temperature and a visible light transmittance;

【符号の説明】[Explanation of symbols]

1 シリコン基板 2 保護膜 3b バッファ層 3a X線透過性薄膜 4 Cr膜 5 X線吸収膜パターン 6 補強枠 11 シリコン基板 11b バッファ層 13 X線透過性薄膜 14 SiC膜 15 X線吸収膜パターン 21b バッファ層 DESCRIPTION OF SYMBOLS 1 Silicon substrate 2 Protective film 3b Buffer layer 3a X-ray transparent thin film 4 Cr film 5 X-ray absorbing film pattern 6 Reinforcement frame 11 Silicon substrate 11b Buffer layer 13 X-ray transparent thin film 14 SiC film 15 X-ray absorbing film pattern 21b Buffer layer

フロントページの続き (56)参考文献 特開 平4−137718(JP,A) 特開 平2−162714(JP,A) 特開 平2−6387(JP,A) 特開 平2−6388(JP,A) 特開 平5−267131(JP,A) 特開 平5−36591(JP,A) (58)調査した分野(Int.Cl.7,DB名) H01L 21/027 G03F 1/08 - 1/16 Continuation of the front page (56) References JP-A-4-137718 (JP, A) JP-A-2-162714 (JP, A) JP-A-2-6387 (JP, A) JP-A-2-6388 (JP) , A) JP-A-5-267131 (JP, A) JP-A-5-36591 (JP, A) (58) Fields investigated (Int. Cl. 7 , DB name) H01L 21/027 G03F 1/08- 1/16

Claims (5)

(57)【特許請求の範囲】(57) [Claims] 【請求項1】 マスク支持体表面にX線透過性薄膜を形
成するに先立ち、前記マスク支持体裏面に保護膜を形成
する保護膜形成工程と 前記マスク支持体表面にX線透過性薄膜を形成するX線
透過性薄膜形成工程と、 前記X線透過性薄膜上にX線吸収体薄膜パターンを形成
するX線吸収体薄膜パターン形成工程と、 前記マスク支持体に補強枠を設ける工程と を含むことを
特徴とするX線マスクの製造方法。
1. A protective film forming step of forming a protective film on the back surface of the mask support prior to forming the X-ray transparent thin film on the surface of the mask support , and forming the X-ray transparent thin film on the surface of the mask support. and X-ray transparent film forming step of forming an X-ray absorber thin film pattern forming step of forming an X-ray absorber thin film pattern on the X-ray transparent thin film, and a step of providing a reinforcing frame to the mask support A method for manufacturing an X-ray mask, comprising:
【請求項2】 マスク支持体上にCVD法によりSiC
薄膜からなるX線透過性薄膜を形成するX線透過性薄膜
形成工程と、 前記X線透過性薄膜上にX線吸収体薄膜パターンを形成
するX線吸収体薄膜パターン形成工程とを含むX線マス
クの製造方法において 前記X線透過性薄膜形成工程に先立ち、基板温度700
〜900℃で維持しながら、炭化水素ガスあるいはその
希釈ガス供給しバッファ層を形成する工程を含むこと
を特徴とするX線マスクの製造方法。
2. SiC is formed on a mask support by a CVD method.
An X-ray transparent thin film forming step of forming an X-ray transparent thin film comprising a thin film; and an X-ray absorber thin film pattern forming step of forming an X-ray absorber thin film pattern on the X-ray transparent thin film. the method of manufacturing a mask, prior to the X-ray transparent thin film formation process, a substrate temperature of 700
While maintaining at to 900 ° C., a hydrocarbon gas or a method of manufacturing the X-ray mask which comprises a step of forming a buffer layer by supplying the diluent gas.
【請求項3】 マスク支持体上にバッファ層を介してX
線透過性薄膜を形成するX線透過性薄膜形成工程と、 前記X線透過性薄膜上にX線吸収体薄膜パターンを形成
するX線吸収体薄膜パターン形成工程と 前記バッファ層の少なくとも1部をエッチング除去する
バッファ層除去工程とを含むことを特徴とするX線マス
クの製造方法。
3. The method according to claim 1, further comprising the step of:
And X-ray transparent film forming step of forming a line-permeable membrane, and the X-ray absorber thin film pattern forming step of forming an X-ray absorber thin film pattern on the X-ray transparent thin film, at least a portion of the buffer layer And a buffer layer removing step of removing the layer by etching.
【請求項4】 マスク支持体表面にX線透過性薄膜を形4. An X-ray transparent thin film is formed on the surface of a mask support.
成するに先立ち、前記マスク支持体裏面全面に保護膜をPrior to formation, a protective film is formed on the entire back surface of the mask support.
形成する保護膜形成工程と、Forming a protective film, 前記マスク支持体裏面全面に保護膜が形成された状態A state in which a protective film is formed on the entire back surface of the mask support
で、前記マスク支持体表面にX線透過性薄膜を形成するForming an X-ray transparent thin film on the surface of the mask support
X線透過性薄膜形成工程と、An X-ray transparent thin film forming step; 前記X線透過性薄膜上にX線吸収体薄膜パターンを形成Forming an X-ray absorber thin film pattern on the X-ray transparent thin film
するX線吸収体薄膜パターン形成工程と、X-ray absorber thin film pattern forming step; 前記X線吸収体薄膜パターン形成工程の前または後に、Before or after the X-ray absorber thin film pattern forming step,
前記保護膜の少なくとAt least the protective film も一部を除去する保護膜除去工程Removal process to remove part of the protective film
とを含むことを特徴とするX線マスクの製造方法。And a method for manufacturing an X-ray mask.
【請求項5】 マスク支持体表面にX線透過性薄膜を形5. An X-ray transparent thin film is formed on the surface of a mask support.
成するに先立ち、前記マスク支持体裏面に保護膜を形成Before forming, a protective film is formed on the back surface of the mask support.
する保護膜形成工程と、A protective film forming step, 前記マスク支持体表面にX線透過性薄膜を形成するX線X-rays for forming an X-ray transparent thin film on the surface of the mask support
透過性薄膜形成工程と、A transparent thin film forming step, 前記X線透過性薄膜上にX線吸収体薄膜パターンを形成Forming an X-ray absorber thin film pattern on the X-ray transparent thin film
するX線吸収体薄膜パターン形成工程と、X-ray absorber thin film pattern forming step; 前記X線吸収体薄膜パターン形成工程の前または後に、Before or after the X-ray absorber thin film pattern forming step,
前記保護膜の少なくとも一部を除去する保護膜除去工程A protective film removing step of removing at least a part of the protective film
と、When, 当該保護膜の除去部分の少なくとも一部に補強枠を接合Attach a reinforcement frame to at least a part of the removed part of the protective film
する工程とを含むことを特徴とするX線マスクの製造方X-ray mask manufacturing method comprising the steps of:
法。Law.
JP21430092A 1992-08-11 1992-08-11 X-ray mask manufacturing method Expired - Fee Related JP3220246B2 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP21430092A JP3220246B2 (en) 1992-08-11 1992-08-11 X-ray mask manufacturing method

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP21430092A JP3220246B2 (en) 1992-08-11 1992-08-11 X-ray mask manufacturing method

Publications (2)

Publication Number Publication Date
JPH0661124A JPH0661124A (en) 1994-03-04
JP3220246B2 true JP3220246B2 (en) 2001-10-22

Family

ID=16653456

Family Applications (1)

Application Number Title Priority Date Filing Date
JP21430092A Expired - Fee Related JP3220246B2 (en) 1992-08-11 1992-08-11 X-ray mask manufacturing method

Country Status (1)

Country Link
JP (1) JP3220246B2 (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6387986B1 (en) 1999-06-24 2002-05-14 Ahmad Moradi-Araghi Compositions and processes for oil field applications

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP7076346B2 (en) * 2018-09-18 2022-05-27 レーザーテック株式会社 Foreign matter removal method, inspection method, exposure method, foreign matter removal device, inspection device and exposure device

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6387986B1 (en) 1999-06-24 2002-05-14 Ahmad Moradi-Araghi Compositions and processes for oil field applications

Also Published As

Publication number Publication date
JPH0661124A (en) 1994-03-04

Similar Documents

Publication Publication Date Title
US6144083A (en) Method of reducing defects in anti-reflective coatings and semiconductor structures fabricated thereby
JP2823276B2 (en) Method for manufacturing X-ray mask and apparatus for controlling internal stress of thin film
JPH0531290B2 (en)
US5291536A (en) X-ray mask, method for fabricating the same, and pattern formation method
US5178977A (en) Manufacturing method of an X-ray exposure mask
US4998267A (en) X-ray lithography carbon mask and method of manufacturing the same
JP3071876B2 (en) X-ray mask, method of manufacturing the same, and exposure method using the same
US4360414A (en) Method of producing structures comprised of layers consisting of silicides or silicide-polysilicon by reactive sputter etching
JP3220246B2 (en) X-ray mask manufacturing method
JP4027458B2 (en) X-ray mask blank, method for manufacturing the same, and method for manufacturing the X-ray mask
US5082695A (en) Method of fabricating an x-ray exposure mask
JP3607454B2 (en) X-ray transmission film for X-ray mask, X-ray mask blank, X-ray mask, manufacturing method thereof, and polishing method of silicon carbide film
Schäfer et al. Diamond membranes with controlled stress for submicron lithography
JP3350235B2 (en) Method of manufacturing X-ray mask and X-ray mask obtained by the method
JPH10161300A (en) X-ray mask blank, x-ray mask and pattern transfer method
JP3366270B2 (en) Method of manufacturing mask for X-ray exposure
EP0466189B1 (en) X-ray mask structure, preparation thereof and X-ray exposure method
US6366640B1 (en) X-ray mask blank, X-ray mask and method for manufacturing the same
JP3995784B2 (en) Dry etching method and X-ray mask manufacturing method
US5607733A (en) Process for preparing an X-ray mask structure
Lüthje et al. X-ray lithography: Novel fabrication process for SiC/W steppermasks
JP2757939B2 (en) X-ray mask
JPH0936099A (en) Dry etching
JPS63145777A (en) Production of hexagonal boron nitride film
JP3411413B2 (en) X-ray mask manufacturing method

Legal Events

Date Code Title Description
FPAY Renewal fee payment (prs date is renewal date of database)

Free format text: PAYMENT UNTIL: 20070810

Year of fee payment: 6

FPAY Renewal fee payment (prs date is renewal date of database)

Free format text: PAYMENT UNTIL: 20080810

Year of fee payment: 7

FPAY Renewal fee payment (prs date is renewal date of database)

Free format text: PAYMENT UNTIL: 20090810

Year of fee payment: 8

LAPS Cancellation because of no payment of annual fees