JP3112843B2 - 半導体集積回路の自動配置配線方法 - Google Patents

半導体集積回路の自動配置配線方法

Info

Publication number
JP3112843B2
JP3112843B2 JP08242307A JP24230796A JP3112843B2 JP 3112843 B2 JP3112843 B2 JP 3112843B2 JP 08242307 A JP08242307 A JP 08242307A JP 24230796 A JP24230796 A JP 24230796A JP 3112843 B2 JP3112843 B2 JP 3112843B2
Authority
JP
Japan
Prior art keywords
clock
hard macro
routing
macro
buffer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP08242307A
Other languages
English (en)
Other versions
JPH1092939A (ja
Inventor
真彦 志原
Original Assignee
日本電気アイシーマイコンシステム株式会社
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 日本電気アイシーマイコンシステム株式会社 filed Critical 日本電気アイシーマイコンシステム株式会社
Priority to JP08242307A priority Critical patent/JP3112843B2/ja
Publication of JPH1092939A publication Critical patent/JPH1092939A/ja
Application granted granted Critical
Publication of JP3112843B2 publication Critical patent/JP3112843B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Landscapes

  • Design And Manufacture Of Integrated Circuits (AREA)

Description

【発明の詳細な説明】
【0001】
【発明の属する技術分野】本発明は、半導体集積回路の
自動配置配線方法に関し、特に、ゲートアレイ型半導体
集積回路装置におけるクロックタイミングの重要なネッ
ト(NET)の設計を考慮した半導体集積回路の自動配
置配線方法に関する。
【0002】
【従来の技術】現状のゲートアレイ型半導体集積回路に
おいては、高集積化や大規模化が急速に進んでいる。こ
れに伴い、配線幅の縮小による配線遅延時間の増大が無
視できないものとなりつつある。このような配線遅延時
間の増大により、注意しなければならないのは、クロッ
クネットのように、特にタイミングが重要なネットを考
慮した設計である。これは近年のゲートアレイ型半導体
集積回路の高速化に伴い、クロックスキューの問題が顕
在化していることによる。このクロックスキューとは、
クロック同期の論理回路において、クロックバッファ間
の信号伝達遅延時間の相違による回路の誤動作を指し、
このことは高速動作させる回路において特に問題とな
る。
【0003】一方、このようなクロックスキューの問題
を解決する手法として現在、数多くのものが提案されて
いるが、その一つとして特開平4−217345号公報
に示すような手法がある。これは、図13に示すような
クロックツリーシンセシス(CTS)による手法を採
る。この手法は、図12で示すように、回路設計(ステ
ップ101)の後に、フォワードアノテーションを行い
(ステップB05)、結果がOKであれば自動配置(ス
テップB01)からCTSバッファ配置(ステップB0
2)、CTSネット配線(ステップB03)、そして自
動配線(ステップB04)をそれぞれ行い、続いて遅延
情報生成を行って(ステップ107)、ここで生成され
た遅延情報を基にバックアノテーションを行う(ステッ
プ108)というフローで設計が行われ、マスクデータ
が作成されることとなる(ステップ109)。
【0004】なお、ここで述べたクロックツリーシンセ
シスとは、クロックネットに対して、所望のクロックス
キュー値や信号伝達遅延時間を達成するために、被駆動
セルのグループ化を行い、クロックバッファ挿入や配線
の抵抗容量を等しく配線することで最適化するものであ
る。また、この手法でのクロックバッファ群は、階層構
造でクロックネットは木(ツリー)構造となる。
【0005】
【発明が解決しようとする課題】しかしながら、かかる
従来の半導体集積回路の自動配置配線方法の第1の問題
点は、クロックスキューの問題を自動配置配線の工程で
解決しようとしていることから、ステップ101にて回
路設計を行う時に、クロックネットの信号伝達遅延時間
を正確に予測できないことである。その理由は、図12
の自動配置(ステップB01)から自動配線(ステップ
B04)までを経て、図13のクロックネット203お
よびクロックバッファ202を含めた全ての配置配線が
完了するが、クロック信号の入力を必要とするブロック
301は数千ブロックに及ぶことが多く、チップ内での
ブロック301の配置位置を考慮しないままでの自動配
置配線結果に対する信号伝達遅延時間の予測は回路設計
101を行う時には困難と言えるからである。
【0006】また、第2の問題点は、第1の問題点でも
述べたように、クロックスキューの問題を自動配置配線
の工程で解決しようとしているので、図12の自動配置
(ステップB01)から自動配線(ステップB04)ま
でを行うことで、所望のクロックネットの信号伝達遅延
時間やクロックスキューを得られるとは限らない点であ
る。その理由は、自動配置配線を行う際に、回路の内部
セル使用率やネット数、ピンペア数などが要素となっ
て、配置配線の難易度の高い場合があり、この場合、ク
ロックスキューの低減よりも未配線をなくすことの方が
優先されてしまうことが有り得る点である。また、自動
配置配線プログラムの性能によってもクロックスキュー
の制御結果が左右される点からである。
【0007】また、第3の問題点は、第1,第2の問題
点が要因となりTATや設計工数が増加するとうことで
ある。その理由は、第1,第2の問題点によって、図1
2のバックアノテーシヨン結果(ステップ108)が不
良、すなわちNG(No Good)となることが予想
されるからである。これは、バックアノテーシヨン結果
がNGになることで、回路設計(ステップ101)から
再度、回路接続や規模の見直しを迫られることになり、
結果としてTATや設計工数への影響は避けられないか
らである。
【0008】本発明は前記のような従来の課題を解決す
るものであり、高速動作する半導体集積回路の回路設計
の段階にてクロックネットにおけるクロック信号伝達の
遅延値およびクロックスキューを最適化することがで
き、かつ自動配置配線の処理およびTATの短縮化並び
に設計工数の削減を図ることができる半導体集積回路の
自動配置配線方法を提供することを目的とする。
【0009】
【課題を解決するための手段】前記目的を達成するた
め、請求項1の発明にかかる半導体集積回路の自動配置
配線方法は、クロックタイミングの重要なクロックネッ
トとクロックバッファのクロックスキューおよびクロッ
ク信号伝達遅延時間を制限値以内に設計するため、ク
ックタイミングの重要なクロックネットとクロックバッ
ファ部を予めハードマクロ化し、次に前記ハードマクロ
を配置し、続いて前記ハードマクロ以外のマクロを配置
しかつ配線し、前記ハードマクロ化は、回路接続情報か
らクロックタイミングの重要なクロックネット情報とク
ロックバッファ情報を抽出する第1ステップと、前記ク
ロックネット情報と前記クロックバッファ情報に対し、
クロックスキュー値およびクロック信号伝達遅延時間制
限値を算出する第2ステップと、マスターの情報からハ
ードマクロの展開可能な領域を確認する第3ステップ
と、前記第1ステップから前記第3ステップまでの各デ
ータを基にクロックネットに対して被駆動セルのグルー
プ化を行い、クロックバッファ挿入や配線の抵抗容量を
等しくする配線をされたハードマクロ化を行う第4ステ
ップと、前記第4ステップでハードマクロ化されたハー
ドマクロの図形情報と端子座標を出力する第5ステップ
とを実行するようにしたものである。
【0010】
【0011】また、請求項の発明にかかる半導体集積
回路の自動配置配線方法は、前記ハードマクロは、クロ
ック信号の出力端子と前記クロック信号を入力とするマ
クロの入力端子との配置座標を基に配置処理可能とする
ようにしたものである。
【0012】また、請求項の発明にかかる半導体集積
回路の自動配置配線方法は、前記ハードマクロ化を、大
規模マクロのフロアプラン実行後に行い、次にフォワー
ドアノテーションを実行するようにしたものである。
【0013】また、請求項の発明にかかる半導体集積
回路の自動配置配線方法は、前記ハードマクロ化を、チ
ップの簡易配置配線を実行し、続いて配置配線混雑計算
を実行した後に行い、次にフォワードアノテーションを
実行するようにしたものである。
【0014】また、請求項の発明にかかる半導体集積
回路の自動配置配線方法は、前記ハードマクロを、予め
マスターに搭載しておくようにしたものである。
【0015】また、請求項6の発明にかかる半導体集積
回路の自動配置配線方法は、回路設計の後にクロックネ
ット・バッファのハードマクロ化を行い、その後にクロ
ック入力信号伝達遅延時間を把握してフォワードアノテ
ーションを実行し、このフォワードアノテーションの結
が良好でなければ、再度回路設計を行うようにフィー
ドバックし、良好であれば、既にクロックネット・
ファのハードマクロ化で得られたクロックハードマクロ
情報にクロックネット・バッファのハードマクロ配置を
行い、その後、通常の自動配置配線を行うようにしたも
のである。
【0016】
【発明の実施の形態】次に、本発明の実施の一形態を図
について説明する。図1はこの発明による半導体集積回
路の自動配置配線方法の実施手順を示すフローチャート
であり、ここでは、最も典型的な例として、大規模マク
ロがなく、自由にクロックネット・バッファを配するこ
とができる場合を示す。図1に示すように、まず、回路
設計を実施し(ステップ101)、フォワード・バック
アノテーシヨンが未実行であると判定された場合には
(ステップ102)、クロックネット・バッファのハー
ドマクロ化を行い(ステップ103)、フォワードアノ
テーション前にクロック入力信号伝達遅延時間を把握し
て(ステップ104)、回路設計にフィードバックさせ
ている。
【0017】ここで、前記ハードマクロ化は、図2に示
すように、第1のステップで回路接続情報から後述のよ
うなクロックネット・バッファを抽出した後(ステップ
901)、第2のステップでこれらに関するクロックス
キュー値およびクロック信号伝達遅延時間制限値を演算
し(ステップ902)、さらに第3のステップでマスタ
ー情報からハードマクロの展開可能な領域を確認し(ス
テップ903)、これらの処理で得た各データ(クロッ
クバッファ情報,制限値,マスター情報)を基に、第4
のステップでクロックネットに対し被駆動セルのグルー
プ化を行い、クロックバッファの挿入や配線の抵抗容量
を等しくする配線がされるハードマクロ化を行い(ステ
ップ904)、続いて、第5のステップでこのハードマ
クロ化したハードマクロの図形情報と端子座標を出力し
(ステップ905)、クロックハードマクロ情報を得る
ことによってなされる。これによって、回路接続情報か
らクロックキューが最小限に抑えられる図形や端子座標
の各情報を出力させることができる。
【0018】また、クロックネット・バッファのハード
マクロ化は図3で示されるような構成となり、クロック
入力信号端子201からクロックネット・バッファのハ
ードマクロのクロック出力端子204までは、各クロッ
クバッファ202およびクロックネット203を介して
信号伝達される場合に、全て等しい信号伝達遅延時間に
なったハードマクロ化が行われるものである。このこと
で、ハードマクロ内の確実なクロック入力信号伝達遅延
時間が決まる。フォワードアノテーション結果が良好、
すなわちOKとなると(ステップ104)、クロックネ
ット・バッファマクロ配置を行うのだが(ステップ10
5)、その際には、図3のように構成されたクロックネ
ット・バッファのハードマクロをゲートアレイチップの
適当な箇所に配置して、その後、フリップフロップなど
の次段ブロック301を図4のように配置する。この時
の配置とは、クロックネット・バッファのハードマクロ
のクロック信号出力端子と次段ブロック301のクロッ
ク信号入力端子とが、クロックハードマクロ情報(ステ
ップ110)のクロック信号出力端子座標を基に重なる
ように配置される。
【0019】これによって、クロックネット・バッファ
のハードマクロのクロック信号出力端子と次段ブロック
301のクロック信号入力端子とが、配置処理のみで接
続完了するので、その後の自動配置配線(ステップ10
6)の影響を受けることなく、クロックスキューに対す
る信頼性を保証できる。さらに、一度、前記のようなク
ロックネット・バッファのハードマクロ化を行えば、ク
ロック信号に係わる接続の変更が無い限り、フォワード
アノテーション(ステップ104)やバックアノテーシ
ョン(ステップ108)でNGとなっても、再度、クロ
ックネット・バッファのハードマクロ化を行う必要は無
く、設計資産の流用が図れる。なお、クロック信号を直
接には必要としないその他のブロック401が、図5に
示すように配置接続される。
【0020】図6および図7に本発明の実施の他の形態
を示す。これは大規模なマクロを有する場合や回路の階
層を考慮したフロアプランを行ってクロックネット・バ
ッファを配置する場合についての例を示したものであ
る。この例では、周辺のI/Oブロックセル領域502
とRAM,ROMなどのマクロ領域504や論理回路領
域505の周辺に内部ファンクションブロックセル領域
503を持ったゲートチップアレイ501の配置時に、
図1に示すフローチャートに対して、フロアプラン(ス
テップ601)および領域計算(ステップ602)を付
加して実行するようにしたものである。具体的には、図
7に示すように、回路設計(ステップ101)の後にフ
ロアプラン(ステップ601)を図6のレイアウト例の
ように行う。ここでは、論理回路領域505を領域計算
で算出し(ステップ602)、クロックネット・バッフ
ァのハードマクロ化する形状や範囲をデータとしてクロ
ックネット・バッファのハードマクロ化のステップ10
3へ受け渡す。これらの処理を行うことで、図1につい
て説明した効果を維持しながら、大規模マクロの搭載や
フロアプランを考慮した設計も可能となる。
【0021】図8および図9は本発明の他の実施の形態
を示す。この実施の形態は内部セルの使用率が高く、ネ
ットおよびピンペア数が比較的多い回路で、予め、自動
配置配線の困難が予想される場合についての例を示した
ものである。図1のフローチャートの場合、自動配置配
線の処理(ステップ106)で未配線を必ずしも0本と
することができるとは言えず、クロックネット・バッフ
ァのハードマクロ化(ステップ103)を行う際に、配
置配線の混雑状況を把握しながら、ハードマクロ化の形
状や範囲を工夫する必要がある場合もある。よって、こ
の実施の形態では、図8に示すように簡易配置配線(ス
テップ701)と混雑考慮領域計算(ステップ702)
を図1のフローチャートに付加して対応している。
【0022】すなわち、これは、図8に示すように、回
路設計(ステップ101)の後に、簡易配置配線を実行
し(ステップ701)、さらに、その後、混雑考慮領域
計算を実行することで(ステップ702)、図9に示す
配置配線混雑領域801を求めることができる。この配
置配線混雑領域801を考慮の上で(ステップ103)
のクロックネット・バッファのハードマクロ化を実行す
れば、配置配線混雑領域801を避けて比較的、配置配
線が空いているセル領域にクロックネット・バッファの
ハードマクロを展開できるので、図1について説明した
効果を維持しながら、ステップ106での自動配置配線
の時に、未配線を低減させることが可能となる。
【0023】図10および図11は本発明の実施のさら
に他の形態を示す。この実施の形態は、特にTATや設
計工数の短縮の面で効果を上げることを目的とした例で
ある。この例では、前記実施の各形態でクロックネット
・バッファのハードマクロを回路毎にクロックネット・
バッファのハードマクロ化で生成する処理を行わずに、
予め、マスター毎に図10に示すようなレイアウトで準
備しておくものである。この時のクロックネット・バッ
ファは他の実施例と同じく、図3で示した等しい信号伝
達遅延時間になるようにハードマクロで構成されてい
る。
【0024】よって、図11に示すようにクロックハー
ドマクロ搭載マスター選択を行い(ステップA01)、
回路設計(ステップ101)を経てクロックハードマク
ロへの次段ブロック接続を行うだけで、クロックスキュ
ーに対する対応は完了する。このことから図1について
説明した効果を維持しながら、さらにTATや設計工数
の短縮が可能となる。
【0025】
【発明の効果】以上のように、請求項1の発明によれば
クロックタイミングの重要なクロックネットとクロック
バッファのクロックスキューおよびクロック信号伝達遅
延時間を制限値以内に設計するため、クロックタイミン
グの重要なクロックネットとクロックバッファ部を予め
ハードマクロ化し、次に前記ハードマクロを配置し、続
いて前記ハードマクロ以外のマクロを配置しかつ配線す
るようにしたので、前記クロックスキューを最小限に抑
えることができ、高速動作可能な半導体集積回路の高集
積化や大規模化にも対応できるという効果が得られる。
【0026】また、前記ハードマクロ化を、回路接続情
報からクロックタイミングの重要なクロックネット情報
とクロックバッファ情報を抽出する第1ステップと、前
記クロックネット情報と前記クロックバッファ情報に対
し、クロックスキュー値およびクロック信号伝達遅延時
間制限値を算出する第2ステップと、マスターの情報か
らハードマクロの展開可能な領域を確認する第3ステッ
プと、前記第1ステップから前記第3ステップまでの各
データを基にクロックネットに対して被駆動セルのグル
ープ化を行い、クロックバッファ挿入や配線の抵抗容量
を等しくする配線をされたハードマクロ化を行う第4ス
テップと、前記第4ステップでハードマクロ化されたハ
ードマクロの図形情報と端子座標を出力する第5ステッ
プとを実行するようにして行うので、回路接続情報から
クロックスキューが最小限に抑えられるハードマクロの
図形や端子座標の各情報を出力させることができるとい
う効果が得られる。
【0027】また、請求項の発明によれば前記ハード
マクロは、クロック信号の出力端子と前記クロック信号
を入力とするマクロの入力端子との配置座標を基に配置
処理可能とするようにしたので、前記クロックネット・
バッファのハードマクロのクロック信号出力端子と次段
ブロックのクロック信号入力端子とを、配置処理するの
みで接続完了させることができ、これによりクロックス
キューに対する信頼性を向上できるという効果が得られ
る。さらに、一度クロックネット・バッファのハードマ
クロ化を行えば、クロック信号に係わる接続の変更が無
い限り、フォワードアノテーションやバックアノテーシ
ョンでNGとなっても、再度、クロックネット・バッフ
ァのハードマクロ化を行う必要は無く、設計資産の流用
が図れるという効果が得られる。
【0028】また、請求項の発明によれば前記ハード
マクロ化を、大規模マクロのフロアプラン実行後に行
い、次にフォワードアノテーションを実行するようにし
たので、大規模マクロの搭載やフロアプランを考慮した
半導体集積回路の設計が可能になるという効果が得られ
る。
【0029】また、請求項の発明によれば前記ハード
マクロ化を、チップの簡易配置配線を実行し、続いて配
置配線混雑計算を実行した後に行い、次にフォワードア
ノテーションを実行するようにしたので、配置配線混雑
領域を避けて、比較的配置配線の空いている領域にクロ
ックネット・バッファのハードマクロを展開でき、自動
配置配線時の未配線を低減できるという効果が得られ
る。
【0030】また、請求項の発明によれば前記ハード
マクロを、予めマスターに搭載しておくようにしたの
で、回路設計を経てクロックハードマクロへの次段ブロ
ックの接続を行うだけで、クロックスキューを最小限に
抑えながら応答時間(TAT)や設計工数の短縮化を実
現できるという効果が得られる。
【0031】また、請求項6の発明によれば回路設計の
後にクロックネット・バッファのハードマクロ化を行
い、その後にクロック入力信号伝達遅延時間を把握して
フォワードアノテーションを実行し、このフォワードア
ノテーションの結果が良好でなければ、再度回路設計を
うようにフィードバックし、良好であれば、既にクロ
ックネット・ッファのハードマクロ化で得られたクロ
ックハードマクロ情報にクロックネット・バッファのハ
ードマクロ配置を行い、その後、通常の自動配置配線を
行うようにしたので、回路設計の繰り返しによって、ハ
ードマクロ内のクロック入力信号の伝達遅延時間をより
正確に決定することができるという効果が得られる。
【図面の簡単な説明】
【図1】この発明の実施の一形態による半導体集積回路
の自動配置配線方法を示すフローチャートである。
【図2】図1におけるステップ103の詳細を示すフロ
ーチャートである。
【図3】この発明によるクロックネット・バッファのハ
ードマクロ化を示す概念図である。
【図4】この発明による他のクロックネット・バッファ
のハードマクロ化を示す概念図である。
【図5】この発明による自動配置配線を示す概念図であ
る。
【図6】この発明の実施の他の形態によるフロアプラン
でのクロックネット・バッファの配置例を示すレイアウ
ト図である。
【図7】この発明の実施の他の形態による半導体集積回
路の自動配置配線方法を示すフローチャートである。
【図8】この発明の実施の他の形態による半導体集積回
路の自動配置配線方法を示すフローチャートである。
【図9】この発明の実施の他の形態による配置配線混雑
領域の配置例を示すレイアウト図である。
【図10】この発明の実施の他の形態によるクロックハ
ードマクロ搭載マスターを示すレイアウト図である。
【図11】この発明の実施の他の形態による半導体集積
回路の自動配置配線方法を示すフローチャートである。
【図12】従来の半導体集積回路の自動配置配線方法を
示すフローチャートである。
【図13】従来のクロックツリーシンセシスによるクロ
ックスキュー低減例を示すレイアウト図である。
【符号の説明】
202 クロックバッファ 203 クロックネット 204 クロック出力端子
───────────────────────────────────────────────────── フロントページの続き (58)調査した分野(Int.Cl.7,DB名) H01L 21/82 H01L 27/118

Claims (6)

    (57)【特許請求の範囲】
  1. 【請求項1】 クロックタイミングの重要なクロックネ
    ットとクロックバッファのクロックスキューおよびクロ
    ック信号伝達遅延時間を制限値以内に設計するため、ク
    ロックタイミングの重要なクロックネットとクロックバ
    ッファ部を予めハードマクロ化し、次に前記ハードマク
    ロを配置し、続いて前記ハードマクロ以外のマクロを配
    置しかつ配線する半導体集積回路の自動配置配線方法に
    おいて、 前記ハードマクロ化は、回路接続情報からクロックタイ
    ミングの重要なクロックネット情報とクロックバッファ
    情報を抽出する第1ステップと、 前記クロックネット情報と前記クロックバッファ情報に
    対し、クロックスキュー値およびクロック信号伝達遅延
    時間制限値を算出する第2ステップと、 マスターの情報からハードマクロの展開可能な領域を確
    認する第3ステップと、 前記第1ステップから前記第3ステップまでの各データ
    を基にクロックネットに対して被駆動セルのグループ化
    を行い、クロックバッファ挿入や配線の抵抗容量を等し
    くする配線をされたハードマクロ化を行う第4ステップ
    と、 前記第4ステップでハードマクロ化されたハードマクロ
    の図形情報と端子座標を出力する第5ステップとを実行
    することを特徴とする半導体集積回路の自動配置配線方
    法。
  2. 【請求項2】 前記ハードマクロは、クロック信号の出
    力端子と前記クロック信号を入力とするマクロの入力端
    子との配置座標を基に配置処理可能とすることを特徴と
    する請求項1に記載の半導体集積回路の自動配置配線方
    法。
  3. 【請求項3】 前記ハードマクロ化を、大規模マクロの
    フロアプラン実行後に行い、次にフォワードアノテーシ
    ョンを実行することを特徴とする請求項1に記載の半導
    体集積回路の自動配置配線方法。
  4. 【請求項4】 前記ハードマクロ化を、チップの簡易配
    置配線を実行し、続いて配置配線混雑計算を実行した後
    に行い、次にフォワードアノテーションを実行すること
    を特徴とする請求項1に記載の半導体集積回路の自動配
    置配線方法。
  5. 【請求項5】 前記ハードマクロを、予めマスターに搭
    載しておくことを特徴とする請求項1に記載の半導体集
    積回路の自動配置配線方法。
  6. 【請求項6】 回路設計の後にクロックネット・バッフ
    ァのハードマクロ化を行い、その後にクロック入力信号
    伝達遅延時間を把握してフォワードアノテーションを実
    行し、このフォワードアノテーションの結果が良好でな
    れば、再度回路設計を行うようにフィードバックし、
    良好であれば、既にクロックネット・ッファのハード
    マクロ化で得られたクロックハードマクロ情報にクロッ
    クネット・バッファのハードマクロ配置を行い、その
    後、通常の自動配置配線を行うことを特徴とする請求項
    1に記載の半導体集積回路の自動配置配線方法。
JP08242307A 1996-09-12 1996-09-12 半導体集積回路の自動配置配線方法 Expired - Fee Related JP3112843B2 (ja)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP08242307A JP3112843B2 (ja) 1996-09-12 1996-09-12 半導体集積回路の自動配置配線方法

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP08242307A JP3112843B2 (ja) 1996-09-12 1996-09-12 半導体集積回路の自動配置配線方法

Publications (2)

Publication Number Publication Date
JPH1092939A JPH1092939A (ja) 1998-04-10
JP3112843B2 true JP3112843B2 (ja) 2000-11-27

Family

ID=17087282

Family Applications (1)

Application Number Title Priority Date Filing Date
JP08242307A Expired - Fee Related JP3112843B2 (ja) 1996-09-12 1996-09-12 半導体集積回路の自動配置配線方法

Country Status (1)

Country Link
JP (1) JP3112843B2 (ja)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7409657B2 (en) 2005-10-05 2008-08-05 Matsushita Electric Industrial Co., Ltd. Clock tree layout method for semiconductor integrated circuit

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2000200114A (ja) 1999-01-07 2000-07-18 Nec Corp クロック分配回路
US6543040B1 (en) 2000-03-15 2003-04-01 International Business Machines Corporation Macro design techniques to accommodate chip level wiring and circuit placement across the macro
JP2005038233A (ja) * 2003-07-16 2005-02-10 Matsushita Electric Ind Co Ltd 遅延計算方法、タイミング解析方法、計算対象ネットワークの近似方法および遅延制御方法
WO2023061408A1 (en) * 2021-10-12 2023-04-20 Mediatek Inc. Macro placement using artificial intelligence approach

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7409657B2 (en) 2005-10-05 2008-08-05 Matsushita Electric Industrial Co., Ltd. Clock tree layout method for semiconductor integrated circuit

Also Published As

Publication number Publication date
JPH1092939A (ja) 1998-04-10

Similar Documents

Publication Publication Date Title
US5883808A (en) Logic circuit optimization apparatus and its method
US20060101367A1 (en) Design method of semiconductor device and semiconductor device
Lu et al. Flip-flop and repeater insertion for early interconnect planning
US8238190B2 (en) Clock-gated model transformation for asynchronous testing of logic targeted for free-running, data-gated logic
JP2004342100A (ja) 集積回路の物理設計用のツールフロープロセス
US7930668B1 (en) Placement and routing using inhibited overlap of expanded areas
JP2001357090A (ja) 論理合成方法及び論理合成装置
US6192508B1 (en) Method for logic optimization for improving timing and congestion during placement in integrated circuit design
JP3112843B2 (ja) 半導体集積回路の自動配置配線方法
US7480886B2 (en) VLSI timing optimization with interleaved buffer insertion and wire sizing stages
TW202234283A (zh) 產生方法
JP2005123537A (ja) 半導体装置及び製造方法
US20100257499A1 (en) Techniques for fast area-efficient incremental physical synthesis
JP2000277617A (ja) Asic設計方法およびasic設計装置
EP2154621A1 (en) Circuit design device, circuit design method, and circuit design program
US20080079468A1 (en) Layout method for semiconductor integrated circuit
US20030159119A1 (en) Method for designing semiconductor integrated circuit and computing program for semiconductor integrated circuit
JP3705737B2 (ja) 半導体集積回路のレイアウト方法
JP2003256488A (ja) Lsiレイアウト方法、プログラムおよび記録媒体
CN113688587B (zh) 一种电路布图的生成方法、装置、计算机设备及存储介质
US7519926B2 (en) Semiconductor device and method for designing the same
JP2850945B2 (ja) 半導体集積回路及びそのレイアウト手法
JP3288336B2 (ja) 半導体集積回路の設計方法
JPH09330339A (ja) 自動記述分割装置
JPH06310601A (ja) レイアウト設計方法

Legal Events

Date Code Title Description
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20000905

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

S111 Request for change of ownership or part of ownership

Free format text: JAPANESE INTERMEDIATE CODE: R313113

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20080922

Year of fee payment: 8

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20080922

Year of fee payment: 8

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20090922

Year of fee payment: 9

LAPS Cancellation because of no payment of annual fees