JP3109253B2 - ドライエッチング方法 - Google Patents

ドライエッチング方法

Info

Publication number
JP3109253B2
JP3109253B2 JP04170980A JP17098092A JP3109253B2 JP 3109253 B2 JP3109253 B2 JP 3109253B2 JP 04170980 A JP04170980 A JP 04170980A JP 17098092 A JP17098092 A JP 17098092A JP 3109253 B2 JP3109253 B2 JP 3109253B2
Authority
JP
Japan
Prior art keywords
etching
group
carbon
wafer
compound
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
JP04170980A
Other languages
English (en)
Other versions
JPH0613351A (ja
Inventor
敏治 柳田
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Sony Corp
Original Assignee
Sony Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Sony Corp filed Critical Sony Corp
Priority to JP04170980A priority Critical patent/JP3109253B2/ja
Priority to US08/078,928 priority patent/US5376234A/en
Priority to KR1019930011646A priority patent/KR100255403B1/ko
Publication of JPH0613351A publication Critical patent/JPH0613351A/ja
Application granted granted Critical
Publication of JP3109253B2 publication Critical patent/JP3109253B2/ja
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting

Description

【発明の詳細な説明】
【0001】
【産業上の利用分野】本発明は半導体装置の製造分野等
において適用されるドライエッチング方法に関し、特に
微細なコンタクト・ホール加工等において高選択性、高
速性、低ダメージ性、低汚染性を達成可能なシリコン化
合物層のドライエッチング方法に関する。
【0002】
【従来の技術】近年のVLSIにみられるように半導体
装置の高集積化および高性能化が進展するに伴い、酸化
シリコン(SiO2 )に代表されるシリコン化合物層の
ドライエッチングについても技術的要求がますます厳し
くなっている。まず、高集積化によりデバイス・チップ
の面積が拡大しウェハが大口径化していること、形成す
べきパターンが高度に微細化されウェハ面内の均一処理
が要求されていること、またASICに代表されるよう
に多品種少量生産が要求されていること等の背景から、
ドライエッチング装置の主流は従来のバッチ式から枚葉
式に移行しつつある。この際、従来と同等の生産性を維
持するためには、ウェハ1枚当たりのエッチング速度を
大幅に向上させなければならない。
【0003】また、デバイスの高速化や微細化を図るた
めに不純物拡散領域の接合深さが浅くなり、また各種の
材料層も薄くなっている状況下では、従来以上に対下地
選択性に優れダメージの少ないエッチング技術が要求さ
れる。たとえば、半導体基板内に形成された不純物拡散
領域や、SRAMの抵抗負荷素子として用いられるPM
OSトランジスタのソース・ドレイン領域等にコンタク
トを形成しようとする場合等に、シリコン基板や多結晶
シリコン層を下地として行われるSiO2 層間絶縁膜の
エッチングがその例である。
【0004】従来からSiO2 系材料層のエッチング
は、強固なSi−O結合を切断するために、イオン性を
高めたモードで行われている。典型的なエッチング・ガ
スは、CHF3 ,CF4 等であり、これらから生成する
CFx + の入射イオン・エネルギーを使用している。し
かし、高速エッチングを行うためにはこの入射イオン・
エネルギーを高めることが必要であり、エッチング反応
が物理的なスパッタ反応に近くなるため、高速性と選択
性とが常に背反していた。
【0005】そこで通常は、エッチング・ガスにH2
堆積性の炭化水素系ガス等を添加してエッチング反応系
の見掛け上のC/F比(炭素原子数とフッ素原子数の
比)を増大させ、エッチング反応と競合して起こる炭素
系ポリマーの堆積を促進することにより高選択性を達成
している。
【0006】これら従来のエッチング・ガスに代わり、
本願出願人は先に特開平3−276626号公報におい
て、炭素数2以上の飽和ないし不飽和の高次鎖状フルオ
ロカーボン系ガスを使用するシリコン化合物層のドライ
エッチング方法を提案している。これは、C2 6 ,C
3 8 ,C4 10,C4 8 等のフルオロカーボン系ガ
スを使用することにより1分子から大量のCFx + を効
率良く生成させ、エッチングの高速化を図ったものであ
る。ただし、高次鎖状フルオロカーボン系ガスを単独で
使用するのみではF* の生成量も多くなり、対レジスト
選択比および対シリコン下地選択比を十分に大きくとる
ことができない。たとえばC3 8 をエッチング・ガス
としてシリコン基板上のSiO2 層をエッチングした場
合、高速性は達成されるものの、対レジスト選択比が
1.3程度と低く、エッチング耐性が不足する他、パタ
ーン・エッジの後退により寸法変換差が発生してしま
う。また、対シリコン選択比も4.2程度であるので、
オーバーエッチング耐性にも問題が残る。
【0007】そこで、これらの問題を解決するために上
記の先行技術では高次鎖状フルオロカーボン系ガス単独
によるエッチングは下地が露出する直前で停止し、シリ
コン化合物層の残余部をエッチングする際には炭素系ポ
リマーの堆積を促進するために上記化合物にさらにエチ
レン(C2 4 )等の炭化水素系ガスを添加するとい
う、2段階エッチングも行われている。これは、エッチ
ング反応系内にC原子を補給すると共に、プラズマ中に
生成するH* で過剰のF* を消費してHFに変化させ、
見掛け上のC/F比を高めることを目的としているので
ある。
【0008】しかしながら、半導体装置のデザイン・ル
ールが高度に微細化されている現状では、既にエッチン
グ・マスクとの寸法変換差がほとんど許容できなくなり
つつあり、上述のような2段階エッチングを行うにして
も、1段目のエッチングにおける選択比をさらに向上さ
せることが必要となる。また、今後より一層微細化が進
行するに伴い、炭素系ポリマーによるパーティクル汚染
の影響が深刻化することも考えられるので、2段目のエ
ッチングにおける炭化水素系ガス等の堆積性ガスの使用
量もできるだけ低減させたいところである。
【0009】かかる観点から、本発明者は先に特願平2
−295225号明細書において、被処理基板の温度を
50℃以下に制御した状態で、分子内に少なくとも1個
の不飽和結合を有する鎖状不飽和フルオロカーボン化合
物を用いてシリコン化合物層をエッチングする技術を提
案している。上記鎖状不飽和フルオロカーボン化合物と
は、たとえばオクタフルオロブテン(C4 8 )やヘキ
サフルオロプロペン(C3 6 )等である。これらのガ
スは、放電解離により理論上は1分子から2個以上のC
x + を生成するので、SiO2 を高速にエッチングす
ることができる。また、分子内に不飽和結合を有するこ
とから解離により高活性なラジカルを生成させ易く、炭
素系ポリマーの重合が促進される。しかも、被処理基板
の温度が50℃以下に制御されていることにより、上記
炭素系ポリマーの堆積が促進される。
【0010】この技術により、堆積性ガスを用いること
なく対レジスト選択性および対シリコン下地選択性を大
幅に向上させることができ、パーティクル汚染も低減す
ることができた。
【0011】さらに、本発明者は先に特願平3−409
66号明細書において、分子構造の少なくとも一部に環
状部を有する飽和ないし不飽和フルオロカーボン化合物
を含むエッチング・ガスを用いる技術を提案している。
環状フルオロカーボン化合物は少なくとも炭素数が3以
上であり、しかも炭素数の等しい鎖状フルオロカーボン
化合物と比べてC/F比が高いので、大量のCFx +
よる高速エッチングと、効率良いポリマー生成による高
選択エッチングが可能となる。
【0012】
【発明が解決しようとする課題】このように、上述の鎖
状不飽和フルオロカーボン化合物、あるいは環状フルオ
ロカーボン化合物は、シリコン化合物層の高選択エッチ
ングを単独組成のエッチング・ガスで行うことを可能と
した。しかしながら、将来のULSIデバイスの作成に
も対応できるプロセスを提供するためには、さらにレジ
スト選択性を向上させる必要がある。これは、かかる高
集積化デバイスにおいては、エッチングすべきシリコン
化合物層の表面段差が増大するので長時間のオーバーエ
ッチングが不可欠となるが、このときのレジストの後退
を防止しないと寸法変換差が発生するからである。
【0013】さらに別の課題として、パーティクル汚染
を一層低減させることが必要である。鎖状不飽和フルオ
ロカーボン化合物、環状フルオロカーボン化合物等を用
いる技術は、選択比確保のメカニズムがエッチング反応
と競合的に進行する炭素系ポリマーの堆積によって達成
される点では従来と何ら変わりがない。したがって、ウ
ェハ処理枚数を重ねればやはりエッチング・チャンバ内
に炭素系ポリマーが蓄積され、パーティクル・レベルが
悪化してしまうのである。したがって、パーティクル汚
染が低減できたとしても、エッチング・チャンバをクリ
ーニングするためのメンテナンス頻度が減少するといっ
た程度の改善にとどまっているのが現状である。
【0014】そこで本発明は、従来にも増して徹底した
高選択性と低汚染性を達成することが可能であり、かつ
高速性,低ダメージ性にも優れるシリコン化合物層のド
ライエッチング方法を提供することを目的とする。
【0015】
【課題を解決するための手段】本発明のドライエッチン
グ方法は、上述の目的を達成するために提案されるもの
であり、フルオロカーボン側鎖を有するメルカプタン、
フルオロカーボン側鎖を有するチオエーテル、フルオロ
カーボン側鎖を有するジスルフィドから選ばれる少なく
とも1種類の化合物を含むエッチング・ガスを用いてシ
リコン化合物層をエッチングすることを特徴とする。
【0016】本発明はまた、前記エッチング・ガスが、
一酸化炭素、分子内にカルボニル基,チオニル基,スル
フリル基,ニトリル基,ニトロシル基の少なくとも1種
類の官能基とハロゲン原子とを有するハロゲン化合物、
硫化カルボニルから選ばれる少なくとも1種類の化合物
を含むことを特徴とする。
【0017】本発明はさらに、前記エッチング・ガス
が、S2 2 、SF2 、SF4 、S210から選ばれる
少なくとも1種類のフッ化イオウを含み、エッチング反
応系に堆積可能なSを供給しながらエッチングを行うこ
とを特徴とする。
【0018】ここで、上記フルオロカーボン側鎖は一般
式−Cx y で表される。ただし、x,yはいずれも自
然数であり、y≦2x+1の条件を満たす。つまり、そ
の炭素骨格は低次または高次の別、直鎖状または分枝状
の別、鎖状または環状の別、飽和または不飽和の別をい
ずれも問わない。たとえば、y=2x+1の場合は、上
記フルオロカーボン側鎖は直鎖状または分枝状のパーフ
ルオロアルキル基に相当する。また、y<2x+1の場
合は鎖状不飽和も構造上可能となり、特にx≧3の場合
には環状飽和や環状不飽和も可能となる。
【0019】炭素数xの上限は特に制限されるものでは
ないが、そのままあるいは気化させた状態で容易にエッ
チング反応系へ導入することができ、しかも分子内のC
/S比(C原子数とS原子数の比)やC/O比(C原子
数とO原子数の比)が大きくなり過ぎない範囲で適宜選
択すれば良い。このことは、後述するように、炭素系ポ
リマーの過剰な堆積をO* による燃焼とSによる堆積物
の一部代替により防止するという本発明の意図を徹底さ
せる上で重要である。
【0020】上記ハロゲン化合物のうち分子内にカルボ
ニル基を1個有するものとしては、COF2 (フッ化カ
ルボニル)、COCl2 (塩化カルボニル、別名ホスゲ
ン),COBr2 (臭化カルボニル;液体),COCl
F(塩化フッ化カルボニル),COBrF(臭化フッ化
カルボニル),COIF(ヨウ化フッ化カルボニル;液
体)等がある。また、分子内にカルボニル基を2個有す
るものとしては、C22 2 (フッ化オキサリル;液
体),C2 Cl2 2 (塩化オキサリル;液体),C2
Br2 2 (臭化オキサリル;液体)等がある。
【0021】分子内にチオニル基を有するハロゲン化合
物としては、SOF2 (フッ化チオニル),SOF
4 (四フッ化チオニル),SOCl2 (塩化チオニル;
液体),SOBr2 (臭化チオニル;液体),SOCl
Br(塩化臭化チオニル;液体)等がある。分子内にス
ルフリル基を有するハロゲン化合物としては、SO2
2 (フッ化スルフリル),SO2 Cl2 (塩化スルフリ
ル;液体),SO2 ClF(塩化フッ化スルフリル),
SO2 BrF(臭化フッ化スルフリル;液体)等があ
る。
【0022】分子内にニトロシル基を有するハロゲン化
合物としては、NOF(フッ化ニトロシル),NOCl
(塩化ニトロシル),NOCl2 (二塩化ニトロシ
ル),NOCl3 (三塩化ニトロシル),NOBr(臭
化ニトロシル;液体)等がある。さらに、分子内にニト
リル基を有するハロゲン化合物としては、NO2 F(フ
ッ化ニトリル),NO2 Cl(塩化ニトリル),NO2
Br(臭化ニトリル)等がある。
【0023】なお、上記日本語名の後に「液体」と記載
した化合物は常温で液体物質なので、He等の不活性ガ
スよるバブリングを行って気化させた後、エッチング・
チャンバ内へ導入すれば良い。「液体」の記載の無いも
のは、すべて気体である。
【0024】
【作用】本発明者は、低汚染化を図りながらウェハの表
面保護効果を向上させるために、表面保護を炭素系ポリ
マーのみに依存するのではなく、その一部を汚染源とな
る虞れのない他の物質で代替することを考え、イオウ
(S)に着目した。Sは昇華性物質であるため、ウェハ
がおおよそ室温以下に冷却されていれば容易にその表面
に堆積する。このとき、SiOx 系材料層のようにスパ
ッタによりO原子を放出する材料層の上では、SOx
形で除去されるので堆積せず、主として側壁保護、露出
したシリコン系下地の表面保護、レジスト・マスクの表
面保護等に寄与するのである。しかもこのSは、エッチ
ング終了後に通常のO2 プラズマ・アッシングによりレ
ジスト・マスクを除去する際に、同時に燃焼除去するこ
とができる。あるいは、ウェハをおおよそ90℃以上に
加熱するだけでも昇華除去することができる。いずれに
しても、Sはパーティクル汚染源となる虞れがない。
【0025】本発明において、エッチング・ガスの主成
分として用いられる化合物、すなわち、フルオロカーボ
ン側鎖をそれぞれ有するメルカプタン、チオエーテル、
ジスルフィドは、このS原子と従来からのシリコン系化
合物層のエッチング種であるCFx + との双方を単一の
化合物から供給することを目的として選択されたもので
ある。ここで、S原子は−SH基(メルカプト基)、−
S−結合(スルフィド結合)、−S−S−結合(ジスル
フィド結合)のいずれかが放電解離することにより生成
する。Sの堆積により高選択加工に必要な炭素系ポリマ
ーの堆積量を相対的に減少させることができる。
【0026】一方のCFx + は、フルオロカーボン側鎖
が放電解離することにより生成する。このフルオロカー
ボン側鎖の炭素数xが2以上であれば、本発明者が先に
提案している高次フルオロカーボン化合物と同様に高速
エッチングが可能となり、さらに不飽和結合または環状
骨格を有していれば、効率良い炭素系ポリマーの重合が
進行する。
【0027】本発明は、以上のような考え方を基本とし
ているが、さらに還元作用によるSiOx 系材料層から
のO原子の引き抜き、炭素系ポリマーの膜質の強化、イ
オウの堆積の増強等を通じて一層の高速化、高選択化、
低ダメージ化、低汚染化等を図る方法も提案する。これ
らを実現するひとつの方法として、まず一酸化炭素(C
O)、分子内にカルボニル基(>C=O),チオニル基
(>S=O),スルフリル基(>SO2 ),ニトロシル
基(−N=O),ニトリル基(−NO2 )のいずれかの
官能基とハロゲン原子とを含むハロゲン化合物、または
硫化カルボニル(COS;分子構造はS=C=O)をエ
ッチング・ガスに添加する。
【0028】上記の各化合物においては、C原子とO原
子との間の結合、S原子とO原子との間の結合、N原子
とO原子との間の結合にそれぞれ電気双極子が存在し、
高い重合促進活性を有している。したがって、かかる官
能基もしくはこれに由来する原子団がプラズマ中に存在
することにより、炭素系ポリマーの重合度が上昇し、イ
オン入射やラジカルの攻撃に対する耐性を高めることが
できる。さらに、炭素系ポリマーに上述の官能基が導入
されると、単に−CX2 −(Xはハロゲン原子)の繰り
返し構造からなる従来の炭素系ポリマーよりも化学的,
物理的安定性が増すことが、近年の研究により明らかと
なっている。これは、上述のような官能基の導入により
炭素系ポリマーの極性が増大し、エッチング中は負に帯
電しているウェハに対してその静電吸着力が高まるため
であると解釈されている。したがって、レジスト材料や
Si系材料に対して高選択性を達成するために必要な炭
素系ポリマーの堆積量はごく僅かで済み、従来技術に比
べてより徹底した低汚染化を図ることができるのであ
る。
【0029】また上記の官能基は、エッチングの高速化
にも寄与している。すなわち、上記の官能基から生成可
能なCO* ,SO* ,SO2 * ,NO* 等のラジカルは
強い還元作用を有しており、SiO2 中のO原子を引き
抜くことができる。これは、2原子分子の生成熱から算
出された原子間結合エネルギーがC−O結合では257
kcal/mol,S−O結合では125kcal/m
ol,N−O結合では151cal/molであって、
結晶中におけるSi−O結合の111kcal/mol
と比べていずれも大きいことからも理解される。O原子
が引き抜かれた後のSi原子は、上記ハロゲン化合物か
ら解離生成したハロゲン・ラジカルと結合することによ
り、ハロゲン化物の形で速やかに除去される。つまり本
発明では、Si−O結合の切断を、CFx + イオンによ
る従来の物理的なスパッタ作用のみならず、化学的な作
用も利用して行うことができるようになる。しかも、本
発明で使用するCO、ハロゲン化合物、あるいはCOS
は、レジスト材料や下地のSi系材料には何ら作用を及
ぼさず、これらの材料のエッチング速度は低速に維持さ
れる。
【0030】また、特にCOSを使用した場合には、S
を堆積させることも可能である。
【0031】さらに、Sの堆積を増強したい場合には、
2 2 ,SF2 ,SF4 ,S2 10から選ばれる少な
くとも1種類のフッ化イオウをエッチング・ガスに添加
する。ここで使用されるフッ化イオウは、本願出願人が
先に特開平4−84427号公報において、SiO2
材料層のエッチング用に提案した化合物である。フッ化
イオウから生成する主エッチング種は、SFx + とF*
である。また上記フッ化イオウは、従来からエッチング
・ガスとして実用化されているSF6 に比べてS/F比
(1分子中のS原子数とF原子数の比)が大きく、放電
解離条件下でプラズマ中に遊離のS(イオウ)を放出す
ることができる。
【0032】このフッ化イオウをニトロシル基もしくは
ニトリル基を有するハロゲン化合物と併用した場合に
は、放出されたS原子がさらに該ハロゲン化合物から放
出されたN原子と反応し、ポリチアジル(SN)x を主
体とする窒化イオウ系化合物が生成し、この窒化イオウ
系化合物によりSよりもさらに強力な側壁保護作用やS
i系下地の保護作用を発揮させることができる。しか
も、窒化イオウ系化合物はエッチング終了後に通常のO
2 プラズマ・アッシングによりレジスト・マスクを除去
する際に、同時に燃焼除去することができる。あるい
は、ウェハをおおよそ130℃以上に加熱するだけでも
昇華もしくは分解させることができる。いずれにして
も、窒化イオウ系化合物はSと同様、パーティクル汚染
源となる虞れがない。
【0033】
【実施例】以下、本発明の具体的な実施例について説明
する。
【0034】実施例1 本実施例は、本発明をコンタクト・ホール加工に適用
し、C2 6 2 〔ビス(トリフルオロメチル)ジスル
フィド;F3 C−S−S−CF3 〕を用いてSiO2
間絶縁膜をエッチングした例である。このプロセスを、
図1を参照しながら説明する。
【0035】本実施例においてサンプルとして使用した
ウェハは、図1(a)に示されるように、予め下層配線
としての不純物拡散領域2が形成された単結晶Si基板
1上にSiO2 層間絶縁膜3が形成され、さらにこの上
に所定の形状にパターニングされたレジスト・マスク4
が形成されてなるものである。上記レジスト・マスク4
には、開口径約0.35μmの開口部4aが形成されて
いる。
【0036】上記ウェハを、マグネトロンRIE(反応
性イオン・エッチング)装置のウェハ載置電極上にセッ
トした。ここで、上記ウェハ載置電極は冷却配管を内蔵
しており、装置外部に接続されるチラー等の冷却設備か
ら該冷却配管に冷媒を供給して循環させることにより、
エッチング中のウェハ温度を室温以下に制御することが
可能となされている。一例として、下記の条件でSiO
2 層間絶縁膜3のエッチングを行った。
【0037】 C2 6 2 流量 50SCCM ガス圧 2.0Pa RFパワー密度 2.0W/cm2 (13.
56MHz) 磁場強度 1.50×10-2T(=150G) ウェハ温度 −30℃(エタノール系冷
媒使用)
【0038】このエッチング過程では、C2 6 2
ら解離生成するCFx + およびF*により、約850n
m/分のエッチング速度で高速にエッチングが進行し、
図1(b)に示されるように、異方性形状を有するコン
タクト・ホール5が形成された。このとき、C2 6
2 からは炭素系ポリマーの原料となる活性フラグメント
やSが放出される。これらは、SiO2 層間絶縁膜3の
露出面上ではここからスパッタ・アウトされるO原子の
作用で燃焼されるために堆積せず、レジスト・マスク4
や単結晶Si基板1の露出面上には堆積して表面保護効
果を発揮した。また、上記の条件ではウェハが低温冷却
されていることにより、本来ラジカル・モードでエッチ
ングが進行するレジスト材料や単結晶Siのエッチング
速度も低下している。これらの理由により、本実施例で
は対レジスト選択比が約6、対Si選択比が約25とい
ずれも高い値が達成され、オーバーエッチング後でもレ
ジスト・マスク4の後退や浅い接合の破壊等は認められ
なかった。
【0039】また、上記炭素系ポリマーやSはイオンの
垂直入射が起こりにくいパターン側壁面上に堆積して側
壁保護膜(図示せず。)を形成した。上記コンタクト・
ホール5の異方性形状は、この側壁保護膜の寄与により
達成されたものである。
【0040】なお、本実施例のプロセスではSの堆積が
期待できる分だけ高選択・異方性加工に必要な炭素系ポ
リマーの堆積量を低減することができるので、従来プロ
セスに比べてパーティクル・レベルが大幅に改善され
た。したがって、エッチング・チャンバのクリーニング
等を行うためのメンテナンスの頻度を低減でき、生産性
を向上させることができた。
【0041】実施例2 本実施例では、同じコンタクト・ホール加工をC2 6
2 /CO混合ガスを用いて行った。図1(a)に示し
たウェハをマグネトロンRIE装置にセットし、一例と
して下記の条件でSiO2 層間絶縁膜3をエッチングし
た。
【0042】 C2 6 2 流量 35SCCM CO流量 15SCCM ガス圧 2.0Pa RFパワー密度 1.5W/cm2 (1
3.56MHz) 磁場強度 1.50×10-2T(=150G) ウェハ温度 0℃(エタノール系
冷媒使用)
【0043】このエッチング過程では、CO* によるS
iO2 層間絶縁膜3の表面からのO原子引き抜き反応
が、CFx + による物理的なエッチング反応の進行を化
学的にアシストする。したがって、実施例1よりもイオ
ン入射エネルギーを低下させた条件であるにも係わら
ず、高速異方性エッチングを行うことができた。しか
も、この低エネルギー化によりレジスト・マスク4や単
結晶Si基板1に対する選択比が向上するため、ウェハ
の冷却温度を実施例1よりも室温域に近づけることがで
きた。
【0044】実施例3 本実施例では、同じコンタクト・ホール加工をC2 6
2 /COF2 混合ガスを用いて行った。図1(a)に
示したウェハをマグネトロンRIE装置にセットし、一
例として下記の条件でSiO2 層間絶縁膜3をエッチン
グした。
【0045】 C2 6 2 流量 35SCCM COF2 流量 15SCCM ガス圧 2.0Pa RFパワー密度 1.5W/cm2 (1
3.56MHz) 磁場強度 1.50×10-2T(=150G) ウェハ温度 0℃(エタノール系
冷媒使用)
【0046】このエッチング過程では、COF2 の放電
解離生成物の一部がカルボニル基やC−O結合等の形で
炭素系ポリマーに導入されることにより炭素系ポリマー
の膜質が強化され、少ない堆積量でも入射イオンのスパ
ッタリング作用に対して優れた耐性を発揮した。また、
COF2 から解離生成するCO* によるO原子引き抜き
反応がCFx + によるSiO2 のエッチングを促進し
た。この結果、実施例1よりも入射イオン・エネルギー
が低く、またウェハ温度が高いにも係わらず、高速、高
選択エッチングを行うことができた。さらに、炭素系ポ
リマーの堆積量が一層低減できることにより、パーティ
クル汚染も大幅に抑制することができた。
【0047】実施例4 本実施例では、同じコンタクト・ホール加工をC2 6
2 /SOF2 混合ガスを用いて行った。図1(a)に
示したウェハをマグネトロンRIE装置にセットし、一
例として下記の条件でSiO2 層間絶縁膜3をエッチン
グした。
【0048】 C2 6 2 流量 35SCCM SOF2 流量 15SCCM ガス圧 2.0Pa RFパワー密度 1.4W/cm2 (1
3.56MHz) 磁場強度 1.50×10-2T(=150G) ウェハ温度 0℃(エタノール系
冷媒使用)
【0049】このエッチング過程では、SOF2 の放電
解離生成物の一部がチオニル基やS−O結合等の形で炭
素系ポリマーに導入されることにより炭素系ポリマーの
膜質が強化され、少ない堆積量でも入射イオンのスパッ
タリング作用に対して優れた耐性を発揮した。また、S
OF2 から解離生成するSO* によるO原子引き抜き反
応がCFx + によるSiO2 のエッチングを促進した。
この結果、実施例1よりも入射イオン・エネルギーが低
く、またウェハ温度が高いにも係わらず、高速、高選択
エッチングを行うことができた。
【0050】実施例5 本実施例では、同じコンタクト・ホール加工をC2 6
2 /COS混合ガスを用いて行った。図1(a)に示
したウェハをマグネトロンRIE装置にセットし、一例
として下記の条件でSiO2 層間絶縁膜3をエッチング
した。
【0051】 C2 6 2 流量 30SCCM COS流量 20SCCM ガス圧 2.0Pa RFパワー密度 1.2W/cm2 (1
3.56MHz) 磁場強度 1.50×10-2T(=150G) ウェハ温度 0℃(エタノール系
冷媒使用)
【0052】このエッチング過程では、CO* によるO
原子引き抜き反応、カルボニル基やC−O結合等の導入
による炭素系ポリマーの膜質強化に加え、COSから解
離生成するSもウェハの表面保護に寄与するという効果
が得られた。これにより、入射イオン・エネルギーを一
層低下させることができた。また、ウェハの表面保護に
おける炭素系ポリマーの寄与が相対的に低下することに
より、一層の低汚染化を図ることができた。
【0053】実施例6 本実施例では、同じコンタクト・ホール加工をC2 6
2 /S2 2 混合ガスを用いて行った。図1(a)に
示したウェハをマグネトロンRIE装置にセットし、一
例として下記の条件でSiO2 層間絶縁膜3をエッチン
グした。
【0054】 C2 6 2 流量 30SCCM S2 2 流量 20SCCM ガス圧 2.0Pa RFパワー密度 1.2W/cm2 (1
3.56MHz) 磁場強度 1.50×10-2T(=150G) ウェハ温度 10℃(水冷)
【0055】このプロセスでは、S2 2 から生成する
SFx + がエッチング種として使用できる他、同じくS
2 2 から効率良く生成するSをウェハ上に堆積させ、
表面保護に利用できる点が大きな特色である。つまり、
2 6 2 から生成する炭素系ポリマーとSに加え
て、S2 2 からもSを供給して表面保護効果を増強す
ることができる。これにより、実施例5よりもウェハ温
度を高めたにもかかわらず、良好な高選択、異方性エッ
チングを行うことができた。
【0056】なお、ウェハ上に堆積したSは、エッチン
グ終了後にレジスト・マスク4を通常のO2 プラズマ・
アッシング等の工程で除去する際に、昇華するか、もし
くは炭素系ポリマーと共に燃焼されるため、ウェハ上に
何らパーティクル汚染を残すことはなかった。
【0057】実施例7 本実施例では、同じコンタクト・ホール加工をC2 6
2 /S2 2 /NOF混合ガスを用いて行った。図1
(a)に示したウェハをマグネトロンRIE装置にセッ
トし、一例として下記の条件でSiO2 層間絶縁膜3を
エッチングした。
【0058】 C2 6 2 流量 30SCCM S2 2 流量 10SCCM NOF流量 10SCCM ガス圧 2.0Pa RFパワー密度 1.2W/cm2 (1
3.56MHz) 磁場強度 1.50×10-2T(=150G) ウェハ温度 20℃(水冷)
【0059】このプロセスでは、NO* によるO原子引
き抜き、ニトロシル基やN−O結合の導入による炭素系
ポリマーの強化等に加え、S2 2 から生成するS原子
とNOFから生成するN原子が結合して、ポリマー状の
ポリチアジル(SN)x を主体とする種々の窒化イオウ
系化合物が生成し、これらがウェハの表面保護に寄与す
る点が大きな特色である。これにより、実施例6よりも
ウェハ温度をさらに高めて室温域でエッチングを行って
いるにもかかわらず、高い選択性、異方性が達成でき
た。
【0060】なお、ウェハ上に堆積した窒化イオウ系化
合物は、エッチング終了後にレジスト・マスク4を通常
のO2 プラズマ・アッシング等の工程で除去する際に、
昇華するか、もしくは炭素系ポリマーと共に燃焼される
ため、ウェハ上に何らパーティクル汚染を残すことはな
かった。
【0061】以上、本発明を7例の実施例にもとづいて
説明したが、本発明はこれらの実施例に何ら限定される
ものではない。たとえば、上述の各実施例で用いた化合
物以外であっても、本発明で限定される化合物を使用す
れば基本的には同様の効果が得られる。その際、エッチ
ング・ガスの主成分をなすメルカプタン、チオエーテ
ル、ジスルフィドと、各種ハロゲン化合物および/また
は各種フッ化イオウとは、任意に組み合わせることがで
きる。
【0062】シリコン化合物層は、上述のSiO2 層間
絶縁膜の他、PSG,BSG,BPSG,AsSG,A
sPSG,AsBSG等のSiO2 シリコン系材料、あ
るいはSiNx からなるものであっても良い。エッチン
グ・サンプルとして用いたウェハの構成も上述の構成に
限られるものではなく、たとえばSiO2 層間絶縁膜の
下地は単結晶Si基板以外にも、多結晶シリコン層、ポ
リサイド膜、あるいはAl−1%Si層等の金属材料層
等である場合が考えられる。
【0063】エッチング・ガスには、スパッタリング効
果、希釈効果、冷却効果等を得る目的でHe,Ar等の
希ガスが適宜添加されていても構わない。その他、使用
するエッチング装置、エッチング条件等が適宜変更可能
であることは言うまでもない。
【0064】
【発明の効果】以上の説明からも明らかなように、本発
明ではエッチング・ガスの主成分として分子内にS原子
とフルオロカーボン側鎖とを有する化合物を用いること
により、炭素系ポリマーと共にSを堆積させることが可
能となる。これにより、基本的には単独組成のエッチン
グ・ガスにより、シリコン化合物層の高速、高選択、低
汚染、低ダメージ・エッチングを行うことができる。さ
らに添加ガスを使用することにより、炭素系ポリマーの
強化、O原子引き抜き反応、S堆積の増強等を通じて一
層の高速化、低汚染化、低ダメージ化を図ることも可能
である。特に低汚染化が徹底されることにより、半導体
装置の歩留りや信頼性が大幅に向上する他、メンテナン
ス等の所要時間も短縮され、生産性・経済性も改善され
る。
【0065】本発明は微細なデザイン・ルールにもとづ
いて設計され、高集積度、高性能、高信頼性を要求され
る半導体装置の製造に極めて有効である。
【図面の簡単な説明】
【図1】本発明をコンタクト・ホール加工に適用したプ
ロセス例をその工程順にしたがって示す概略断面図であ
り、(a)はSiO2 層間絶縁膜上にレジスト・マスク
が形成された状態、(b)はコンタクト・ホールが形成
された状態をそれぞれ表す。
【符号の説明】
1 ・・・単結晶シリコン基板 2 ・・・不純物拡散領域 3 ・・・SiO2 層間絶縁膜 4 ・・・レジスト・マスク 5 ・・・コンタクト・ホール

Claims (3)

    (57)【特許請求の範囲】
  1. 【請求項1】 フルオロカーボン側鎖を有するメルカプ
    タン、フルオロカーボン側鎖を有するチオエーテル、フ
    ルオロカーボン側鎖を有するジスルフィドから選ばれる
    少なくとも1種類の化合物を含むエッチング・ガスを用
    いてシリコン化合物層をエッチングすることを特徴とす
    るドライエッチング方法。
  2. 【請求項2】 前記エッチング・ガスが、一酸化炭素、
    分子内にカルボニル基,チオニル基,スルフリル基,ニ
    トリル基,ニトロシル基の少なくとも1種類の官能基と
    ハロゲン原子とを有するハロゲン化合物、硫化カルボニ
    ルから選ばれる少なくとも1種類の化合物を含むことを
    特徴とする請求項1記載のドライエッチング方法。
  3. 【請求項3】 前記エッチング・ガスが、S2 2 、S
    2 、SF4 、S2 10から選ばれる少なくとも1種類
    のフッ化イオウを含み、エッチング反応系に堆積可能な
    Sを供給しながらエッチングを行うことを特徴とする請
    求項1または請求項2記載のドライエッチング方法。
JP04170980A 1992-06-29 1992-06-29 ドライエッチング方法 Expired - Lifetime JP3109253B2 (ja)

Priority Applications (3)

Application Number Priority Date Filing Date Title
JP04170980A JP3109253B2 (ja) 1992-06-29 1992-06-29 ドライエッチング方法
US08/078,928 US5376234A (en) 1992-06-29 1993-06-21 Dry etching method
KR1019930011646A KR100255403B1 (ko) 1992-06-29 1993-06-25 드라이에칭방법

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP04170980A JP3109253B2 (ja) 1992-06-29 1992-06-29 ドライエッチング方法

Publications (2)

Publication Number Publication Date
JPH0613351A JPH0613351A (ja) 1994-01-21
JP3109253B2 true JP3109253B2 (ja) 2000-11-13

Family

ID=15914907

Family Applications (1)

Application Number Title Priority Date Filing Date
JP04170980A Expired - Lifetime JP3109253B2 (ja) 1992-06-29 1992-06-29 ドライエッチング方法

Country Status (3)

Country Link
US (1) US5376234A (ja)
JP (1) JP3109253B2 (ja)
KR (1) KR100255403B1 (ja)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20200139699A (ko) 2018-03-30 2020-12-14 칸토 덴카 코교 가부시키가이샤 함불소 술파이드 화합물의 제조 방법

Families Citing this family (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5716494A (en) * 1992-06-22 1998-02-10 Matsushita Electric Industrial Co., Ltd. Dry etching method, chemical vapor deposition method, and apparatus for processing semiconductor substrate
JP2904723B2 (ja) * 1995-04-21 1999-06-14 セントラル硝子株式会社 クリーニングガス
US5865900A (en) * 1996-10-04 1999-02-02 Taiwan Semiconductor Manufacturing Company, Ltd. Etch method for removing metal-fluoropolymer residues
US5882535A (en) * 1997-02-04 1999-03-16 Micron Technology, Inc. Method for forming a hole in a semiconductor device
WO1999008805A1 (en) * 1997-08-20 1999-02-25 Air Liquide Electronics Chemicals & Services, Inc. Plasma cleaning and etching methods using non-global-warming compounds
US6120697A (en) 1997-12-31 2000-09-19 Alliedsignal Inc Method of etching using hydrofluorocarbon compounds
US6635185B2 (en) 1997-12-31 2003-10-21 Alliedsignal Inc. Method of etching and cleaning using fluorinated carbonyl compounds
US6403488B1 (en) * 1998-03-19 2002-06-11 Cypress Semiconductor Corp. Selective SAC etch process
US6372634B1 (en) 1999-06-15 2002-04-16 Cypress Semiconductor Corp. Plasma etch chemistry and method of improving etch control
KR20010061793A (ko) * 1999-12-29 2001-07-07 박종섭 반도체 소자의 폴리머 제거방법
JP3878972B2 (ja) * 2000-09-25 2007-02-07 東京エレクトロン株式会社 反応器の内部をクリーニングするため、ならびにケイ素含有化合物の膜をエッチングするためのガス組成物
US6886573B2 (en) * 2002-09-06 2005-05-03 Air Products And Chemicals, Inc. Plasma cleaning gas with lower global warming potential than SF6
US7670956B2 (en) 2005-04-08 2010-03-02 Fei Company Beam-induced etching
US7435681B2 (en) * 2006-05-09 2008-10-14 Macronix International Co., Ltd. Methods of etching stacks having metal layers and hard mask layers
RU2476959C2 (ru) * 2008-01-23 2013-02-27 Солвей Флуор Гмбх Способ изготовления солнечных элементов
US8394722B2 (en) * 2008-11-03 2013-03-12 Lam Research Corporation Bi-layer, tri-layer mask CD control
WO2014094103A1 (en) * 2012-12-18 2014-06-26 Seastar Chemicals Inc. Process and method for in-situ dry cleaning of thin film deposition reactors and thin film layers
TWI612182B (zh) * 2013-09-09 2018-01-21 液態空氣喬治斯克勞帝方法研究開發股份有限公司 用蝕刻氣體蝕刻半導體結構的方法
KR102504833B1 (ko) * 2017-11-16 2023-03-02 삼성전자 주식회사 식각 가스 혼합물과 이를 이용한 패턴 형성 방법과 집적회로 소자의 제조 방법
US10510883B2 (en) 2017-11-28 2019-12-17 Taiwan Semiconductor Manufacturing Co., Ltd. Asymmetric source and drain structures in semiconductor devices
US10529581B2 (en) 2017-12-29 2020-01-07 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude SiN selective etch to SiO2 with non-plasma dry process for 3D NAND device applications
TW202024047A (zh) 2018-10-26 2020-07-01 日商關東電化工業股份有限公司 含有含硫氟碳化合物之乾蝕刻氣體組成物及使用其之乾蝕刻方法
TWI808274B (zh) 2018-10-26 2023-07-11 日商關東電化工業股份有限公司 含有具有不飽和鍵之含硫氟碳化合物的乾式蝕刻氣體組成物及使用其之乾式蝕刻方法
JP2021106212A (ja) * 2019-12-26 2021-07-26 東京エレクトロン株式会社 エッチング方法、基板処理装置、及び基板処理システム
US20230290643A1 (en) * 2020-07-09 2023-09-14 Showa Denko K,K, Etching method and semiconductor element manufacturing method

Family Cites Families (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2874263B2 (ja) * 1990-03-26 1999-03-24 ソニー株式会社 シリコン化合物系からなる被エッチング膜のエッチング方法
JP3006048B2 (ja) * 1990-07-27 2000-02-07 ソニー株式会社 ドライエッチング方法
JPH04170026A (ja) * 1990-11-02 1992-06-17 Sony Corp ドライエッチング方法
JP3038950B2 (ja) * 1991-02-12 2000-05-08 ソニー株式会社 ドライエッチング方法

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20200139699A (ko) 2018-03-30 2020-12-14 칸토 덴카 코교 가부시키가이샤 함불소 술파이드 화합물의 제조 방법
US11542231B2 (en) 2018-03-30 2023-01-03 Kanto Denka Kogyo Co., Ltd. Method of producing fluorine-containing sulfide compounds

Also Published As

Publication number Publication date
JPH0613351A (ja) 1994-01-21
KR100255403B1 (ko) 2000-06-01
KR940001299A (ko) 1994-01-11
US5376234A (en) 1994-12-27

Similar Documents

Publication Publication Date Title
JP3109253B2 (ja) ドライエッチング方法
US5445712A (en) Dry etching method
JP3116569B2 (ja) ドライエッチング方法
US5660681A (en) Method for removing sidewall protective film
KR100255404B1 (ko) 드라이에칭방법
JPH05102096A (ja) ドライエツチング方法
JP3298205B2 (ja) ドライエッチング方法
JP2687787B2 (ja) ドライエッチング方法
KR100218772B1 (ko) 드라이에칭방법
JPH06163476A (ja) ドライエッチング方法
JP3208596B2 (ja) ドライエッチング方法
JPH10189537A (ja) ドライエッチング方法
JP3116570B2 (ja) ドライエッチング方法
JP3353462B2 (ja) ドライエッチング方法
JP3301157B2 (ja) ドライエッチング方法
US6399509B1 (en) Defects reduction for a metal etcher
JP2687769B2 (ja) ドライエッチング方法
JP3297939B2 (ja) ドライエッチング方法
JP3453996B2 (ja) 酸化シリコン系絶縁膜のプラズマエッチング方法
JP3079656B2 (ja) ドライエッチング方法
US20040018743A1 (en) Method for removing photoresist after metal layer etching in a semiconductor device
JPH053177A (ja) ドライエツチング方法
JP3116421B2 (ja) ドライエッチング方法
JPH06314674A (ja) 半導体装置の製造方法
JPH0536645A (ja) ドライエツチング方法

Legal Events

Date Code Title Description
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20000815

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20080914

Year of fee payment: 8

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20090914

Year of fee payment: 9

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20090914

Year of fee payment: 9

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20100914

Year of fee payment: 10

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20110914

Year of fee payment: 11

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20110914

Year of fee payment: 11

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20120914

Year of fee payment: 12

EXPY Cancellation because of completion of term