JP2759368B2 - Vertical heat treatment equipment - Google Patents

Vertical heat treatment equipment

Info

Publication number
JP2759368B2
JP2759368B2 JP2013294A JP1329490A JP2759368B2 JP 2759368 B2 JP2759368 B2 JP 2759368B2 JP 2013294 A JP2013294 A JP 2013294A JP 1329490 A JP1329490 A JP 1329490A JP 2759368 B2 JP2759368 B2 JP 2759368B2
Authority
JP
Japan
Prior art keywords
load lock
lock chamber
heat treatment
oxide film
processing
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
JP2013294A
Other languages
Japanese (ja)
Other versions
JPH03218017A (en
Inventor
寿 服部
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Priority to JP2013294A priority Critical patent/JP2759368B2/en
Publication of JPH03218017A publication Critical patent/JPH03218017A/en
Application granted granted Critical
Publication of JP2759368B2 publication Critical patent/JP2759368B2/en
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Description

【発明の詳細な説明】 〔発明の目的〕 (産業上の利用分野) 本発明は、縦型熱処理装置に関する。DETAILED DESCRIPTION OF THE INVENTION [Object of the Invention] (Industrial Application Field) The present invention relates to a vertical heat treatment apparatus.

(従来の技術) 近年、LSIの高集積度化により、例えばMOS・FETの実
装密度が向上し、最近のLSIでは、例えば1M,4MDRAMの最
小設計幅が1μm以下になり、ゲート酸化膜の膜厚も20
0Å以下になってきた。さらに、16MDRAMのゲート酸化膜
は、100〜150Åとさらに薄膜化の傾向となっている。
(Prior Art) In recent years, the packing density of, for example, MOS FETs has been improved due to the increase in the degree of integration of LSIs. In recent LSIs, for example, the minimum design width of 1M, 4MDRAM has become 1 μm or less, and the gate oxide film has been reduced. 20 thick
It has become less than 0Å. Further, the gate oxide film of 16MDRAM has a tendency to be further reduced to 100 to 150 °.

成膜前の前処理としてシリコン表面をHFやHClにてウ
ェット洗浄した場合、洗浄直後は清浄なシリコン表面が
表われるが、すぐに空気中の酸素や水分とシリコンが反
応してシリコン表面に10Å前後の自然酸化膜が形成され
る。
If the silicon surface is wet-cleaned with HF or HCl as a pre-treatment before film formation, a clean silicon surface appears immediately after the cleaning, but oxygen and moisture in the air react with silicon immediately, and the silicon surface reacts for 10 mm. The front and rear natural oxide films are formed.

また、横型炉の場合には、半導体ウエハを搭載したボ
ートを例えば1000℃に加熱された反応管内部へ水平方向
の駆動によりローディングする際に、炉内と炉外の温度
差に起因する対流により空気が反応管内部へ混入するこ
とが避けられなかった。従って、横型炉の場合には、ウ
エハをローディングする際に加熱されたウエハと空気中
の酸素が反応し50〜100Åの自然酸化膜の形成が避けら
れず、また自然酸化膜はポーラスで膜質が悪いためゲー
ト酸化膜の膜厚を制御する必要のある高密度素子への対
応がその構造的理由により自ずから限界があった。
In the case of a horizontal furnace, when loading a boat loaded with semiconductor wafers into a reaction tube heated to, for example, 1000 ° C. by driving in a horizontal direction, convection caused by a temperature difference between the inside and outside of the furnace causes It was inevitable that air would enter the inside of the reaction tube. Therefore, in the case of a horizontal furnace, when a wafer is loaded, the heated wafer reacts with oxygen in the air to form a natural oxide film of 50 to 100 mm, and the natural oxide film is porous and has a poor film quality. Due to its structure, there is a natural limit to responding to high-density devices that need to control the thickness of the gate oxide film due to its structural reasons.

一方、縦型炉の場合には、横型炉と比較して酸素の巻
込みが少なく、自然酸化膜の形成も30〜50Åと少ないた
め現在の1MDRAMの成膜の使用される装置はこの縦型炉が
主流となっている。
On the other hand, in the case of a vertical furnace, the entrainment of oxygen and the formation of a natural oxide film are as small as 30 to 50 mm compared to a horizontal furnace. Furnaces are the mainstream.

しかしながら、4M,16Mとさらに高密度化が促進する
と、この縦型炉の場合にもローディング・アンローディ
ング時に空気の差込み、およびウエハの吸着水分に起因
して発生する自然酸化膜の生成を抑制すべき改良が必要
となってくる。
However, if the densification is further promoted to 4M and 16M, even in the case of this vertical furnace, the insertion of air during loading and unloading and the formation of a natural oxide film generated due to the moisture adsorbed on the wafer are suppressed. It needs to be improved.

1989年3月に発行された雑誌「電子材料」の第38頁か
ら第39頁には、縦型炉においてウエハをローディングす
る雰囲気を厳密に制御するための構成が開示されてい
る。
Pages 38 to 39 of the magazine "Electronic Materials" published in March 1989 disclose a configuration for strictly controlling the atmosphere for loading a wafer in a vertical furnace.

ここに開示されているロードロック方式とは、縦型炉
の下方に配置されるボート上下機構等をロードロックチ
ャンバー内に配置し、このチャンバー内部を真空にした
後窒素等の不活性ガスで完全に置換した後にボートのロ
ーディングを行うようにしている。この結果、ローディ
ング時にウエハが酸化されることを防止し、自然酸化膜
の形成を大幅に抑制している。さらに、このロードロッ
クチャンバーに予備室を接続し、ロードロックチャンバ
ーへのウエハのローディングまたはアンローディングを
も、窒素雰囲気にて実施するようにしている。
The load lock method disclosed herein means that a boat up / down mechanism and the like arranged below a vertical furnace are arranged in a load lock chamber, and the inside of the chamber is evacuated, and then completely filled with an inert gas such as nitrogen. After the replacement, the boat is loaded. As a result, the wafer is prevented from being oxidized during loading, and the formation of a natural oxide film is greatly suppressed. Further, a spare chamber is connected to the load lock chamber, and loading or unloading of the wafer into the load lock chamber is performed in a nitrogen atmosphere.

また、特開昭62−263642号公報には、プラズマ気相成
長装置に真空予備室を接続し、この真空予備室にてウエ
ハをプリベークして水分等を除去するものが開示されて
いる。
Japanese Patent Application Laid-Open No. Sho 62-263642 discloses an apparatus in which a vacuum preparatory chamber is connected to a plasma vapor phase growth apparatus, and a wafer is prebaked in the vacuum preparatory chamber to remove moisture and the like.

(発明が解決しようとする課題) 上述した従来の技術は、縦型炉にウエハをローディン
グする空間を酸素を断った雰囲気とすることで、自然酸
化膜の膜厚を極力少なくすることにあった。
(Problems to be Solved by the Invention) The above-described conventional technique is to minimize the thickness of the natural oxide film by setting the space for loading the wafer in the vertical furnace in an atmosphere in which oxygen is cut off. .

しかしながら、素子の高密度化が急速に進み、酸化膜
の膜厚コントロールがより緻密化すると、上述した従来
の技術のように縦型炉へウエハローディング時の自然酸
化膜の生成を抑制するだけでは対処することはできず、
ウエハ洗浄後から熱処理炉へ搬送の間に空気中の酸素や
水分とシリコンが反応して形成される10Å前後の自然酸
化膜の生成を抑制する必要にせまられている。
However, as the density of the device is rapidly increased and the control of the thickness of the oxide film becomes more precise, it is not enough to suppress the formation of a natural oxide film at the time of loading a wafer into a vertical furnace as in the conventional technology described above. I ca n’t deal with it,
It is necessary to suppress the formation of a natural oxide film of about 10 ° formed by the reaction between oxygen and moisture in the air and silicon during the transfer from the wafer cleaning to the heat treatment furnace.

また、酸化膜の成膜装置以外の処理装置にあっても、
特にコンタクト抵抗を小さくする必要のあるポリシリコ
ン膜生成やキャパシタ膜生成時にも余分な自然酸化膜を
除去して歩留りの向上を確保する要求がある。
Also, even in a processing apparatus other than the oxide film forming apparatus,
In particular, even when a polysilicon film or a capacitor film for which a contact resistance needs to be reduced is formed, there is a demand for removing an extra natural oxide film and securing an improvement in yield.

(発明の目的) この発明は上記点に鑑みなされたもので、ウエハ洗浄
後の自然酸化膜の形成および縦型炉へウエハローディン
グ時の自然酸化膜の形成されない状態で成膜等の処理を
することのできる熱処理装置を提供することにある。
(Object of the Invention) The present invention has been made in view of the above points, and forms a natural oxide film after cleaning a wafer and performs processing such as film formation without forming a natural oxide film when loading a wafer into a vertical furnace. To provide a heat treatment apparatus that can perform the heat treatment.

(課題を解決するための手段) 本発明は、処理用ボートに収納された複数枚の被処理
体に対して所定の熱処理を行う縦型熱処理部と、この熱
処理部で処理される被処理体が搬入搬出される非酸化雰
囲気とされるロードロック室と、このロードロック室に
気密に結合され、熱処理前の被処理体に対して一枚づつ
自然酸化膜の除去処理をする自然酸化膜除去装置とを具
備したことを特徴とする。
(Means for Solving the Problems) The present invention provides a vertical heat treatment section for performing a predetermined heat treatment on a plurality of objects to be processed housed in a processing boat, and an object to be processed by the heat treatment section And a load lock chamber in which a non-oxidizing atmosphere is loaded and unloaded, and a natural oxide film removal process which is air-tightly coupled to the load lock chamber and removes the natural oxide film one by one for the object to be processed before the heat treatment And a device.

他の発明は、処理用ボートに収納された複数枚の被処
理体に対して所定の熱処理を行う縦型熱処理部と、 この縦型熱処理部の下方領域であって、処理用ボート
の昇降領域を気密に囲むように設けられた第1のロード
ロック室と、 この第1のロードロック室に気密に結合された第2の
ロードロック室と、 この第2のロードロック室と大気側との間に介設さ
れ、複数枚の被処理体を収納する被処理体収納部を配置
した第3のロードロック室と、 前記第2のロードロック室内に設けられ、前記処理用
ボートと前記被処理体収納部との間で被処理体の搬送を
行う被処理体搬送機構と、 前記第2のロードロック室に気密に結合され、熱処理
前の被処理体に対して一枚づつ自然酸化膜の除去処理を
する自然酸化膜除去装置と、を備え、 前記各ロードロック室は非酸化雰囲気とされることを
特徴とする。
Another invention is directed to a vertical heat treatment section for performing a predetermined heat treatment on a plurality of objects to be processed housed in a processing boat, and an area below the vertical heat treatment section, wherein a vertical movement area of the processing boat A first load lock chamber provided so as to hermetically surround the second load lock chamber, and a second load lock chamber airtightly coupled to the first load lock chamber. A third load lock chamber, which is provided between the second load lock chamber and a processing object storage unit that stores a plurality of processing objects, and is provided in the second load lock chamber; An object transfer mechanism for transferring the object to and from the body storage unit; and a natural oxide film that is air-tightly coupled to the second load lock chamber and is one by one with respect to the object before heat treatment. A natural oxide film removing device for performing a removing process; Click chamber characterized in that it is a non-oxidizing atmosphere.

(作用) 本発明によれば、被処理体を自然酸化膜除去装置にて
自然酸化膜の除去を行った後、非酸化雰囲気とされたロ
ードロック室を介して縦型熱処理部へ搬入されるので、
被処理体に自然酸化膜が形成されない状態で成膜処理な
どの熱処理を行うことができる。この場合加熱ランプを
用いれば自然酸化膜除去処理時の残留物を被処理体から
除去できる。
(Operation) According to the present invention, the object to be processed is subjected to natural oxide film removal by the natural oxide film removing device, and then is carried into the vertical heat treatment unit via the load lock chamber in a non-oxidizing atmosphere. So
Heat treatment such as a film formation process can be performed in a state where a natural oxide film is not formed on an object to be processed. In this case, if a heating lamp is used, the residue at the time of the natural oxide film removal processing can be removed from the object.

(実施例) 以下、本発明を縦型CVD装置での実施に適用した一実
施例について、第1図〜第3図を参照して具体的に説明
する。
(Example) An example in which the present invention is applied to implementation in a vertical CVD apparatus will be specifically described with reference to FIGS. 1 to 3.

第1図において、プロセスチューブ10は例えば石英に
て円筒状に形成され、その軸方向を垂直方向とすること
で縦型熱処理部を構成している。このプロセスチューブ
10の下側に設置したマニホールド11の一端には、ガス導
入管12が設けられている。このガス導入管12は、CVDで
ポリシリコンを形成するためSiH4、シリコン窒化膜を形
成するためNH4とSiH2Cl2を導入し、パージガス例えばN2
ガスを導入可能である。また、プロセスチューブ10の周
囲にはヒータ14が設けられ、このプロセスチューブ10内
を所定温度例えばCVDの場合500〜1000℃、酸化や拡散の
場合800〜1200℃に設定可能としている。さらに、この
マニホールド11の他端側には排気管16が連結され、この
排気管16は図示しない真空ポンプに接続されている。そ
して、この排気管16を介して真空引きすることで、前記
プロセスチューブ10内を所定の真空度に設定し、あるい
は、プロセスチューブ10に導入されたガスを排気可能と
している。
In FIG. 1, a process tube 10 is formed in a cylindrical shape by, for example, quartz, and its axial direction is set to a vertical direction to constitute a vertical heat treatment section. This process tube
A gas introduction pipe 12 is provided at one end of the manifold 11 installed below the manifold 10. The gas introduction pipe 12 introduces SiH 4 for forming polysilicon by CVD, NH 4 and SiH 2 Cl 2 for forming a silicon nitride film, and purge gas such as N 2
Gas can be introduced. A heater 14 is provided around the process tube 10, and the inside of the process tube 10 can be set to a predetermined temperature, for example, 500 to 1000 ° C. for CVD, and 800 to 1200 ° C. for oxidation or diffusion. Further, an exhaust pipe 16 is connected to the other end of the manifold 11, and the exhaust pipe 16 is connected to a vacuum pump (not shown). By evacuating through the exhaust pipe 16, the inside of the process tube 10 is set to a predetermined degree of vacuum, or the gas introduced into the process tube 10 can be exhausted.

このプロセスチューブ10内にはバッチ処理するための
ウエハボート18が搬入搬出可能となっている。このボー
ト18は、ウエハ20を水平状態にて、かつ、縦方向に所定
間隔をおいて多数枚のウエハ20を搭載可能としている。
このボート18は、前記プロセスチューブ10の均熱領域に
各ウエハ20を設定するための保温筒22に載置固定され、
この保温筒22がボートエレベータ26にて上下方向に駆動
されることにより、前記ボート18をプロセスチューブ10
内にローディングし、あるいはアンローディングできる
ようにしている。尚、前記保温筒22の下端部にはフラン
ジ24が設けられ、ボート18をプロセスチューブ10内に設
定した後に、このフランジ24がマニホールド11の下端開
口部を密閉するようにしている。さらに、ボート18がプ
ロセスチューブ10より完全にアンローディングされた後
には、シャッター28が閉鎖駆動され、マニホールド11の
下端開口部を密閉するようにしている。
A wafer boat 18 for batch processing can be loaded and unloaded into the process tube 10. The boat 18 is capable of mounting a large number of wafers 20 in a horizontal state and at predetermined intervals in the vertical direction.
This boat 18 is mounted and fixed on a heat retaining cylinder 22 for setting each wafer 20 in the soaking area of the process tube 10,
When the heat retaining cylinder 22 is driven vertically by the boat elevator 26, the boat 18 is moved to the process tube 10
It can be loaded in or unloaded. A flange 24 is provided at the lower end of the heat retaining cylinder 22. After the boat 18 is set in the process tube 10, the flange 24 seals the lower end opening of the manifold 11. Further, after the boat 18 is completely unloaded from the process tube 10, the shutter 28 is driven to close, so that the lower end opening of the manifold 11 is sealed.

さらに、本実施例装置では前記ウエハ20表面への自然
酸化膜の生成を極力低減するためにロードロック方式を
採用している。
Further, in the apparatus of the present embodiment, a load lock system is adopted in order to minimize the formation of a natural oxide film on the surface of the wafer 20.

すなわち、前記プロセスチューブ10の下方の領域であ
って、前記ボートエレベータ26の上下動機構等を含む空
間は、第1のロードロック室40内に設定されている。ま
た、この第1のロードロック室40の左側には、被処理体
搬送機構をなすウエハ搬送アーム60を収納した第2のロ
ードロック室42が配置され、さらに左側には第3のロー
ドロック室44が配置されている。前記第1のロードロッ
ク室40は、その内部を真空置換し、その非酸化雰囲気と
するため不活性ガス等によるパージを実施できるように
ガス導入管40a,排気管40bが接続されている。同様に、
第2,第3のロードロック室42,44にも、それぞれ図示し
ないガス導入管及び排気管が接続されている。さらに、
各ロードロック室間を気密に遮断し、あるいは大気と遮
断するために、各ロードロック室40,42,44の側面には、
第2図に示すようなゲートバルブ51,52,53,54,55,56が
設けられている。
That is, the space below the process tube 10 and including the vertical movement mechanism of the boat elevator 26 is set in the first load lock chamber 40. On the left side of the first load lock chamber 40, there is arranged a second load lock chamber 42 in which a wafer transfer arm 60 serving as an object transfer mechanism is accommodated. On the left side, a third load lock chamber 42 is provided. 44 are located. The first load lock chamber 40 is connected to a gas introduction pipe 40a and an exhaust pipe 40b so that the inside of the first load lock chamber 40 is vacuum-replaced and a purge with an inert gas or the like can be performed to make the atmosphere a non-oxidizing atmosphere. Similarly,
The second and third load lock chambers 42 and 44 are also connected to a gas introduction pipe and an exhaust pipe (not shown), respectively. further,
In order to shut off the space between each load lock chamber airtightly or to shut off from the atmosphere, the side of each load lock chamber 40, 42, 44,
Gate valves 51, 52, 53, 54, 55 and 56 as shown in FIG. 2 are provided.

そして、本実施例装置では、複数枚のウエハ20を搭載
可能な被処理体収納部をなすウエハストッカー71,72を
前記第3のロードロック室44内に設定し、このストッカ
ー71より1枚づつウエハ20を取り出して、第1のロード
ロック室40内部に配置されている前記ボート18にウエハ
20を移し換えるようにしている。そして、プロセスチュ
ーブ10での処理が終了した後は、ボート18より1枚づつ
ウエハ20を取り出して、第3のロードロック室44内部に
配置されているストッカー72にウエハ20を移し換え、移
し換え動作終了後に、第3のロードロック室44からウエ
ハ10を搬送アーム62により搬出してカセット71〜75に移
し換えるようにしている。
In the apparatus of the present embodiment, wafer stockers 71 and 72, which constitute a processing object storage section on which a plurality of wafers 20 can be mounted, are set in the third load lock chamber 44, and one by one from the stocker 71. After the wafer 20 is taken out, the wafer is placed in the boat 18 disposed inside the first load lock chamber 40.
I am trying to transfer 20. Then, after the processing in the process tube 10 is completed, the wafers 20 are taken out one by one from the boat 18 and transferred to the stocker 72 disposed inside the third load lock chamber 44, and then transferred. After the operation is completed, the wafer 10 is unloaded from the third load lock chamber 44 by the transfer arm 62 and transferred to the cassettes 71 to 75.

前記ストッカー71,72、およびカセット74〜78は図示
しない上下動機構によりその上下方向の位置を可変する
ようにしている。
The positions of the stockers 71 and 72 and the cassettes 74 to 78 in the vertical direction are changed by a vertical movement mechanism (not shown).

また、ウエハ搬送アーム60,62は搬送アーム全体の回
転とアームの伸縮を可能にしている。
Further, the wafer transfer arms 60 and 62 enable rotation of the entire transfer arm and expansion and contraction of the arm.

第2のロードロック室42には第2図に示す如くゲート
バルブ51を介して自然酸化膜除去装置例えばドライエッ
チング部80を接続している。
As shown in FIG. 2, a natural oxide film removing device, for example, a dry etching section 80 is connected to the second load lock chamber 42 through a gate valve 51.

ドライエッチング部80を第3図に基づいて説明を行う
と、フッ化水素の溜められた容器82の一端にはガス導入
管81を接続し、他端側にはガス排水管83を接続しマスフ
ローコントローラ84を介して処理容器85に連結してい
る。この処理容器85内にはウエハ20を回転部86上に乗せ
図示しない真空源により回転部86に吸着し、側面には排
気管87が接続しており、図示しない排気装置で排気でき
るようにしている。処理容器85には図示しないゲートバ
ルブを介して図示しないロードロック室の一端に連結し
ている。
The dry etching section 80 will be described with reference to FIG. 3. A gas introduction pipe 81 is connected to one end of a container 82 in which hydrogen fluoride is stored, and a gas drain pipe 83 is connected to the other end. It is connected to a processing vessel 85 via a controller 84. In the processing vessel 85, the wafer 20 is placed on the rotating unit 86 and is attracted to the rotating unit 86 by a vacuum source (not shown), and an exhaust pipe 87 is connected to the side surface so that the exhaust can be exhausted by an exhaust device (not shown). I have. The processing vessel 85 is connected to one end of a load lock chamber (not shown) via a gate valve (not shown).

上記ロードロック室の他端側にゲートバルブ51を介し
て第2のロードロック室42に連結している。フッ化水素
ガスに接触する容器82や処理容器85等は内面がフッ素樹
脂コーティングしてある。
The other end of the load lock chamber is connected to a second load lock chamber 42 via a gate valve 51. The inner surfaces of the container 82, the processing container 85, and the like that come into contact with the hydrogen fluoride gas are coated with a fluororesin.

第1のロードロック室40の内側でプロセスチューブの
開口部に例えばハロゲンランプやキセノンランプ90を設
けている。また、上記ロードロック室40の周囲には配管
91を設けている。ウエハ搬送アーム60,62にはそれぞれ
別に動作できる2つのフォーク63,64を設けている。
For example, a halogen lamp or a xenon lamp 90 is provided inside the first load lock chamber 40 at the opening of the process tube. In addition, piping around the load lock chamber 40
91 are provided. The wafer transfer arms 60 and 62 are provided with two forks 63 and 64 that can operate independently.

第1のロードロック室40の側面には図示しないメンテ
ナンスドアがOリングをシール材として開閉できるよう
に設けてある。このメンテナンスドアの大きさはボート
18や保温筒22を出し入れできる大きさに設定している。
第1のロードロック室40、または上記メンテナンスドア
の一部に図示しない内部観察用窓および内部観察用TVカ
メラを設けており、ロードロック室40内部には図示しな
い照明ランプを設けている。
A maintenance door (not shown) is provided on a side surface of the first load lock chamber 40 so that the door can be opened and closed using an O-ring as a sealing material. The size of this maintenance door is boat
The size is set so that the 18 and the heat retaining cylinder 22 can be taken in and out.
An internal observation window and an internal observation TV camera (not shown) are provided in the first load lock chamber 40 or a part of the maintenance door, and an illumination lamp (not shown) is provided inside the load lock chamber 40.

次に作用について説明する。 Next, the operation will be described.

まず、ゲートバルブ55をオープンとした状態でカセッ
ト74〜78に収納された複数枚のウエハ20を搬送アーム62
により順次ストッカー71へ移送する。その後ゲートバル
ブ55をクローズとし、第3のロードロック室44を図示し
ない排気管を介して真空引きする。
First, with the gate valve 55 opened, a plurality of wafers 20 stored in the cassettes 74 to 78 are transferred to the transfer arm 62.
To the stocker 71 in sequence. Thereafter, the gate valve 55 is closed, and the third load lock chamber 44 is evacuated through an exhaust pipe (not shown).

第2のロードロック室42は図示しない排気管から真空
排気した後ゲートバルブ53をオープンにする。その結
果、第2のロードロック室42と第3のロードロック室44
は真空状態で接続される。
After the second load lock chamber 42 is evacuated from an exhaust pipe (not shown), the gate valve 53 is opened. As a result, the second load lock chamber 42 and the third load lock chamber 44
Are connected in a vacuum.

次にゲートバルブ51をオープンとしてストッカー71に
収納されたウエハ20を搬送アーム60によりドライエッチ
ング装置80へ一枚移送する。ドライエッチング装置80内
の図示しないロードロック室を介して処理容器85内の回
転部86上にウエハ20を乗せ、ガス導入管81よりN2ガスを
導入し、フッ化水素ガスを含むN2ガスを処理容器85へ供
給する。
Next, the gate valve 51 is opened to transfer one wafer 20 stored in the stocker 71 to the dry etching apparatus 80 by the transfer arm 60. Through the load lock chamber (not shown) of a dry etching apparatus 80 carrying the wafer 20 on the rotary unit 86 in the processing chamber 85, N 2 gas was introduced from the gas introduction pipe 81, N 2 gas containing hydrogen fluoride gas Is supplied to the processing container 85.

ウエハ20は回転部86に吸着回転され、フッ化水素によ
りウエハ20表面の自然酸化膜はドライエッチングされ
る。セミコン大阪1989年5月22日に橋本化成(株)菊山
他により発表されたHFガスによる酸化膜のエッチングデ
ータでは、ドライエッチング時の温度が4〜50℃の間で
は20℃で最も速いエッチング特性を示している。
The wafer 20 is sucked and rotated by the rotating unit 86, and the natural oxide film on the surface of the wafer 20 is dry-etched by the hydrogen fluoride. According to the data of oxide film etching using HF gas released by Hashimoto Kasei Kikuyama et al. On May 22, 1989, SEMICON Osaka, the fastest etching characteristics at 20 ° C when the dry etching temperature is between 4 and 50 ° C. Is shown.

SiO2とHFの反応は主にH2Oを含む場合(1)式と含ま
ない場合(2)式の2通りがあり、H2Oを含む場合はエ
ッチング反応が速くなる。
The reaction between SiO 2 and HF mainly includes two types of formula (1) when H 2 O is contained and formula (2) when H 2 O is not contained. When H 2 O is contained, the etching reaction becomes faster.

SiO2+4HF+2H2O→SiF4+4H2O …(1) SiO2+4HF→SiF4+H2O …(2) 上記いずれの反応でも反応後にH2Oが生成されるが排
気装置により除去されるため、ウエハ(20)のエッチン
グ反応を速くするため図示しないH2O発生源からN2をキ
ャリアガスとして処理容器85へ導入しても良い。
SiO 2 + 4HF + 2H 2 O → SiF 4 + 4H 2 O (1) SiO 2 + 4HF → SiF 4 + H 2 O (2) In any of the above reactions, H 2 O is generated after the reaction, but is removed by the exhaust device. Alternatively, N 2 may be introduced as a carrier gas from the H 2 O source (not shown) into the processing vessel 85 in order to accelerate the etching reaction of the wafer (20).

尚、エッチングガスはHFに限らず塩酸(HCl)、硝酸
(HNO3)等の酸性ガスを用いても良い。
The etching gas is not limited to HF, and an acidic gas such as hydrochloric acid (HCl) and nitric acid (HNO 3 ) may be used.

自然酸化膜が除去されたウエハ20はドライエッチング
装置80内の図示しないロードロック室を介して搬送アー
ム60により、ストッカー71へ搬送される。順次この動作
をくり返しストッカー71内の複数のウエハ20の自然酸化
膜を全て除去した後にゲートバルブ51をクローズする。
The wafer 20 from which the natural oxide film has been removed is transferred to the stocker 71 by the transfer arm 60 via a load lock chamber (not shown) in the dry etching apparatus 80. This operation is sequentially repeated to remove all the natural oxide films of the plurality of wafers 20 in the stocker 71, and then close the gate valve 51.

次に排気管40bによって真空引きされた第1のロード
ロック室40のゲートバルブ52をオープンとしてストッカ
ー71に収納された複数枚のウエハ20を第1のロードロッ
ク室40内に設置したボート18へ移送する。エレベータ26
によりボート18の位置を変えながら順次ウエハ20を搬送
し所望枚数のウエハを搬送した後ゲートバルブ52をクロ
ーズする。キセノンランプ90を点灯しエレベータ26によ
りボート18に収納されたウエハ20をランプ90の側面に移
送しウエハ20を予備加熱することによりウエハ20表面に
吸着しているフッ素等のエッチング残留物と水分は除去
される。
Next, the gate valve 52 of the first load lock chamber 40 evacuated by the exhaust pipe 40b is opened, and the plurality of wafers 20 stored in the stocker 71 are transferred to the boat 18 installed in the first load lock chamber 40. Transfer. Elevator 26
The wafers 20 are sequentially transferred while changing the position of the boat 18 to transfer a desired number of wafers, and then the gate valve 52 is closed. The xenon lamp 90 is turned on, the wafers 20 stored in the boat 18 are transferred to the side surfaces of the lamps 90 by the elevator 26, and the wafers 20 are preheated, so that etching residues such as fluorine and the like adsorbed on the surfaces of the wafers 20 and moisture are removed. Removed.

次にシャッター28をオープンとし、エレベータ26によ
りウエハ20が収納されたボート18をプロセスチューブ10
の均熱領域にローディングする。フランジ24によりプロ
セスチューブ10の下端開口部が密閉され排気管16を介し
て真空引きを行い、ガス導入管12より所定のプロセスガ
ス、例えばポリシリコン膜の場合にはSiH4を供給する。
Next, the shutter 28 is opened, and the boat 18 in which the wafer 20 is stored by the elevator 26 is moved to the process tube 10.
Loading in the soaking area. The lower end opening of the process tube 10 is sealed by the flange 24, and a vacuum is drawn through the exhaust pipe 16, and a predetermined process gas, for example, SiH 4 in the case of a polysilicon film, is supplied from the gas introduction pipe 12.

ヒータ14によりプロセスチューブ10は所定のプロセス
温度、例えばポリシリコン膜の場合、500〜700℃に設定
してあり、プロセスガスを流すことによりウエハ20上に
成膜が行なわれる。
The process tube 10 is set to a predetermined process temperature by the heater 14, for example, 500 to 700 ° C. in the case of a polysilicon film, and a film is formed on the wafer 20 by flowing a process gas.

シリコン窒化膜を成膜する場合には650〜850℃に設定
し、SiH2Cl2とNH3ガスを導入する。また、酸化や拡散を
行う場合は800〜1200℃に設定し、O2,N2その他プロセ
スガスを流す。プロセスチューブ10内部での成膜工程が
終了した後、ボートエレベータ26の駆動によりボート18
のアンローディングを実施する。
When forming a silicon nitride film, the temperature is set at 650 to 850 ° C., and SiH 2 Cl 2 and NH 3 gas are introduced. When performing oxidation or diffusion, the temperature is set to 800 to 1200 ° C., and O 2 , N 2 and other process gases are flowed. After the film forming process inside the process tube 10 is completed, the boat 18 is driven by the boat elevator 26.
Unloading is performed.

第1のロードロック室でウエハ20は所望の温度例えば
50℃以下になるまで待機する。勿論、強制冷却しても良
い。例えばガス導入管40から非酸化性の不活性ガス例え
ばN2を流しウエハ20の冷却時間を早くする。この時の圧
力は数Torr程度も効果がある。
In the first load lock chamber, the wafer 20 is heated to a desired temperature, for example,
Wait until the temperature drops below 50 ° C. Of course, forced cooling may be used. For example, a non-oxidizing inert gas, such as N 2, is passed from the gas inlet tube 40 to shorten the cooling time of the wafer 20. The pressure at this time is as effective as about several Torr.

第2のロードロック室42、第3のロードロック室44も
N2でパージして第1のロードロック室と圧力が等しくな
った後、ゲートバルブ52,54をオープンする。
The second load lock chamber 42 and the third load lock chamber 44 are also provided.
After the first load lock chamber and the pressure was purged with N 2 is equal, to open the gate valve 52.

ボート18に収納されたウエハ20を搬送アーム60により
順次ストッカー72へ移送する。
The wafers 20 stored in the boat 18 are sequentially transferred to the stocker 72 by the transfer arm 60.

次にゲートバルブ54をクローズとした後、N2ガスをさ
らにパージし第3のロードロック室44内の圧力が大気圧
と等しくなった後、ゲートバルブ56をオープンにする。
ストッカー72に収納されたウエハ20を搬送アーム62によ
り順次カセット74〜78へ移送し1バッチ処理が終了する
ことになる。
Next, after the gate valve 54 and closed after the pressure in the third load lock chamber 44 and further purge with N 2 gas is equal to the atmospheric pressure, opening the gate valve 56.
The wafers 20 stored in the stocker 72 are sequentially transferred to the cassettes 74 to 78 by the transfer arm 62, thereby completing one batch process.

この一連にプロセスによりウエハ20上の自然酸化膜を
ドライエッチング装置80で除去した後、ウエハ20が空気
と接触することなく連続して膜生成を行なうことができ
る。また、ドライエッチング後のフッ素等の残留物を成
膜前にランプ90により加熱除去することもできる。
After the natural oxide film on the wafer 20 is removed by the dry etching apparatus 80 by this series of processes, the film can be continuously formed without the wafer 20 coming into contact with air. In addition, residues such as fluorine after dry etching can be removed by heating with a lamp 90 before film formation.

搬送アーム60,62に搭載された2つのフォーク63,64は
膜生成前にはフォーク63を用い、膜生成後にはフォーク
64を用いるようにしてクロスコンタミをさけるようにす
ることが望ましい。また、ストッカー71は膜生成前に用
い、ストッカー72は膜生成後に用いてクロスコンタミを
さけることが望ましい。
The two forks 63, 64 mounted on the transfer arms 60, 62 use the fork 63 before film formation, and use the fork 63 after film formation.
It is desirable to use 64 to avoid cross contamination. Further, it is desirable to use the stocker 71 before film formation and the stocker 72 after film formation to avoid cross contamination.

熱処理後のウエハ20をエレベータ26でアンロードした
時、加熱されたウエハ20からの輻射熱で第1のロードロ
ック室40が加熱されるため、配管91に冷却水を流し冷却
する。また、メンテナンス等で第1のロードロック室40
を大気開放した場合、ロードロック室内に空気中の水分
等が付着し所望の真空度を短時間に得ることができな
い。そのため配管91に温水を流しロードロック室40をベ
ーキングしても良い。
When the wafer 20 after the heat treatment is unloaded by the elevator 26, the radiant heat from the heated wafer 20 heats the first load lock chamber 40. In addition, the first load lock chamber 40 is used for maintenance or the like.
When the air conditioner is opened to the atmosphere, moisture and the like in the air adhere to the load lock chamber, and a desired degree of vacuum cannot be obtained in a short time. Therefore, the load lock chamber 40 may be baked by flowing hot water through the pipe 91.

さらに成膜時にプロセスチューブ10、石英ボート18、
保温筒22等の付着した不用なプロセス生成物を除去する
ため、プロセスチューブ10にNF3等のドライエッチング
用ガスを供給し、縦型熱処理部のクリーニングを行うこ
とができる。
In addition, process film 10, quartz boat 18,
A dry etching gas such as NF 3 is supplied to the process tube 10 in order to remove unnecessary process products attached to the heat retaining cylinder 22 and the like, so that the vertical heat treatment section can be cleaned.

尚、本発明は上記実施例に限定されるものではなく、
本発明の要旨の範囲内で種々の変形実施が可能である。
The present invention is not limited to the above embodiment,
Various modifications can be made within the scope of the present invention.

本発明の他の実施例として第4図に示すよな連続処理
装置がある。
Another embodiment of the present invention is a continuous processing apparatus as shown in FIG.

第2のロードロック室42と第3のロードロック室44の
間に第4のロードロック室43A,43Bを設け、処理装置91,
92を連結させるようにしたものである。
Fourth load lock chambers 43A, 43B are provided between the second load lock chamber 42 and the third load lock chamber 44, and the processing devices 91,
92 are linked.

ここで処理装置91,92は成膜装置とすればキャパシタ
のO−N−O膜を生成させることができる。また処理装
置91を膜厚測定器、ゴミ検査装置、リン濃度測定器等に
置き換えてインライン計測モニターを行うこともでき
る。
Here, if the processing devices 91 and 92 are film forming devices, they can generate an ONO film of a capacitor. Further, the processing device 91 may be replaced with a film thickness measuring device, a dust inspection device, a phosphorus concentration measuring device, or the like to perform in-line measurement monitoring.

また他の実施例としては第5図に示すように、第3の
ロードロック室44を2つに分けて独立したロードロック
室44a,44bとすることにより、一方のロードロック室44a
に搬送アーム62によってウエハ20の搬入を行っている
時、他のロック室44bは搬送アーム60によってウエハ20
の搬出を行い、ウエハの移載時間を大幅に短縮すること
ができる。
In another embodiment, as shown in FIG. 5, the third load lock chamber 44 is divided into two independent load lock chambers 44a and 44b, so that one load lock chamber 44a
When the wafer 20 is being carried in by the transfer arm 62, the other lock chamber 44b is moved by the transfer arm 60 to the wafer 20.
And the transfer time of the wafer can be greatly reduced.

さらに図示しない光学式センサーを用いた枚葉式オリ
フラ合せ機構をロードロック室44a,44bに内蔵させ、オ
リフラが整った状態でウエハ20を処理用ボート18に収納
し、所定の熱処理を行うことによりバラツキの少ない所
望の熱処理をウエハ20に行うことができるし、熱処理後
のウエハ20をカセット74〜78に収納した場合、カセット
74〜78に対してオリフラが整った状態で収納することが
できる。
Furthermore, a single-wafer type orientation flat aligning mechanism using an optical sensor (not shown) is built in the load lock chambers 44a and 44b, and the wafer 20 is stored in the processing boat 18 with the orientation flat, and a predetermined heat treatment is performed. A desired heat treatment with less variation can be performed on the wafer 20, and when the heat-treated wafer 20 is stored in the cassettes 74 to 78,
74-78 can be stored with the orientation flat.

尚、オリフラ合せ機構は第3のロードロック室44以外
に設けても良く、例えば第2のロードロック室43内でも
良いし、またカセット74〜78の設置場所に設けても良
い。ロードロック室44にウエハ20を搬入搬出する際、ロ
ードロック室44aを搬入専用、ロードロック室44bを搬出
専用として用いることにより、ウエハ20に対するクロス
コンタミを防止することができる。
The orientation flat aligning mechanism may be provided in a place other than the third load lock chamber 44, for example, in the second load lock chamber 43, or may be provided in a place where the cassettes 74 to 78 are installed. When loading and unloading the wafer 20 into and from the load lock chamber 44, cross contamination of the wafer 20 can be prevented by using the load lock chamber 44a exclusively for loading and the load lock chamber 44b exclusively for unloading.

また他の実施例としては第6図に示すように第1のロ
ードロック室40′を設け、エレベータ26′を上下移動お
よびエレベータ軸を中心として回転できる構成にして、
押え機構92によってボート18の上端を押え、位置合せ後
第2のロードロック室42からウエハ20を移し換えるよう
にしている。
As another embodiment, as shown in FIG. 6, a first load lock chamber 40 'is provided so that the elevator 26' can move up and down and rotate about the elevator shaft.
The upper end of the boat 18 is held down by the holding mechanism 92, and after positioning, the wafer 20 is transferred from the second load lock chamber 42.

第1のロードロック室40′の左側でウエハ20の搬入搬
出を行った後、エレベータ26′を下げ、エレベータを回
転しウエハ20をプロセスチューブ10の下側に移動した
後、プロセスチューブ10へウエハを搬入する。このよう
な構成としているため、プロセスチューブ10上端からロ
ードロック室40′下端までの装置全高を低くすることが
できる。
After loading and unloading the wafer 20 on the left side of the first load lock chamber 40 ', the elevator 26' is lowered, the elevator is rotated to move the wafer 20 to the lower side of the process tube 10, and then the wafer is transferred to the process tube 10. Carry in. With this configuration, the overall height of the apparatus from the upper end of the process tube 10 to the lower end of the load lock chamber 40 'can be reduced.

またボート18上端を押え機構92で保持しているためボ
ート18の位置精度を高くすることができ、ウエハ20を正
確に移し換えることができる。
Further, since the upper end of the boat 18 is held by the holding mechanism 92, the positional accuracy of the boat 18 can be increased, and the wafers 20 can be transferred accurately.

なお、本発明は必ずしもCVD等の成膜処理装置に適用
するものに限らず、酸化や拡散等の処理装置について適
用した場合にも、ポーラスで膜質の悪い余分な自然酸化
膜の形成を除去できるため、半導体素子の歩留まりの向
上を図ることが可能となる。自然酸化膜除去装置は自然
酸化膜を除去すれば良く、プラズマエッチングやアッシ
ング機構でも良い。
Note that the present invention is not necessarily applied to a film forming apparatus such as a CVD apparatus, and even when applied to a processing apparatus such as an oxidation apparatus or a diffusion apparatus, it is possible to eliminate the formation of an extra natural oxide film having poor quality in a porous state. Therefore, the yield of semiconductor elements can be improved. The natural oxide film removing device only needs to remove the natural oxide film, and may be a plasma etching or ashing mechanism.

〔発明の効果〕〔The invention's effect〕

本発明によれば、本来の熱処理工程前に被処理体上の
自然酸化膜を除去し、その後被処理体を非酸化雰囲気中
を通じて縦型熱処理部内に搬入しているため、自然酸化
膜が実質存在しない被処理体の表面に熱処理を施すこと
ができる。従って、例えばポリシリコン膜の成膜処理装
置にあたっては下地シリコン部分とのコンタクト抵抗を
下げることが可能となり、歩留まりの向上を図ることが
可能となる。また加熱ランプを設ければ、自然酸化膜除
去処理時の残留物を被処理体から除去できる。
According to the present invention, the natural oxide film on the object to be processed is removed before the original heat treatment step, and then the object is carried into the vertical heat treatment section through a non-oxidizing atmosphere. Heat treatment can be performed on the surface of the object that does not exist. Therefore, for example, in a polysilicon film forming apparatus, the contact resistance with the underlying silicon portion can be reduced, and the yield can be improved. In addition, if a heating lamp is provided, a residue at the time of the natural oxide film removal treatment can be removed from the object.

【図面の簡単な説明】[Brief description of the drawings]

第1図は本発明を実施する縦型CVD装置の概略説明図、
第2図は実施例装置に適用されたロードロック室を説明
するための概略説明図、第3図は実施例における枚葉式
ドライエッチング部の概略説明図、第4図,第5図,第
6図は本発明の変形例を説明するための概略説明図であ
る。 10…縦型処理容器、12…ガス導入管、14…ヒータ、18…
ボート、20…被処理体(ウエハ)、26…ボートエレベー
タ、40,42,44…ロードロックチャンバ、51〜56…ゲート
バルブ、60,62…搬送アーム、71,72…ストッカー、74〜
78…カセット、80…ドライエッチング部、90…ランプ。
FIG. 1 is a schematic explanatory view of a vertical CVD apparatus for carrying out the present invention,
FIG. 2 is a schematic explanatory view for explaining a load lock chamber applied to the apparatus of the embodiment, FIG. 3 is a schematic explanatory view of a single-wafer type dry etching section in the embodiment, FIG. 4, FIG. FIG. 6 is a schematic explanatory diagram for explaining a modified example of the present invention. 10 ... vertical processing vessel, 12 ... gas introduction pipe, 14 ... heater, 18 ...
Boat, 20: Workpiece (wafer), 26: Boat elevator, 40, 42, 44: Load lock chamber, 51-56: Gate valve, 60, 62: Transfer arm, 71, 72: Stocker, 74-
78 ... cassette, 80 ... dry etching part, 90 ... lamp.

Claims (4)

(57)【特許請求の範囲】(57) [Claims] 【請求項1】処理用ボートに収納された複数枚の被処理
体に対して所定の熱処理を行う縦型熱処理部と、この熱
処理部で処理される被処理体が搬入搬出される非酸化雰
囲気とされるロードロック室と、このロードロック室に
気密に結合され、熱処理前の被処理体に対して一枚づつ
自然酸化膜の除去処理をする自然酸化膜除去装置とを具
備したことを特徴とする縦型熱処理装置。
1. A vertical heat treatment section for performing a predetermined heat treatment on a plurality of objects to be processed stored in a processing boat, and a non-oxidizing atmosphere in which the objects to be processed in the heat treatment section are carried in and out. And a natural oxide film removing device which is hermetically connected to the load lock chamber and removes a natural oxide film one by one from the object to be processed before the heat treatment. Vertical heat treatment apparatus.
【請求項2】自然酸化膜除去装置による除去処理時の残
留物を被処理体から除去するために処理用ボート内の被
処理体を加熱する加熱ランプをロードロック室に設けた
ことを特徴とする請求項1記載の縦型熱処理装置。
2. A load lock chamber is provided with a heating lamp for heating an object to be processed in a processing boat in order to remove a residue from the object to be processed during the removal processing by the natural oxide film removing apparatus. The vertical heat treatment apparatus according to claim 1.
【請求項3】処理用ボートに収納された複数枚の被処理
体に対して所定の熱処理を行う縦型熱処理部と、 この縦型熱処理部の下方領域であって、処理用ボートの
昇降領域を気密に囲むように設けられた第1のロードロ
ック室と、 この第1のロードロック室に気密に結合された第2のロ
ードロック室と、 この第2のロードロック室と大気側との間に介設され、
複数枚の被処理体を収納する被処理体収納部を配置した
第3のロードロック室と、 前記第2のロードロック室内に設けられ、前記処理用ボ
ートと前記被処理体収納部との間で被処理体の搬送を行
う被処理体搬送機構と、 前記第2のロードロック室に気密に結合され、熱処理前
の被処理体に対して一枚づつ自然酸化膜の除去処理をす
る自然酸化膜除去装置と、を備え、 前記各ロードロック室は非酸化雰囲気とされることを特
徴とする縦型熱処理装置。
3. A vertical heat treatment section for performing a predetermined heat treatment on a plurality of objects to be processed accommodated in a processing boat, and a lower area of the vertical heat treatment section, wherein a vertical movement area of the processing boat is provided. A first load lock chamber provided so as to hermetically surround the second load lock chamber, and a second load lock chamber airtightly coupled to the first load lock chamber. Interposed between
A third load lock chamber in which a processing object storage section for storing a plurality of processing objects is disposed; and a third load lock chamber provided in the second load lock chamber, between the processing boat and the processing object storage section. An object transfer mechanism for transferring an object to be processed, and a natural oxidation that is airtightly coupled to the second load lock chamber and removes a natural oxide film on the object before heat treatment one by one. And a film removing device, wherein each of the load lock chambers is in a non-oxidizing atmosphere.
【請求項4】自然酸化膜除去装置による除去処理時の残
留物を被処理体から除去するために処理用ボート内の被
処理体を加熱する加熱ランプを第1のロードロック室に
設けたことを特徴とする請求項3記載の縦型熱処理装
置。
4. A heating lamp is provided in the first load lock chamber for heating the object in the processing boat in order to remove the residue from the object during the removal treatment by the natural oxide film removing device. The vertical heat treatment apparatus according to claim 3, wherein:
JP2013294A 1990-01-23 1990-01-23 Vertical heat treatment equipment Expired - Lifetime JP2759368B2 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2013294A JP2759368B2 (en) 1990-01-23 1990-01-23 Vertical heat treatment equipment

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2013294A JP2759368B2 (en) 1990-01-23 1990-01-23 Vertical heat treatment equipment

Publications (2)

Publication Number Publication Date
JPH03218017A JPH03218017A (en) 1991-09-25
JP2759368B2 true JP2759368B2 (en) 1998-05-28

Family

ID=11829173

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2013294A Expired - Lifetime JP2759368B2 (en) 1990-01-23 1990-01-23 Vertical heat treatment equipment

Country Status (1)

Country Link
JP (1) JP2759368B2 (en)

Families Citing this family (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH07101675B2 (en) * 1991-05-01 1995-11-01 国際電気株式会社 Vertical diffusion / CVD equipment
JP2573429Y2 (en) * 1991-12-20 1998-05-28 光洋リンドバーグ株式会社 Semiconductor heat treatment equipment
JP3794816B2 (en) * 1998-03-09 2006-07-12 株式会社アルバック Vacuum heat treatment method
JP2006134901A (en) * 2002-12-19 2006-05-25 Hitachi Kokusai Electric Inc Substrate processing equipment
JP3741283B2 (en) * 2003-03-10 2006-02-01 学校法人関西学院 Heat treatment apparatus and heat treatment method using the same
JP4418879B2 (en) * 2003-03-10 2010-02-24 学校法人関西学院 Heat treatment apparatus and heat treatment method
JP4893939B2 (en) * 2006-11-10 2012-03-07 セイコーエプソン株式会社 Heat treatment apparatus and heat treatment method for manufacturing object, and method for manufacturing piezoelectric device
JP4557960B2 (en) * 2006-12-18 2010-10-06 株式会社アルバック Batch type vacuum processing equipment
JP6097973B2 (en) * 2012-05-10 2017-03-22 株式会社昭和真空 Vacuum device with rotation introduction mechanism

Family Cites Families (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS63157870A (en) * 1986-12-19 1988-06-30 Anelva Corp Substrate treatment device
JPS63177426A (en) * 1987-01-17 1988-07-21 Oki Electric Ind Co Ltd Vapor growth method and apparatus
JPS63153537U (en) * 1987-03-27 1988-10-07

Also Published As

Publication number Publication date
JPH03218017A (en) 1991-09-25

Similar Documents

Publication Publication Date Title
KR0155158B1 (en) Vertical wafer treatment apparatus and the method
US5303671A (en) System for continuously washing and film-forming a semiconductor wafer
US8623765B2 (en) Processed object processing apparatus, processed object processing method, pressure control method, processed object transfer method, and transfer apparatus
TWI424496B (en) Method of fabrication semiconductor device
US8123858B2 (en) Manufacturing method of semiconductor device and substrate processing apparatus
JPH04504929A (en) Method for depositing layers on a substrate and processing system for this purpose
JPH05218176A (en) Heat treatment and transfer of article to be treated
JPH05275519A (en) Multi-chamber type substrate treating device
JP2759368B2 (en) Vertical heat treatment equipment
JP3050354B2 (en) Processing method
JPH113861A (en) Method and device for manufacturing semiconductor device
JP2909481B2 (en) Processing method of object to be processed in vertical processing apparatus
US20220364228A1 (en) Cleaning method and substrate processing apparatus
JP3207402B2 (en) Semiconductor heat treatment apparatus and semiconductor substrate heat treatment method
JP3188956B2 (en) Film processing equipment
JP4876337B2 (en) Processing system
JP2744933B2 (en) Vertical processing equipment and processing equipment
JP3200460B2 (en) Film processing equipment
JP2008028307A (en) Manufacturing method of substrate and heat treatment equipment
JP2744934B2 (en) Vertical processing equipment
JP3102826B2 (en) Substrate processing equipment
JPH04188721A (en) Vertical heat treatment apparatus
JP2001308085A (en) Heat-treating method
JP7175151B2 (en) Conveying method
JP2004011005A (en) Treatment apparatus treatment method

Legal Events

Date Code Title Description
R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

EXPY Cancellation because of completion of term