JP2024519207A - 高アスペクト比特徴における金属エッチング - Google Patents

高アスペクト比特徴における金属エッチング Download PDF

Info

Publication number
JP2024519207A
JP2024519207A JP2023567238A JP2023567238A JP2024519207A JP 2024519207 A JP2024519207 A JP 2024519207A JP 2023567238 A JP2023567238 A JP 2023567238A JP 2023567238 A JP2023567238 A JP 2023567238A JP 2024519207 A JP2024519207 A JP 2024519207A
Authority
JP
Japan
Prior art keywords
containing precursor
fluorine
etching
plasma
secondary gas
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2023567238A
Other languages
English (en)
Inventor
パイウェイ ワン,
シアオリン シー. チェン,
ロハン プリゴル レッディ,
オリバー ジャン,
ジェンジアン ツイ,
アンチョアン ワン,
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2024519207A publication Critical patent/JP2024519207A/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32138Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only pre- or post-treatments, e.g. anti-corrosion processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/30Electron-beam or ion-beam tubes for localised treatment of objects
    • H01J37/305Electron-beam or ion-beam tubes for localised treatment of objects for casting, melting, evaporating or etching
    • H01J37/3053Electron-beam or ion-beam tubes for localised treatment of objects for casting, melting, evaporating or etching for evaporating or etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/10Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including a plurality of individual components in a repetitive configuration
    • H01L27/102Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including a plurality of individual components in a repetitive configuration including bipolar components
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/30EEPROM devices comprising charge-trapping gate insulators characterised by the memory core region
    • H10B43/35EEPROM devices comprising charge-trapping gate insulators characterised by the memory core region with cell select transistors, e.g. NAND
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B41/00Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates
    • H10B41/20Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels
    • H10B41/23Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels
    • H10B41/27Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels the channels comprising vertical portions, e.g. U-shaped channels
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/20EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels
    • H10B43/23EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels
    • H10B43/27EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels the channels comprising vertical portions, e.g. U-shaped channels

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • ing And Chemical Polishing (AREA)

Abstract

例示的なエッチング方法は、フッ素含有前駆体および2次ガスを半導体処理チャンバの処理領域内に流すことを含み得る。2次ガスは、酸素もしくは窒素であるか、または酸素もしくは窒素を含み得る。フッ素含有前駆体と2次ガスとの流量比は、約1:1以上であり得る。方法は、基板をフッ素含有前駆体および2次ガスと接触させることを含み得る。基板は、露出した金属を含み得る。基板は、高アスペクト比構造体を画定し得る。方法は、高アスペクト比構造体内の露出した金属をエッチングすることを含み得る。【選択図】図4

Description

関連出願の相互参照
本出願は、2021年5月4日に出願された「METAL ETCH IN HIGH ASPECT-RATIO FEATURES」という名称の米国非仮出願第17/307,636号の利益および優先権を主張するものであり、当該出願の内容全体は、あらゆる目的のために参照により本明細書に組み込まれる。
本技術は、半導体プロセスおよび半導体機器に関する。より詳細には、本技術は、高アスペクト比特徴内のエッチングに関する。
集積回路は、基板表面上に複雑なパターンの材料層を作成するプロセスによって可能になる。基板上にパターニングされた材料を作成するには、露出した材料を除去するための制御された方法が必要である。化学エッチングは、フォトレジスト中のパターンを下層の中に転写すること、層を薄くすること、または表面上にすでに存在する特徴の横方向の寸法を薄くすることを含む、様々な目的に使用される。多くの場合、ある材料を別の材料よりも迅速にエッチングして、例えばパターン転写プロセスを容易にするエッチングプロセスを有することが望ましい。そのようなエッチングプロセスは、第1の材料に対して選択的であると言われる。材料、回路、およびプロセスの多様性の結果として、エッチングプロセスは、様々な材料に対して選択性を有するように開発されてきた。
エッチングプロセスは、プロセスで使用される材料に基づいて、湿式またはドライと呼ばれ得る。湿式HFエッチングは、他の誘電体および材料よりも酸化ケイ素を優先的に除去する。しかしながら、湿式プロセスは、一部の制約のあるトレンチに浸透することが困難な場合があり、時には、残存する材料を変形させることもある。基板処理領域内に形成された局所プラズマにおいて行われるドライエッチングは、より制約のあるトレンチに浸透することができ、壊れやすい残存する構造体の変形がより少なくなる。しかしながら、局所プラズマは、局所プラズマが放電する際のアークの発生により、基板に損傷を与え得る。
したがって、高品質のデバイスおよび構造体を作成するために使用され得る改良されたシステムおよび方法が必要とされている。本技術は、これらの必要性および他の必要性に対処する。
例示的なエッチング方法は、フッ素含有前駆体および2次ガスを半導体処理チャンバの処理領域内に流すことを含み得る。2次ガスは、酸素もしくは窒素であるか、または酸素もしくは窒素を含み得る。フッ素含有前駆体と2次ガスとの流量比は、約1:1以上であり得る。方法は、基板をフッ素含有前駆体および2次ガスと接触させることを含み得る。基板は、露出した金属を含み得る。基板は、高アスペクト比構造体を画定し得る。方法は、高アスペクト比構造体内の露出した金属をエッチングすることを含み得る。
いくつかの実施形態では、方法は、フッ素含有前駆体および2次ガスのプラズマを形成することを含み得る。処理領域内の温度は、約500℃以下に維持され得る。高アスペクト比構造体は、3D NAND構造体内のメモリ孔であるか、またはメモリ孔を含み得る。露出した金属は、メモリ孔に対して垂直に形成された凹部内に横方向に延在し得る。方法は、露出した金属をエッチングした後、半導体処理チャンバの処理領域をパージすることを含み得る。方法は、酸素含有前駆体のプラズマを形成することを含み得る。方法は、露出した金属を酸素含有前駆体のプラズマ放出物と接触させて酸化金属を作成することを含み得る。フッ素含有前駆体は、第1のフッ素含有前駆体であり得る。方法は、第2のフッ素含有前駆体を処理領域内に流すことを含み得る。方法は、酸化金属を第2のフッ素含有前駆体と接触させることを含み得る。酸化金属を第2のフッ素含有前駆体と接触させている間、処理領域はプラズマフリーに維持され得る。方法は、約1Torrから約50Torrの間のチャンバ動作圧力で実行され得る。方法は、露出した金属をエッチングした後、塩素含有前駆体を処理領域内に流すことを含み得る。塩素含有前駆体は、残留フッ素を取り除き得る。高アスペクト比構造体内の露出した金属をエッチングした後、上部から底部への負荷値(Loading value)は約1.5以下であり得る。
本技術のいくつかの実施形態は、エッチング方法を包含し得る。方法は、第1のフッ素含有前駆体および2次ガスを半導体処理チャンバの処理領域内に流すことを含み得る。方法は、基板を第1のフッ素含有前駆体および2次ガスと接触させることを含み得る。基板は、露出した金属を含み得る。基板は、3D NAND構造体内のメモリ孔を画定し得る。露出した金属は、メモリ孔に対して垂直に形成された凹部内に横方向に延在し得る。方法は、メモリ孔内の露出した金属をエッチングすることを含み得る。方法は、酸素含有前駆体のプラズマを形成することを含み得る。方法は、露出した金属を酸素含有前駆体のプラズマ放出物と接触させて酸化金属を作成することを含み得る。方法は、第2のフッ素含有前駆体を半導体処理チャンバの処理領域内に流すことを含み得る。方法は、酸化金属を除去することを含み得る。
いくつかの実施形態では、2次ガスは、酸素または窒素を含み得る。第1のフッ素含有前駆体と2次ガスとの流量比は、約1:1以上であり得る。方法は、第1のフッ素含有前駆体および2次ガスのプラズマを形成することを含み得る。半導体処理チャンバ内の温度は、約200℃から約500℃の間に維持され得る。半導体処理チャンバ内の圧力は、約1Torrから約50Torrの間に維持され得る。第2のフッ素含有前駆体を半導体処理チャンバの処理領域内に流している間、処理領域はプラズマフリーに維持され得る。方法は、露出した金属をエッチングした後、塩素含有前駆体を処理領域内に流すことを含み得る。塩素含有前駆体は、露出した金属から残留フッ素を取り除き得る。
本技術のいくつかの実施形態は、エッチング方法を包含し得る。方法は、第1のフッ素含有前駆体および2次ガスを半導体処理チャンバの処理領域内に流すことを含み得る。2次ガスは、酸素または窒素を含み得る。方法は、基板を第1のフッ素含有前駆体および2次ガスと接触させることを含み得る。基板は、露出した金属を含み得、基板は、高アスペクト比構造体を画定し得る。方法は、高アスペクト比構造体内の露出した金属をエッチングすることを含み得る。方法は、露出した金属を酸素含有前駆体と接触させて酸化金属を作成することを含み得る。方法は、第2のフッ素含有前駆体を半導体処理チャンバの処理領域内に流すことを含み得る。方法は、酸化金属を取り除くことを含み得る。方法は、約500℃以下のチャンバ動作温度で実行され得る。
このような技術は、従来のシステムおよび技法に比べて多くの利点を提供し得る。例えば、本プロセスは、高アスペクト比特徴から金属を除去することを可能にし得る。さらに、本プロセスは、エッチングプロセスの実行中に様々な側壁のプロファイルをもたらし得る。これらの実施形態および他の実施形態、ならびにその利点および特徴の多くについて、以下の説明および添付の図を併用してより詳細に説明する。
明細書の残りの部分および図面を参照することによって、開示された技術の性質および利点がさらに理解され得る。
本技術のいくつかの実施形態による例示的な処理システムの一実施形態の上面図である。 本技術のいくつかの実施形態による例示的な処理チャンバの概略断面図である。 本技術のいくつかの実施形態による図2Aに示される処理チャンバの一部分の詳細図である。 本技術のいくつかの実施形態による例示的なシャワーヘッドの底面図である。 本技術のいくつかの実施形態による方法における例示的な動作を示す図である。 本技術のいくつかの実施形態による処理中の基板の断面図である。
図のいくつかは、概略図として含まれている。図は例示を目的としており、縮尺通りであると明記されていない限り、縮尺通りであるとみなされるべきではないことを理解されたい。さらに、概略図として、図は、理解を助けるために提供されており、現実的な表現と比較してすべての態様または情報を含むものではなく、例示を目的として誇張された材料を含み得る。
添付の図では、類似の構成要素および/または特徴は、同じ参照ラベルを有し得る。さらに、同じタイプの様々な構成要素は、参照ラベルの後に類似の構成要素間を区別する文字が続くことによって区別され得る。明細書において第1の参照ラベルのみが使用されている場合、その説明は、文字に関係なく、同じ第1の参照ラベルを有する類似の構成要素のいずれかに適用可能である。
2D NANDから3D NANDへの移行において、多くのプロセス動作が垂直動作から水平動作に変更される。さらに、3D NAND構造体で形成されるセルの数が増加するにつれて、メモリ孔および他の構造体のアスペクト比が、時には劇的に増加する。3D NAND処理中、プレースホルダ層と誘電体材料との積層体が、電極間誘電体層またはIPD層を形成し得る。これらのプレースホルダ層では、材料を完全に除去して金属に置き換える前に構造体を配置するために、様々な動作が実行され得る。構造体に沿ってかつ誘電体層の間にメモリセルの一部として金属が形成される、メタライゼーションが実行され得る。金属は、メモリ孔の側壁に沿って、凹んだ部分内に延在し得、メモリ孔構造体内の個々のセルを分離するために、後続のエッチングが実行され得る。
多くの従来技術は、これらの構造体を作成するためにエッチングプロセスを利用しており、このエッチングプロセスは、将来のプロセスノードでは適切に実行することが不可能であり得る。例えば、構造体内のセルの数が数百セルに増加すると、メモリ孔が数ミクロンの深さまで形成され得る。湿式エッチングの強力なエッチングにより、湿式エッチングは、構造体の底部がエッチング液によってアクセスされるよりかなり前に、構造体の上部に近い特徴をエッチングすることを開始し得る。さらに、小さいフォームファクタ構造体の湿式エッチングは、エッチング液の表面張力によりパターンの崩壊または変形をもたらし得る。湿式エッチング液を使用すると、トレンチまたは孔内に形成された残留物を除去するための後続の動作が必要になる場合もある。ドライエッチング技術も実行され得るが、同様の負荷の問題が生じ得る。例えば、トレンチ内の深部にアクセスするには時間がかかるため、構造体の上部近くですでにエッチングが発生している可能性がある。構造体の上部でエッチングされた金属の量と構造体の底部でエッチングされた金属の量との比は、上部から底部への負荷値として知られ、場合によっては約4以上になり得る。したがって、エッチングが完了する前または構造体の底部近くでセルが分離される前に、上部特徴がオーバーエッチングされ得る。
本技術は、使用されるエッチング液に保護ガスを組み込むことによって上部から底部への負荷値の制御を可能にし得るドライエッチングプロセスを実行することによって、これらの問題を克服する。保護ガスは、エッチングされる金属全体の部位を占有し得、エッチング液が高アスペクト比構造体に浸透する間に、構造体の上部近くのエッチングを制限または低減することができる。これにより、調整可能なエッチングプロファイルを構造体に沿って形成することが可能になり、構造体の深さに関係なくプロセスの均一性を高めることができるエッチングに対するさらなる制御を提供することができる。
残りの開示は、開示された技術を利用する特定のエッチングプロセスを規定通りに特定することになるが、システムおよび方法は、説明されたチャンバ内で行われ得る堆積プロセスおよび洗浄プロセスにも等しく適用可能であることが容易に理解されよう。したがって、本技術は、エッチングプロセスまたはチャンバのみでの使用に限定されるとみなされるべきではない。さらに、本技術の基礎を提供するために例示的なチャンバが説明されているが、本技術が、説明された動作を可能にし得る事実上任意の半導体処理チャンバに適用され得ることを理解されたい。
図1は、実施形態による、堆積、エッチング、ベーキング、および硬化チャンバの処理システム100の一実施形態の上面図を示す。図では、1対の前方開口型統一ポッド102が様々なサイズの基板を供給し、基板は、ロボットアーム104によって受け取られ、タンデムセクション109a~109c内に位置する基板処理チャンバ108a~108fのうちの1つの中に配置される前に、低圧保持エリア106に配置される。保持エリア106から基板処理チャンバ108a~108fに基板ウエハを搬送し、またその逆に基板ウエハを搬送するために、第2のロボットアーム110が使用され得る。各基板処理チャンバ108a~108fは、周期的層堆積、原子層堆積、化学気相堆積、物理的気相堆積、エッチング、前洗浄、ガス抜き、配向、および他の基板プロセスに加えて、本明細書で説明されるドライエッチングプロセスを含むいくつかの基板処理動作を実行するように装備され得る。
基板処理チャンバ108a~108fは、基板ウエハ上に誘電体膜を堆積、アニーリング、硬化および/またはエッチングするための1つまたは複数のシステム構成要素を含み得る。一構成では、2対の処理チャンバ、例えば108c~108dおよび108e~108fは、基板上に誘電体材料を堆積するために使用され得、第3の対の処理チャンバ、例えば108a~108bは、堆積された誘電体をエッチングするために使用され得る。別の構成では、3対のチャンバすべて、例えば108a~108fは、基板上の誘電体膜をエッチングするように構成され得る。説明されたプロセスのうちの任意の1つまたは複数は、異なる実施形態において、示された製造システムから分離された1つまたは複数のチャンバ内で実行され得る。誘電体膜のための堆積、エッチング、アニーリング、および硬化チャンバの追加の構成がシステム100によって企図されることが理解されよう。
図2Aは、処理チャンバ内に区画されたプラズマ生成領域を有する例示的なプロセスチャンバシステム200の断面図を示し、プロセスチャンバシステム200は、以下でさらに説明するプロセスを実行するように構成され得る。チタン窒化物、タンタル窒化物、タングステン、シリコン、ポリシリコン、酸化ケイ素、窒化ケイ素、酸窒化ケイ素、酸炭化ケイ素、または他の材料を含む膜のエッチング中、プロセスガスが、ガス入口アセンブリ205を通って第1のプラズマ領域215内に流され得る。遠隔プラズマシステム201が、任意選択的にシステムに含まれ、第1のガスを処理し得、第1のガスはその後、ガス入口アセンブリ205を通って移動する。入口アセンブリ205は、2つ以上の別個のガス供給チャネルを含み得、第2のチャネルが含まれる場合、第2のチャネルが、遠隔プラズマシステムユニット201をバイパスし得る。
冷却板203、面板217、イオンサプレッサ223、シャワーヘッド225、および上に基板255が配置されている基板支持体265が示されており、それぞれ、実施形態に従って含まれ得る。ペデスタル265は、中で熱交換流体が流れて基板の温度を制御する熱交換チャネルを有し得、熱交換チャネルは、処理動作中に基板またはウエハを加熱および/または冷却するように動作し得る。ペデスタル265のウエハ支持プラッタは、アルミニウム、セラミック、またはその組合せを含み得、また、約100℃以下から約1100℃以上までなどの比較的高い温度を達成するために、埋込み抵抗加熱器要素を使用して抵抗加熱され得る。
面板217は、角錐形、円錐形、または狭い上部が幅広の底部へ広がる別の同様の構造体のであり得る。面板217はさらに、図示のように平坦であり得、処理ガスを分配するために使用される複数の貫通チャネルを含み得る。第1のプラズマ領域215へのより均一なデリバリのために、RPS201の使用に応じてプラズマ生成ガスおよび/またはプラズマ励起種が、面板217内の図2Bに示された複数の孔を通過し得る。
例示的な構成は、ガス/種が面板217内の孔を通って第1のプラズマ領域215に流れるように、面板217によって第1のプラズマ領域215から区画されたガス供給領域258内に開口するガス入口アセンブリ205を有することを含み得る。構造体上および動作上の特徴は、第1のプラズマ領域215から供給領域258、ガス入口アセンブリ205、および流体供給システム210へのプラズマの大幅な逆流を防止するように選択され得る。面板217、すなわちチャンバの導電性上部、およびシャワーヘッド225は、それら特徴間に配置された絶縁リング220とともに示されており、絶縁リング220は、シャワーヘッド225および/またはイオンサプレッサ223に対して相対的に、面板217にAC電位が印加されることを可能にする。絶縁リング220は、面板217とシャワーヘッド225および/またはイオンサプレッサ223との間に位置し得、それにより容量結合プラズマが第1のプラズマ領域に形成されることを可能にする。ガス入口アセンブリ205を通って領域内に入る流体の流れに影響を与えるために、追加として、バッフルが、第1のプラズマ領域215内に配置されるか、または他の方法でガス入口アセンブリ205に結合され得る。いくつかの実施形態では、チャンバの周囲に延在するかまたはチャンバと流体連結する誘導結合プラズマ源を含む追加のプラズマ源、および追加のプラズマ発生システムが利用され得る。
イオンサプレッサ223は、構造体全体にわたって複数の開孔を画定する板または他の形状寸法を備え得、開孔は、非荷電の中性種またはラジカル種がイオンサプレッサ223を通過してサプレッサとシャワーヘッドとの間の活性化ガスデリバリ領域に入ることを可能にしながら、第1のプラズマ領域215から出るイオン荷電種の移動を抑制するように構成される。実施形態では、イオンサプレッサ223は、様々な開孔構成を有する多孔板を備え得る。これらの非荷電種は、反応性の低いキャリアガスとともに開孔を通って輸送される反応性の高い種を含み得る。上記のように、孔を通るイオン種の移動は低減され得、いくつかの場合には完全に抑制され得る。イオンサプレッサ223を通過するイオン種の量を制御することにより、下にあるウエハ基板と接触する混合ガスに対する制御を有利に向上させることができ、ひいては混合ガスの堆積および/またはエッチング特性の制御を向上させることができる。例えば、混合ガスのイオン濃度の調節は、そのエッチング選択性、例えばSiNx:SiOxエッチング比、Si:SiOxエッチング比などを大幅に変化させることができる。堆積が実行される代替実施形態では、誘電体材料の共形型堆積と流動型堆積とのバランスをシフトさせることもできる。
イオンサプレッサ223内の複数の開孔は、イオンサプレッサ223を通る活性化ガス、すなわちイオン種、ラジカル種、および/または中性種の通路を制御するように構成され得る。例えば、孔のアスペクト比、すなわち孔の長さに対する直径、および/または孔の形状寸法は、イオンサプレッサ223を通過する活性化ガス中のイオン荷電種の流れが減少するように制御され得る。イオンサプレッサ223内の孔は、プラズマ励起領域215に面するテーパ部分と、シャワーヘッド225に面する円筒部分とを含み得る。円筒部分は、シャワーヘッド225へと通過するイオン種の流れを制御するような形状および寸法であり得る。サプレッサを通るイオン種の流れを制御するための追加手段として、調節可能な電気バイアスがイオンサプレッサ223に印加され得る。
イオンサプレッサ223は、プラズマ生成領域から基板へ移動するイオン荷電種の量を低減または除去するように機能し得る。非荷電の中性種およびラジカル種は、基板と反応するように、さらにイオンサプレッサの開口を通過し得る。実施形態では、基板周囲の反応領域内のイオン荷電種の完全な除去が実行されない場合があることに留意されたい。特定の事例では、イオン種は、エッチングおよび/または堆積プロセスを実行するために基板に到達することが意図されている。このような事例では、イオンサプレッサは、反応領域内のイオン種の濃度を、プロセスを支援するレベルで制御するのに役立ち得る。
シャワーヘッド225をイオンサプレッサ223と組み合わせることで、第1のプラズマ領域215内に存在するプラズマが基板処理領域233内のガスを直接励起することを回避できると同時に、励起種がチャンバプラズマ領域215から基板処理領域233内へ移動することが可能になり得る。このようにして、チャンバは、エッチングされている基板255にプラズマが接触することを防止するように構成され得る。これは、有利には、生成されたプラズマによって直接接触された場合に損傷、位置ずれ、または歪みが生じ得る、基板上にパターン化された様々な複雑な構造体および膜を保護することができる。さらに、プラズマが基板に接触するか基板レベルに接近することが許容される場合、酸化物種がエッチングする際の速度が上昇し得る。したがって、材料の露出領域が酸化物である場合、プラズマを基板から離して維持することによって、この材料をさらに保護することができる。
処理システムはさらに、第1のプラズマ領域215または処理領域233にプラズマを生成するために面板217、イオンサプレッサ223、シャワーヘッド225、および/またはペデスタル265に電力を供給するための、処理チャンバに電気的に結合された電源240を含み得る。電源は、実行されるプロセスに応じて、調節可能な量の電力をチャンバに送るように構成され得る。このような構成により、実行されるプロセスにおいて調整可能なプラズマを使用することが可能となり得る。しばしばオンまたはオフ機能が提示される遠隔プラズマユニットとは異なり、調整可能なプラズマは、プラズマ領域215に特定の量の電力を送るように構成され得る。これにより、前駆体が特定の方法で解離されて、これらの前駆体によって作成されるエッチングプロファイルを強化されるような、特定のプラズマ特性の開発が可能となり得る。
プラズマは、シャワーヘッド225の上方のチャンバプラズマ領域215、またはシャワーヘッド225の下方の基板処理領域233のいずれかにおいて点火され得る。例えば、フッ素含有前駆体または他の前駆体の流入からラジカル前駆体を作成するために、プラズマがチャンバプラズマ領域215内に存在し得る。堆積中にチャンバプラズマ領域215内でプラズマを点火するために、面板217などの処理チャンバの導電性上部とシャワーヘッド225および/またはイオンサプレッサ223との間に、典型的には高周波(「RF」)帯域のAC電圧が印加され得る。RF電源は、13.56MHzの高RF周波数を生成し得るが、単独でまたは13.56MHzの周波数との組合せで他の周波数を生成し得る。
図2Bは、面板217を通る処理ガスの分配に影響を与える特徴の詳細図253を示す。図2Aおよび図2Bに示されるように、面板217、冷却板203、およびガス入口アセンブリ205が交差してガス供給領域258を画定し、ガス供給領域258内には、ガス入口205から処理ガスが送られ得る。ガスは、ガス供給領域258を満たし、面板217内の開孔259を通って第1のプラズマ領域215まで流れ得る。処理ガスが、処理領域233内に流れ得るが、面板217を横断した後にガス供給領域258内への逆流が部分的または完全に防止されるように、開孔259は、流れを実質的に一方向に向けるように構成され得る。
処理チャンバセクション200で使用されるシャワーヘッド225などのガス分配アセンブリは、デュアルチャネルシャワーヘッドと呼ばれることがあり、図3に記載の実施形態においてさらに詳細に説明される。デュアルチャネルシャワーヘッドは、処理領域233の外側でエッチング液の分離を可能にして、処理領域内に送る前にチャンバ部品および相互との限られた相互作用をもたらす、エッチングプロセスを提供し得る。
シャワーヘッド225は、上方プレート214および下方プレート216を備え得る。プレートは、プレート間に容積218を画定するように互いに結合され得る。プレートの結合により、上方プレートおよび下方プレートを通る第1の流体チャネル219と、下方プレート216を通る第2の流体チャネル221とが提供され得る。形成されたチャネルは、第2の流体チャネル221のみを介して、容積218から下方プレート216を通る流体アクセスを提供するように構成され得、第1の流体チャネル219は、プレートと第2の流体チャネル221との間で容積218から流体的に隔離され得る。容積218は、ガス分配アセンブリ225の側面を通じて流体的にアクセス可能であり得る。
図3は、実施形態による、処理チャンバで使用するシャワーヘッド325の底面図である。シャワーヘッド325は、図2Aに示すシャワーヘッド225に対応し得る。第1の流体チャネル219の外観を示す貫通孔365は、シャワーヘッド225を通る前駆体の流れを制御してその流れに影響を与えるために、複数の形状および構成を有し得る。第2の流体チャネル221の外観を示す小さな孔375は、貫通孔365の間でさえもシャワーヘッドの表面上に実質的に均一に分配され得、他の構成に比べて、前駆体がシャワーヘッドから出るときに前駆体のより均一な混合を提供するのに役立ち得る。
前述のチャンバは、エッチング方法を含む例示的な方法を実行する際に使用され得るが、任意の数のチャンバが、本技術の実施形態で使用される1つまたは複数の態様を実行するように構成され得る。図4を参照すると、本技術の実施形態による方法400における例示的な動作が示されている。方法400は、方法の開始前に、前端処理、堆積、エッチング、研磨、洗浄、または説明された動作の前に実行され得る任意の他の動作を含む1つまたは複数の動作を含み得る。方法は、本技術の実施形態による方法のいくつかの実施形態に特に関連する場合もあれば関連しない場合もある多数の任意選択的な動作を含み得る。例えば、動作の多くは、実行されるプロセスのより広い範囲を提供するために説明されているが、技術にとって必須ではなく、以下でさらに説明する代替方法によって実行され得る。方法400は、図5A~図5Cに概略的に示される動作を説明することができ、図5A~図5Cの例示は、方法400の動作と併せて説明される。図は部分的な概略図のみを例示しており、基板は、図に示されているような様々な特性および態様を有する任意の数の追加の材料および特徴を含み得ることを理解されたい。
方法400は、半導体構造体を特定の製造動作に合わせて開発するための任意選択的な動作を含む場合もあれば、含まない場合もある。方法400は、図5Aに示されるように、金属材料除去動作が実行され得る例示的な構造体を含む任意の数の半導体構造体または基板505上で実行され得ることを理解されたい。図5Aに示されるように、基板505は、基板の上に重なる複数の積層層を有し得、積層層は、シリコン、シリコンゲルマニウム、または他の基板材料であり得る。層は、酸化ケイ素であり得る誘電体材料510と、例えば窒化ケイ素またはポリシリコンであり得るプレースホルダ材料520との交互層による、IPD層を含み得る。プレースホルダ材料520は、後続の動作において個々のメモリセルを作成するために除去される材料であるか、またはその材料を含み得る。材料の7つの層のみで示されているが、例示的な構造体は、数百層の材料を含む任意の数の層を含むことができ、図は本技術の態様を例示するための概略図にすぎないことを理解されたい。トレンチ530は、メモリ孔または開孔とすることができ、積層構造体を通って基板505のレベルまで画定され得る。トレンチ530は、誘電体材料510とプレースホルダ材料520との交互層から構成され得る側壁によって画定され得る。例えば、側壁は、開孔またはメモリ孔の半径であり得る。単一のメモリ孔構造体のみが示されているが、例示的な基板は基板全体に任意の数のメモリ構造体を含み得ることを理解されたい。
プレースホルダ材料内に凹部が形成され得る後、構造体上に金属材料540が形成または堆積され得る。金属540は、図示されているように、構造体の周り、ならびにメモリ孔およびプレースホルダ材料内に形成された各凹部内に延在し得る。金属は、モリブデン、タングステン、または3D NANDもしくは他の半導体構造体で使用され得る他のいくつかの金属であり得る。次いで、基板は、上述のチャンバ200などの処理チャンバ内に設置され得、高アスペクト比特徴内の金属をエッチングするために方法400が実行され得る。例えば、本技術による特徴は、構造体の任意のアスペクト比または高さ幅比を特徴とし得るが、いくつかの実施形態において、材料は、より大きいアスペクト比を特徴とする場合があり、これにより、上記で説明された従来の技術または方法を利用した十分なエッチングが不可能になり得る。例えば、いくつかの実施形態では、非限定的な例として、メモリ孔などの例示的な構造体のアスペクト比は、約10:1以上、約20:1以上、約30:1以上、約40:1以上、約50:1以上、約100:1以上、またはそれより大きいアスペクト比であり得る。
方法400は、金属540の一部をエッチングするためにまたは他の方法で除去するために実行され得、これにより、図示のように金属を構造体の凹んだ部分に分離することができる。方法は、構造体全体のプロファイルの制御を容易にするとともに、構造体の凹んだセクション内の金属の表面平滑性などのエッチング特性を改善するために実行され得る。例えば、方法400は、動作405において、フッ素含有前駆体および保護ガスなどの2次ガスを、基板が保持されるチャンバの処理領域に流すことを含み得る。フッ素含有前駆体および2次ガスは、動作410において基板と接触し、動作415において高アスペクト比構造体内の金属をエッチングしてよい。図5Bに示されるように、金属540は、メモリ孔の側壁に沿って、また構造体全体の上面に沿ってトレンチ内に凹まされ得る。従来の技術は、V字形プロファイルに似た上部から底部への負荷を引き起こす可能性があり、上記で説明されたように構造体の上部でより多くの材料がエッチングされるが、本技術は、実質的にまたは本質的に直線のプロファイルおよび逆V字形プロファイルをもたらすことができ、構造体内のさらに奥にある材料は、構造体の上部の材料よりも多くエッチングされ得、これにより上部から底部への負荷値の範囲の形成が可能になり得る。
この制御を提供するために、本技術は、構造体の上部でのエッチングまたはエッチング速度を制限または低減するのに役立ち得る2次ガスを利用し得る。例えば、フッ素含有前駆体および2次ガスは、特徴内の金属と接触する前に、メモリ孔が形成される外側上面に沿って金属540にアクセスし得る。保護ガスであり得る2次ガスがない場合、構造体の底部近くでエッチングが始まるよりかなり前に、構造体の上部でエッチングが始まる可能性がある。しかしながら、2次ガスを組み込むことによって、金属540に沿った表面積または部位を2次ガス分子が占有することができ、これによりエッチング速度が低下し得る。例えば、目立たない場所でフッ素が金属と結合し続ける可能性があるが、これらの場所は2次ガスによって少なくとも部分的にブロックされ得る。モリブデンまたはタングステンなどの例示的な金属は、フッ素と1対1の除去特性を有していない可能性があり、代わりに、金属原子の除去前に3つ、4つ、または6つのフッ素原子が組み込まれ得る。したがって、保護ガスを利用することによって、フッ素と金属との間のこれらの相互作用を制御、低減、または制限することができ、これによりエッチング速度の制御を容易にすることができる。
しかしながら、2次ガスの流量比がフッ素含有前駆体に対して相対的に増加するにつれて、エッチング速度は低下し続ける可能性があり、最終的には、2次ガス分子が各場所でエッチングプロセスを中断し、さらなるエッチングを妨げることになる。したがって、いくつかの実施形態では、フッ素含有前駆体と2次ガスとの流量比は約1:1以上に維持され得、これにより、構造体の上部において、ある程度のエッチングが確実に進行するようにされ得る。例えば、フッ素含有前駆体と2次ガスとの流量比は、約1.2:1以上に維持され得、また、約1.4:1以上、約1.6:1以上、約1.8:1以上、約2.0:1以上、約2.2:1以上、約2.4:1以上、約2.6:1以上、約2.8:1以上、約3.0:1以上、約4.0:1以上、約5.0:1以上、約6.0:1以上、約7.0:1以上、約8.0:1以上、約9.0:1以上、約10.0:1以上、またはそれより大きく維持され得る。さらに、第1の流量比が使用され得、エッチングプロセスが進行するにつれて、第1の流量比は、エッチングプロセスが進行するにつれて、第1の流量比とは異なる第2の流量比へと調節され得る。いくつかの実施形態では、流動動作中、第1の流量比または第2の流量比のいずれかに対して、記載された比率のいずれか、または列挙された範囲内に包含される任意の比率が使用され得る。
いくつかの実施形態では、フッ素含有前駆体および/または2次ガスは、基板上の金属と接触する前にプラズマ強化され得る。プラズマは、処理チャンバの遠隔領域内で形成され得、または、局所的に形成され得る。基板レベルのプラズマが作成され得るが、いくつかの実施形態では、プラズマは、基板レベルのプラズマによって発生し得るイオン衝撃から露出した基板材料を保護し得る遠隔プラズマであり得る。プラズマ強化されたか否かにかかわらず、材料は、構造体の上部で金属540に接触し得、次いで、構造体を通ってメモリ孔内に流れ得る。エッチングプロセスは、横方向にまたメモリ孔の方向に対して垂直に延在する凹部に向かって金属が除去されるまで継続し得る。プロセスは、金属を各凹部内にさらに凹ませてメモリ孔を通してセルを分離するために継続され得るが、いくつかの実施形態では、方法400は、セルを完全に分離し、凹部内で横方向にエッチングするための2次エッチングプロセスを含み得る。メモリ孔が深さ数マイクロメートルまで延在し得るので、エッチング液は、構造体内により深く流れる、また凹んだ特徴内に横方向に流れるエネルギーを失う可能性があり、これによりエッチングがさらに遅くなり、いくつかの側面上で露出された誘電体材料の露出表面に対する選択性が低下し得る。したがって、第2の動作において、エッチング液は、第2の凹み動作を実行するように調節され得る。
例えば、処理領域は、第1のエッチング動作に続いて、パージされてもパージされなくてもよい。次いで、任意選択的な動作420において、プラズマが、酸素含有前駆体から形成され、基板へ流され得る。この場合もやはり、プラズマは、処理チャンバの遠隔部分において形成されるか、または基板レベルで局所的に形成され得る。任意選択的な動作425において、第1のエッチングプロセスで予め開口されている露出した金属が、酸素含有前駆体のプラズマ放出物と接触し得る。金属が酸化された後、任意選択的な動作430において、第2のフッ素含有前駆体が処理チャンバ内に流され得る。いくつかの実施形態では、第2のフッ素含有前駆体のデリバリ前にプラズマが消滅させられ得、第2のフッ素含有前駆体のデリバリ中に処理チャンバがプラズマフリーに維持され得る。第2のフッ素含有前駆体は第1のフッ素含有前駆体と同じまたは異なり得るが、いくつかの実施形態では、第2の前駆体は、プロセス条件下でより容易にフッ素を供与し得る。第2のフッ素含有前駆体は、酸化された材料と接触し得、任意選択的な動作435において、酸化金属を除去し得る。図5Cに示されるように、金属540は、セル間で完全に分離され得、垂直メモリ孔に沿ってアクセス位置内で凹まされ得る。
いくつかの実施形態では、第1のエッチングプロセスおよび/または第2のエッチングプロセスのいずれかの後、残りの金属の中に残留フッ素が組み込まれ得る。したがって、いくつかの実施形態では、任意選択的な動作440において、後処理動作が実行され得、後処理動作は、動作415の後などの第1のエッチングプロセスに続いて、動作440などの第2のエッチングプロセスに続いて、または各エッチングプロセスの後に行われ得る。例えば、処理チャンバ内に、三塩化ホウ素などの塩素含有前駆体が流され得る。塩素含有前駆体は、実施形態においてプラズマ強化されてもプラズマ強化されなくてもよく、プラズマ強化される場合、プラズマは、遠隔的に、または処理チャンバ内でインシトゥ(その場)で生成され得る。本技術のいくつかの実施形態では、塩素含有前駆体は、基板と接触し得、残りの金属の表面と相互作用して残留フッ素を取り除き得る。
例示的なフッ素含有前駆体は、いくつかの実施形態ではフッ素または塩素のうちの1つまたは複数、および任意の他のハロゲンを含み得る。利用され得るいくつかの例示的な前駆体は、フッ化水素、三フッ化窒素、または任意の有機フッ化物、二原子フッ素、三フッ化臭素、三フッ化塩素、六フッ化硫黄、二フッ化キセノン、三塩化ホウ素、五塩化タングステン、六塩化タングステン、または任意の他のフッ素含有前駆体を含む、ハロゲン化物を含み得る。塩素含有前駆体は、フッ素含有前駆体に含まれるか、またはフッ素含有前駆体の代わりに使用され得、三塩化ホウ素、二原子塩素、または他の塩素含有前駆体が使用され得る。前駆体はまた、様々な組合せで一緒に流され得る。例えば、前述したように、第2のフッ素含有前駆体は、第1のフッ素含有前駆体と比較して、より容易にフッ素を供与し得る。前駆体の非限定的な一例として、第1のフッ素含有前駆体は、三フッ化窒素であるか、または三フッ化窒素を含み得、第2のフッ素含有前駆体は、六フッ化タングステンもしくは六フッ化硫黄であるか、または六フッ化タングステンもしくは六フッ化硫黄を含み得る。
前駆体はまた、二原子水素、もしくは水素含有前駆体、窒素、アルゴン、ヘリウム、または任意の数の追加の材料を含む、任意の数の追加の前駆体またはキャリアガスとともに流され得るが、いくつかの実施形態では、前駆体は、選択性に影響を与え得る副反応または他の態様を制御するために限定され得る。エッチングプロセス中に提供される2次ガスは、酸素含有前駆体および/または窒素含有前駆体を含み得る。例えば、非限定的な酸素含有前駆体は、二原子酸素、オゾン、水、アルコール、過酸化水素、亜酸化窒素、一酸化窒素、または任意の他の酸素含有材料を含み得る。非限定的な窒素含有前駆体は、例えば、二原子窒素、または窒素も含む任意の酸素含有前駆体を含み得る。
プロセス条件も、方法400において実行される動作に影響を与え得る。方法400の各動作は、実施形態では一定の温度中に実行され得るが、いくつかの実施形態では、温度は、異なる動作中に調節され得る。例えば、方法400中の基板、ペデスタル、またはチャンバの温度は、約150℃以上、約200℃以上、約250℃以上、約300℃以上、約350℃以上、約400℃以上、約450℃以上、約500℃以上、またはそれより高く維持され得る。しかしながら、より高い温度では、フッ素含有材料のさらなる解離が生じる可能性があり、より多くのフッ素ラジカルが作成され得る。フッ素ラジカルの量が増加すると、保護ガスは、反応を十分に制御できなくなり得る。したがって、いくつかの実施形態では、温度は、約700℃以下に維持され得、約650℃以下、約600℃以下、約550℃以下、約500℃以下、またはそれより低く維持され得る。
いくつかの実施形態では、プロセスは、様々な圧力で行われてもよく、これにより、多数のプロセスチャンバのいずれかにおける動作が容易になり得る。例えば、プロセスは、約1Torr以上に維持され得る圧力を提供することが可能なチャンバ、および約2Torr以上、約5Torr以上、約10Torr以上、約50Torr以上、約100Torr以上、約200Torr以上、またはそれより高く維持され得る圧力を提供することが可能なチャンバ内で実行され得る。約1Torr以上の圧力を利用することにより、高アスペクト比構造体を通るエッチング液のデリバリが容易になり得る。上述したように1つまたは複数の動作においてプラズマが利用され得るが、いくつかの実施形態ではプラズマが使用されない場合があり、半導体処理チャンバ内にプラズマフリー環境を維持しながら方法全体が実行され得る。1つまたは複数の動作中にプラズマ放出物が利用される場合、プラズマ出力は約500W未満に維持され得る。より低いプラズマ出力を維持することによって、スパッタリングを制御することができ、また、相互作用をより制御された化学反応に限定することができ、これにより、構造体の上部近くなど、金属を通るエッチングの範囲をより良好に制限することができる。これにより、例えばメモリ孔に沿ったプロファイルの制御がさらに容易になり得る。その結果、いくつかの実施形態では、プラズマ出力は、約450W以下、約400W以下、約350W以下、約300W以下、約250W以下、約200W以下、約150W以下、約100W以下、またはそれより低く維持され得る。
本技術全体を通して説明されているような前駆体および処理を利用することにより、3D NANDおよび他の半導体構造体において使用される金属は、酸化ケイ素の損傷または除去を制限するとともに、改善されたプロファイルまたは上部から底部への負荷値を維持しながら、酸化ケイ素などの誘電体材料のセクション間からより均一にエッチングされ得る。例えば、本技術のいくつかの実施形態では、第1のエッチングプロセス後または第2のエッチングプロセスのいずれかの後、上部から底部への負荷値は、約2:1以下に維持され得、また約1.8:1以下、約1.6:1以下、約1.5:1以下、約1.4:1以下、約1.3:1以下、約1.2:1以下、約1.1:1以下、または構造体の底部に近いだけでなく構造体の上部に近い位置での同等のエッチングを示す約1.0:1に維持され得る。「約」という用語を使用することによって、本開示は、一般的に特定される条件は理解されているが測定において完璧な正確さを提供しない可能性がある、本技術全体を通して説明されるフォームファクタでの測定の制限を包含することを意図している。さらに、いくつかの実施形態では、エッチングプロセスは、上記で述べたように、V字形プロファイルまたは逆V字形プロファイルのいずれかを作成するようにさらに調整され得、その場合、上部から底部への負荷値は、約0.9:1以下に維持され得、また約0.8:1以下、約0.7:1以下、約0.6:1以下、約0.5:1以下、またはそれより低く維持され得る。
上記の説明では、本技術の様々な実施形態の理解を提供するために、説明の目的で多くの詳細を述べた。しかしながら、特定の実施形態が、これらの詳細のいくつかを伴わずにまたはさらなる詳細とともに実施され得ることが、当業者には明らかであろう。
いくつかの実施形態を開示したが、実施形態の趣旨から逸脱することなく、様々な修正、代替構造体、および均等物が使用され得ることが当業者には理解されよう。さらに、本技術を不必要に曖昧にすることを避けるために、いくつかのよく知られているプロセスおよび要素については説明していない。したがって、上記の説明は、本技術の範囲を限定するものとして解釈されるべきではない。さらに、方法またはプロセスは、逐次的または段階的に説明され得るが、動作は、同時に実行されても、列挙された順序と異なる順序で実行されてもよいことを理解されたい。
値の範囲が提供される場合、文脈上別段の明記がない限り、その範囲の上限と下限との間の介在する各値も、下限の単位の最小端数まで具体的に開示されるものと理解される。任意の記載された値または記載された範囲内の記載されていない介在値と、その記載された範囲内の任意の他の記載された値または介在値との間のいかなるより狭い範囲も包含される。これらのより小さい範囲の上限および下限は、その範囲内に単独で含まれても除外されてもよく、より小さい範囲内に限界値のいずれかが含まれる範囲、限界値のどちらも含まれない範囲、または限界値の両方が含まれる範囲はそれぞれ、記載された範囲における明確に除外される任意の限界値を条件として、本技術内に包含される。記載された範囲が限界値の一方または両方を含む場合、その含まれる限界値のどちらかまたは両方を除いた範囲も含まれる。
本明細書および添付の特許請求の範囲で使用される場合、単数形「a」、「an」、および「the」は、文脈上別段の明記がない限り、複数形の参照対象を含む。したがって、例えば、「前駆体(a precursor)」への言及は、複数のそのような前駆体を含み、「層(the layer)」への言及は、1つまたは複数の層および当業者に周知の均等物などへの言及を含む。
また、「備える(comprise)」、「備える(comprising)」、「含む(contain)」、「含む(containing)」、「含む(include)」、および「含む(including)」という用語は、本明細書および以下の特許請求の範囲で使用される場合、記載された特徴、整数、構成要素、または動作の存在を指定するよう意図されているが、1つまたは複数の他の特徴、整数、構成要素、動作、作用、もしくはグループの存在または追加を排除するものではない。

Claims (20)

  1. フッ素含有前駆体および2次ガスを半導体処理チャンバの処理領域内に流すことであって、前記2次ガスが酸素または窒素を含み、前記フッ素含有前駆体と前記2次ガスとの流量比が約1:1以上である、前記フッ素含有前駆体および前記2次ガスを流すことと、
    基板を前記フッ素含有前駆体および前記2次ガスと接触させることであって、前記基板が露出した金属を含み、前記基板が高アスペクト比構造体を画定する、前記基板を接触させることと、
    前記高アスペクト比構造体内の前記露出した金属をエッチングすることと
    を含む、エッチング方法。
  2. 前記フッ素含有前駆体および前記2次ガスのプラズマを形成することをさらに含む、請求項1に記載のエッチング方法。
  3. 前記処理領域内の温度が約500℃以下に維持される、請求項1に記載のエッチング方法。
  4. 前記高アスペクト比構造体が、3D NAND構造体内のメモリ孔を含み、前記露出した金属が、前記メモリ孔に対して垂直に形成された凹部内に横方向に延在する、請求項1に記載のエッチング方法。
  5. 前記露出した金属をエッチングした後、前記半導体処理チャンバの前記処理領域をパージすることと、
    酸素含有前駆体のプラズマを形成することと、
    前記露出した金属を前記酸素含有前駆体のプラズマ放出物と接触させて酸化金属を作成することと
    をさらに含む、請求項1に記載のエッチング方法。
  6. 前記フッ素含有前駆体が第1のフッ素含有前駆体であり、前記方法が、
    第2のフッ素含有前駆体を処理領域内に流すことと、
    前記酸化金属を前記第2のフッ素含有前駆体と接触させることと
    をさらに含む、請求項5に記載のエッチング方法。
  7. 前記酸化金属を前記第2のフッ素含有前駆体と接触させている間、前記処理領域がプラズマフリーに維持される、請求項6に記載のエッチング方法。
  8. 前記方法が、約1Torrから約50Torrの間のチャンバ動作圧力で実行される、請求項1に記載のエッチング方法。
  9. 前記露出した金属をエッチングした後、塩素含有前駆体を前記処理領域内に流すことをさらに含み、前記塩素含有前駆体が残留フッ素を取り除く、請求項1に記載のエッチング方法。
  10. 前記高アスペクト比構造体内の前記露出した金属をエッチングした後、上部から底部への負荷値が約1.5以下である、請求項1に記載のエッチング方法。
  11. 第1のフッ素含有前駆体および2次ガスを半導体処理チャンバの処理領域内に流すことと、
    基板を前記第1のフッ素含有前駆体および前記2次ガスと接触させることであって、前記基板が露出した金属を含み、前記基板が3D NAND構造体内のメモリ孔を画定し、前記露出した金属が、前記メモリ孔に対して垂直に形成された凹部内に横方向に延在する、前記基板を接触させることと、
    前記メモリ孔内の前記露出した金属をエッチングすることと、
    酸素含有前駆体のプラズマを形成することと、
    前記露出した金属を前記酸素含有前駆体のプラズマ放出物と接触させて酸化金属を作成することと、
    第2のフッ素含有前駆体を前記半導体処理チャンバの前記処理領域内に流すことと、
    前記酸化金属を除去することと
    を含む、エッチング方法。
  12. 前記2次ガスが、酸素または窒素を含む、請求項11に記載のエッチング方法。
  13. 前記第1のフッ素含有前駆体と前記2次ガスとの流量比が約1:1以上である、請求項11に記載のエッチング方法。
  14. 前記第1のフッ素含有前駆体および前記2次ガスのプラズマを形成することをさらに含む、請求項11に記載のエッチング方法。
  15. 前記半導体処理チャンバ内の温度が約200℃から約500℃の間に維持される、請求項11に記載のエッチング方法。
  16. 前記半導体処理チャンバ内の圧力が約1Torrから約50Torrの間に維持される、請求項11に記載のエッチング方法。
  17. 第2のフッ素含有前駆体を前記半導体処理チャンバの前記処理領域内に流している間、前記処理領域がプラズマフリーに維持される、請求項11に記載のエッチング方法。
  18. 前記露出した金属をエッチングした後、塩素含有前駆体を前記処理領域内に流すことをさらに含む、請求項11に記載のエッチング方法。
  19. 前記塩素含有前駆体が、前記露出した金属から残留フッ素を取り除く、請求項16に記載のエッチング方法。
  20. 第1のフッ素含有前駆体および2次ガスを半導体処理チャンバの処理領域内に流すことであって、前記2次ガスが酸素または窒素を含む、前記第1のフッ素含有前駆体および前記2次ガスを流すことと、
    基板を前記第1のフッ素含有前駆体および前記2次ガスと接触させることであって、前記基板が露出した金属を含み、前記基板が高アスペクト比構造体を画定する、前記基板を接触させることと、
    前記高アスペクト比構造体内の前記露出した金属をエッチングすることと、
    前記露出した金属を酸素含有前駆体と接触させて酸化金属を作成することと、
    第2のフッ素含有前駆体を前記半導体処理チャンバの前記処理領域内に流すことと、
    前記酸化金属を除去することと
    を含むエッチング方法であって、前記方法が、約500℃以下のチャンバ動作温度で実行される、エッチング方法。
JP2023567238A 2021-05-04 2022-04-08 高アスペクト比特徴における金属エッチング Pending JP2024519207A (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US17/307,636 US11631589B2 (en) 2021-05-04 2021-05-04 Metal etch in high aspect-ratio features
US17/307,636 2021-05-04
PCT/US2022/024040 WO2022235378A1 (en) 2021-05-04 2022-04-08 Metal etch in high aspect-ratio features

Publications (1)

Publication Number Publication Date
JP2024519207A true JP2024519207A (ja) 2024-05-09

Family

ID=83901636

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2023567238A Pending JP2024519207A (ja) 2021-05-04 2022-04-08 高アスペクト比特徴における金属エッチング

Country Status (6)

Country Link
US (1) US11631589B2 (ja)
JP (1) JP2024519207A (ja)
KR (1) KR20240003446A (ja)
CN (1) CN117916865A (ja)
TW (1) TWI817471B (ja)
WO (1) WO2022235378A1 (ja)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11894228B2 (en) * 2021-08-26 2024-02-06 Applied Materials, Inc. Treatments for controlling deposition defects

Family Cites Families (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5989929A (en) * 1997-07-22 1999-11-23 Matsushita Electronics Corporation Apparatus and method for manufacturing semiconductor device
US6010966A (en) * 1998-08-07 2000-01-04 Applied Materials, Inc. Hydrocarbon gases for anisotropic etching of metal-containing layers
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US8921234B2 (en) * 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US9870899B2 (en) * 2015-04-24 2018-01-16 Lam Research Corporation Cobalt etch back
US9837286B2 (en) * 2015-09-04 2017-12-05 Lam Research Corporation Systems and methods for selectively etching tungsten in a downstream reactor
US10283369B2 (en) 2016-08-10 2019-05-07 Tokyo Electron Limited Atomic layer etching using a boron-containing gas and hydrogen fluoride gas
US10497579B2 (en) * 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US11062897B2 (en) 2017-06-09 2021-07-13 Lam Research Corporation Metal doped carbon based hard mask removal in semiconductor fabrication
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
JP6772117B2 (ja) * 2017-08-23 2020-10-21 株式会社日立ハイテク エッチング方法およびエッチング装置
US11075084B2 (en) * 2017-08-31 2021-07-27 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Chemistries for etching multi-stacked layers
US10854426B2 (en) * 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10755941B2 (en) * 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US11121002B2 (en) * 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
JP6963097B2 (ja) * 2019-04-22 2021-11-05 株式会社日立ハイテク プラズマ処理方法
TW202117802A (zh) 2019-07-02 2021-05-01 美商應用材料股份有限公司 固化介電質材料的方法與設備
US11062921B1 (en) * 2020-09-11 2021-07-13 Applied Materials, Inc. Systems and methods for aluminum-containing film removal

Also Published As

Publication number Publication date
US11631589B2 (en) 2023-04-18
KR20240003446A (ko) 2024-01-09
US20220359214A1 (en) 2022-11-10
TWI817471B (zh) 2023-10-01
WO2022235378A1 (en) 2022-11-10
CN117916865A (zh) 2024-04-19
TW202301460A (zh) 2023-01-01

Similar Documents

Publication Publication Date Title
US10319603B2 (en) Selective SiN lateral recess
US11004689B2 (en) Thermal silicon etch
US11335565B2 (en) Systems and methods to form airgaps
US10283324B1 (en) Oxygen treatment for nitride etching
JP2024519207A (ja) 高アスペクト比特徴における金属エッチング
US20210111033A1 (en) Isotropic silicon nitride removal
US10128086B1 (en) Silicon pretreatment for nitride removal
US20220293430A1 (en) Isotropic silicon nitride removal
JP7483933B2 (ja) 窒化物含有膜除去のためのシステム及び方法
US11328909B2 (en) Chamber conditioning and removal processes
US20230290647A1 (en) Metal deposition and etch in high aspect-ratio features
US11488835B2 (en) Systems and methods for tungsten-containing film removal
US20240120210A1 (en) Isotropic silicon nitride removal
US20230386830A1 (en) Highly conformal metal etch in high aspect ratio semiconductor features

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20231225