JP2024518235A - Semiconductor Tool Configuration - Google Patents

Semiconductor Tool Configuration Download PDF

Info

Publication number
JP2024518235A
JP2024518235A JP2023557296A JP2023557296A JP2024518235A JP 2024518235 A JP2024518235 A JP 2024518235A JP 2023557296 A JP2023557296 A JP 2023557296A JP 2023557296 A JP2023557296 A JP 2023557296A JP 2024518235 A JP2024518235 A JP 2024518235A
Authority
JP
Japan
Prior art keywords
station
semiconductor processing
processing tool
stations
processing
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2023557296A
Other languages
Japanese (ja)
Inventor
リーサー・カール・フレデリック
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of JP2024518235A publication Critical patent/JP2024518235A/en
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67167Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers surrounding a central transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67178Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers vertical arrangement
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67196Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67201Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the load-lock chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67742Mechanical parts of transfer devices

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Robotics (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)

Abstract

【解決手段】半導体処理ツールの構成が様々な例に挙げられている。一例では、半導体処理ツールは、複数の処理ステーションを各々有する複数のマルチステーションモジュールを含む。処理ステーションのうちの少なくともいくつかは、菱形形状の構成に編成されている。マルチステーションモジュールの各々に真空搬送モジュールが連結されている。真空搬送モジュールは、複数の処理ステーションのうちの少なくとも1つとの間で基板の搬出入を行うための、1つまたは複数の真空搬送ロボットを有する。真空搬送モジュール内には、少なくとも1つの追加の処理ステーションが配置されている。他のシステムおよび装置が開示されている。【選択図】図3CVarious examples of semiconductor processing tool configurations are provided. In one example, the semiconductor processing tool includes a plurality of multi-station modules, each having a plurality of processing stations. At least some of the processing stations are organized in a diamond shaped configuration. A vacuum transfer module is coupled to each of the multi-station modules. The vacuum transfer module has one or more vacuum transfer robots for transferring substrates to and from at least one of the plurality of processing stations. At least one additional processing station is disposed within the vacuum transfer module. Other systems and apparatus are disclosed. [Selected Figure] FIG.

Description

優先権の主張
本願は2021年4月28日に出願された米国仮特許出願第63/181,036号に対する優先権の利益を主張し、その全体を参照によって本願に組み込む。
CLAIM OF PRIORITY This application claims the benefit of priority to U.S. Provisional Patent Application No. 63/181,036, filed April 28, 2021, which is incorporated by reference in its entirety.

開示される主題は一般に、半導体および関連産業(例えば、フラットパネルディスプレイおよび太陽電池の生産設備)で使用される、基板処理ツールの分野に関する。より詳細には、様々な実施形態において、開示される主題は、ツール内に多数の処理ステーションを維持しながらもツールのフットプリントが低減されるように使用される、基板処理ツールの構成に関する。 The disclosed subject matter generally relates to the field of substrate processing tools used in the semiconductor and related industries (e.g., flat panel display and solar cell production facilities). More specifically, in various embodiments, the disclosed subject matter relates to substrate processing tool configurations used to reduce the tool footprint while maintaining multiple processing stations within the tool.

資本としての半導体設備は多くの性能指標で評価される。2つの指標として生産性とフットプリントとが挙げられる。生産性は基板のスルーアウトと歩留まりとに関連し得る。フットプリントは製造環境(ファブ)内で製造ツールが占める面積に関連している。 Semiconductor equipment as capital is evaluated on many performance metrics. Two metrics are productivity and footprint. Productivity can be related to substrate through-out and yield. Footprint relates to the area that a manufacturing tool occupies within the manufacturing environment (fab).

ここで提供する背景の説明は、開示される主題の置かれた状況を概説的に提示することを目的としている。この背景の項目に記載されている範囲において、本明細書に挙げられた発明者らの研究は、出願時に別の形で先行技術とは認められない可能性のある本説明の態様と同様に、明示的にも黙示的にも、本開示に対する先行技術とは認められない。したがって、本項目に記載されている情報は、以下に開示する主題の置かれた状況を当業者に提示するために提供されたものであり、先行技術として認められたものと見なすべきではない。したがって、本項目に記載されている情報は、以下に開示する主題の置かれた状況を当業者に提示するために提供されたものであり、先行技術として認められたものと見なすべきではない。 The background description provided herein is intended to provide a general overview of the state of the disclosed subject matter. To the extent described in this Background section, the work of the inventors cited herein, as well as aspects of the present description that may not otherwise be admitted as prior art at the time of filing, are not admitted, expressly or impliedly, as prior art to the present disclosure. Thus, the information provided in this section is provided to provide those of skill in the art with the state of the subject matter disclosed below, and should not be considered as admitted as prior art. Thus, the information provided in this section is provided to provide those of skill in the art with the state of the subject matter disclosed below, and should not be considered as admitted as prior art.

様々な実施形態において、半導体処理ツールは、複数の処理ステーションを各々有する複数のマルチステーションモジュールを含む。処理ステーションのうちの少なくともいくつかは、菱形形状の構成に編成されている。マルチステーションモジュールの各々に真空搬送モジュールが連結されている。真空搬送モジュールは、複数の処理ステーションのうちの少なくとも1つとの間で基板の搬出入を行うための、1つまたは複数の真空搬送ロボットを有する。半導体処理ツールは、真空搬送モジュール内に配置されている少なくとも1つの追加の処理ステーションも含む。 In various embodiments, the semiconductor processing tool includes a plurality of multi-station modules each having a plurality of processing stations. At least some of the processing stations are organized in a diamond-shaped configuration. A vacuum transfer module is coupled to each of the multi-station modules. The vacuum transfer module has one or more vacuum transfer robots for transferring substrates to and from at least one of the plurality of processing stations. The semiconductor processing tool also includes at least one additional processing station disposed within the vacuum transfer module.

様々な実施形態において、半導体処理ツールは、複数の処理ステーションを各々有する、複数のマルチステーションモジュールを含む。処理ステーションのうちの少なくともいくつかは、菱形形状の構成に編成されている。マルチステーションモジュールの各々に真空搬送モジュールが連結されている。真空搬送モジュールは、複数の処理ステーションのうちの少なくとも1つとの間で基板の搬出入を行うための、1つまたは複数の真空搬送ロボットを有する。真空搬送モジュール内には、少なくとも1つの追加の処理ステーションが配置されている。 In various embodiments, the semiconductor processing tool includes a plurality of multi-station modules, each having a plurality of processing stations. At least some of the processing stations are organized in a diamond-shaped configuration. A vacuum transfer module is coupled to each of the multi-station modules. The vacuum transfer module has one or more vacuum transfer robots for transferring substrates to and from at least one of the plurality of processing stations. At least one additional processing station is disposed within the vacuum transfer module.

様々な実施形態において、半導体処理ツールは、複数のマルチステーションモジュールを含む。菱形形状の構成に編成されている処理ステーションのうちの少なくともいくつかと、処理ステーションのうちの残りの部分と、を有する複数の処理ステーションのハイブリッド構成は、四角形形状の構成に編成される。マルチステーションモジュールの各々に真空搬送モジュールが連結されている。真空搬送モジュールは、複数の処理ステーションのうちの少なくとも1つとの間で基板の搬出入を行うための、1つまたは複数の真空搬送ロボットを有する。半導体処理ツールは、真空搬送モジュール内に配置されている少なくとも1つの追加の処理ステーションも含む。 In various embodiments, the semiconductor processing tool includes a plurality of multi-station modules. A hybrid configuration of the plurality of processing stations having at least some of the processing stations organized in a diamond-shaped configuration and the remaining processing stations organized in a rectangular-shaped configuration. A vacuum transfer module is coupled to each of the multi-station modules. The vacuum transfer module has one or more vacuum transfer robots for transferring substrates to and from at least one of the plurality of processing stations. The semiconductor processing tool also includes at least one additional processing station disposed within the vacuum transfer module.

処理ステーションの四角形の構成を有する先行技術のマルチステーション半導体処理ツールの切断平面図である。FIG. 1 is a cutaway plan view of a prior art multi-station semiconductor processing tool having a square configuration of processing stations.

開示される主題の実施形態に係る、5つのモジュールの各々内に処理ステーションの菱形形状の構成を有するマルチステーション半導体処理ツールの、例示的な実施形態の切断平面図である。1 is a cutaway plan view of an exemplary embodiment of a multi-station semiconductor processing tool having a diamond shaped configuration of processing stations within each of five modules in accordance with an embodiment of the disclosed subject matter.

開示される主題の実施形態に係る、4つのモジュールの各々内に処理ステーションの菱形形状の構成を有するマルチステーション半導体処理ツールの、例示的な実施形態の切断平面図である。1 is a cutaway plan view of an exemplary embodiment of a multi-station semiconductor processing tool having a diamond shaped configuration of processing stations within each of four modules in accordance with an embodiment of the disclosed subject matter.

開示される主題の様々な実施形態に係る、処理モジュール内の処理ステーション構成の様々な例を示す図である。1A-1C illustrate various example configurations of processing stations within a processing module according to various embodiments of the disclosed subject matter. 開示される主題の様々な実施形態に係る、処理モジュール内の処理ステーション構成の様々な例を示す図である。1A-1C illustrate various example configurations of processing stations within a processing module according to various embodiments of the disclosed subject matter. 開示される主題の様々な実施形態に係る、処理モジュール内の処理ステーション構成の様々な例を示す図である。1A-1C illustrate various example configurations of processing stations within a processing module according to various embodiments of the disclosed subject matter. 開示される主題の様々な実施形態に係る、処理モジュール内の処理ステーション構成の様々な例を示す図である。1A-1C illustrate various example configurations of processing stations within a processing module according to various embodiments of the disclosed subject matter. 開示される主題の様々な実施形態に係る、処理モジュール内の処理ステーション構成の様々な例を示す図である。1A-1C illustrate various example configurations of processing stations within a processing module according to various embodiments of the disclosed subject matter. 開示される主題の様々な実施形態に係る、処理モジュール内の処理ステーション構成の様々な例を示す図である。1A-1C illustrate various example configurations of processing stations within a processing module according to various embodiments of the disclosed subject matter.

開示される主題の実施形態に係る、真空搬送モジュール内に配置されている追加の処理ステーションを含む、処理ステーションの四角形形状の構成を有するマルチステーション半導体処理ツールの、例示的な実施形態の切断平面図である。FIG. 1 is a cutaway plan view of an exemplary embodiment of a multi-station semiconductor processing tool having a square shaped configuration of processing stations including an additional processing station disposed within a vacuum transport module in accordance with an embodiment of the disclosed subject matter.

開示される主題の実施形態に係る、真空搬送モジュール内に配置されている追加の処理ステーションを含む、処理ステーションの菱形形状の構成を有するマルチステーション半導体処理ツールの、例示的な実施形態の切断平面図である。FIG. 1 is a cutaway plan view of an exemplary embodiment of a multi-station semiconductor processing tool having a diamond shaped configuration of processing stations including an additional processing station disposed within a vacuum transport module in accordance with an embodiment of the disclosed subject matter.

開示される主題の実施形態に係る、真空搬送モジュール内に配置されている追加の処理ステーションを含む、処理ステーションの四角形形状の構成および菱形形状の構成の両方を有するマルチステーション半導体処理ハイブリッドツールの、例示的な実施形態の切断平面図である。FIG. 13 is a cutaway plan view of an exemplary embodiment of a multi-station semiconductor processing hybrid tool having both square and diamond shaped configurations of processing stations, including an additional processing station disposed within a vacuum transport module in accordance with an embodiment of the disclosed subject matter.

開示される主題の実施形態に係る、2レベルロードロックおよび搬送ステーションを含む半導体処理ツールの例示的な実施形態を示す図である。FIG. 1 illustrates an exemplary embodiment of a semiconductor processing tool including a two-level load lock and a transfer station in accordance with an embodiment of the disclosed subject matter.

開示される主題の実施形態に係る、タンデム型2レベルロードロックおよび搬送ステーションを含む半導体処理ツールの例示的な実施形態を示す図である。FIG. 1 illustrates an exemplary embodiment of a semiconductor processing tool including a tandem two-level load lock and transfer station in accordance with an embodiment of the disclosed subject matter.

開示される主題の様々な実施形態に係る、異なるレベルにある基板搬送経路を示す、半導体処理ツールの例示的な実施形態を示す図である。1A-1D illustrate an exemplary embodiment of a semiconductor processing tool showing substrate transport paths at different levels in accordance with various embodiments of the disclosed subject matter.

図1の半導体処理ツールの典型的なフットプリントを構成する寸法の例を示す図である。2 shows an example of dimensions that make up a typical footprint of the semiconductor processing tool of FIG. 1.

図2A~図4Bの特定の例で提供される開示される主題の様々な実施形態に従って検討したツール構成のうちのいくつかの、フットプリントを構成する寸法の例を示す図である。2A-4B provide examples of dimensions that make up the footprint of some of the tool configurations contemplated according to various embodiments of the disclosed subject matter. 図2A~図4Bの特定の例で提供される開示される主題の様々な実施形態に従って検討したツール構成のうちのいくつかの、フットプリントを構成する寸法の例を示す図である。2A-4B provide examples of dimensions that make up the footprint of some of the tool configurations contemplated according to various embodiments of the disclosed subject matter.

開示される主題の様々な実施形態とともに使用され得る、奥行きの浅い装置フロントエンドモジュール(EFEM)に適合する大気圧搬送ロボット(atmospheric transfer-robot)の例示的な平面図である。FIG. 1 illustrates an exemplary top view of an atmospheric transfer-robot that fits into a shallow equipment front-end module (EFEM) that may be used with various embodiments of the disclosed subject matter. 開示される主題の様々な実施形態とともに使用され得る、奥行きの浅い装置フロントエンドモジュール(EFEM)に適合する大気圧搬送ロボットの例示的な立面図である。1 is an exemplary elevation view of an atmospheric pressure transfer robot that fits into a shallow equipment front end module (EFEM) that may be used with various embodiments of the disclosed subject matter.

以下の説明には、開示される主題の様々な態様を具現化する例示的な実施例、デバイス、および機器が含まれる。以下の説明には、本発明の主題の様々な実施形態の理解が得られるように、説明の目的で、多数の具体的な詳細が記載されている。ただし当業者には、これらの具体的な詳細がなくても開示される主題の様々な実施形態が実施され得ることが明らかであろう。更に、よく知られている構造、材料、および技術は、示されている様々な実施形態を不明瞭にしないように、詳細には示されていない。本明細書で使用する場合、「約(about)」または「約(approximately)」という用語は、例えば、所与の値または値の範囲の±10%以内の値を指す場合がある。 The following description includes exemplary examples, devices, and instruments that embody various aspects of the disclosed subject matter. In the following description, for purposes of explanation, numerous specific details are set forth to provide an understanding of various embodiments of the subject matter of the present invention. However, it will be apparent to one of ordinary skill in the art that various embodiments of the disclosed subject matter may be practiced without these specific details. Moreover, well-known structures, materials, and techniques have not been shown in detail so as not to obscure the various embodiments shown. As used herein, the terms "about" or "approximately" may refer to, for example, a value within ±10% of a given value or range of values.

先行技術のマルチステーション半導体処理ツールは常に、(ツールの上から平面視して検討した場合に)ツールの近位側壁を基準とした四角形x-y構成に構成されている処理ステーションを含むものであった。このx-y構成について以下で更に詳細に検討する。ただしこれらの構成は、実現可能な面積の縮小の量に限界がある。 Prior art multi-station semiconductor processing tools have always included processing stations arranged in a rectangular x-y configuration relative to the proximal sidewall of the tool (when considered in a plan view from above the tool). This x-y configuration is discussed in more detail below. However, these configurations are limited in the amount of area reduction that can be achieved.

例えば、図1を参照すると、先行技術のマルチステーション半導体処理ツール100の切断平面図の高レベルの概観図が示されている。半導体処理ツール100は、以下に更に詳しく記載するような処理ステーション103の四角形形状の構成を有する。半導体処理ツール100は、処理部115および装置フロントエンドモジュール(EFEM)115も含む。半導体処理ツール100の動作中、処理部115は通常、大気圧未満(例えば、数Torr以下の低真空レベル)で動作する。 For example, referring to FIG. 1, a high level overview of a cutaway plan view of a prior art multi-station semiconductor processing tool 100 is shown. The semiconductor processing tool 100 has a rectangular shaped configuration of processing stations 103, as described in more detail below. The semiconductor processing tool 100 also includes a processing section 115 and an equipment front end module (EFEM) 115. During operation of the semiconductor processing tool 100, the processing section 115 typically operates at less than atmospheric pressure (e.g., a low vacuum level of a few Torr or less).

処理部115内に、半導体処理ツール100は、4つの4ステーションモジュール101A、101B、101C、101Dを含む。4ステーションモジュール101A~101Dの各々は、処理ステーション103を4つ含む。他の数の処理ステーションが可能であるが、この例は、先行技術の半導体処理ツール100と本明細書に記載する開示される主題との間の重要な相違の説明に役立てるために含められている。処理ステーション103の各々は、処理工程(例えば、化学気相成長プロセスまたは原子層堆積プロセス)中、単一の基板(例えば、300mmの半導体ウエハ)を保持するように構成される。この特定の例では、4ステーションモジュール101A~101Dの各々の各辺に沿った寸法は、約1.1メートルである。半導体処理ツール全体の更なる寸法について、以下に更に詳細に記載する。 Within the processing section 115, the semiconductor processing tool 100 includes four four-station modules 101A, 101B, 101C, 101D. Each of the four-station modules 101A-101D includes four processing stations 103. Other numbers of processing stations are possible, but this example is included to help illustrate the significant differences between the prior art semiconductor processing tool 100 and the disclosed subject matter described herein. Each of the processing stations 103 is configured to hold a single substrate (e.g., a 300 mm semiconductor wafer) during a processing step (e.g., a chemical vapor deposition process or an atomic layer deposition process). In this particular example, the dimension along each side of each of the four-station modules 101A-101D is approximately 1.1 meters. Additional dimensions of the entire semiconductor processing tool are described in more detail below.

処理ステーション103の各対は、半導体処理ツール100の側壁に対して平行または垂直のいずれかである。例えば、側壁は、真空搬送ロボット105A、105Bと、(処理ステーション103の対応する対が配置されている)4ステーションモジュール101A~101Dのそれぞれ1つとの間に配置されていると見なすことができる。したがって、処理ステーション103の構成は、四角形形状の構成であると見なされる。 Each pair of processing stations 103 is either parallel or perpendicular to the sidewalls of the semiconductor processing tool 100. For example, the sidewalls can be considered to be located between the vacuum transfer robots 105A, 105B and each one of the four-station modules 101A-101D (in which the corresponding pair of processing stations 103 is located). Thus, the configuration of processing stations 103 is considered to be a rectangular shaped configuration.

引き続き図1を参照すると、基板の各々は、EFEM117内に配置されている大気圧搬送ロボット(ATR)119によって、半導体処理ツール100内に装填される。ATR119は、複数の基板キャリア111のうちの1つから第1の搬送ステーション113上に、1回に少なくとも単一の基板を装填する。図1に示すように、ATR119は2つのアームを有し、第1の搬送ステーション113上に1回に最大2つの基板を装填することができる。基板キャリア111の各々は、例えば、当該技術分野で知られているフロントオープニングユニファイドポッド(FOUP)または他のタイプの標準メカニカルインターフェース(SMIF))基板容器を備え得る。ATR119が第1の搬送ステーション113上に基板を装填すると、EFEM117と第1の搬送ステーション113との間に配置されている大気ポート(明示的には示されていない)が閉じられる。第1の搬送ステーション113を取り囲むロードロックエリアは、処理部115においてまたはその近傍で、真空レベルまでポンプダウンされる。 Continuing to refer to FIG. 1, each of the substrates is loaded into the semiconductor processing tool 100 by an atmospheric transfer robot (ATR) 119 located in the EFEM 117. The ATR 119 loads at least a single substrate at a time from one of the multiple substrate carriers 111 onto the first transfer station 113. As shown in FIG. 1, the ATR 119 has two arms and can load up to two substrates at a time onto the first transfer station 113. Each of the substrate carriers 111 can comprise, for example, a Front Opening Unified Pod (FOUP) or other type of Standard Mechanical Interface (SMIF) substrate container known in the art. Once the ATR 119 has loaded the substrate onto the first transfer station 113, an atmospheric port (not explicitly shown) located between the EFEM 117 and the first transfer station 113 is closed. The load lock area surrounding the first transfer station 113 is pumped down to a vacuum level at or near the processing section 115.

半導体処理ツール100は、第1の真空搬送ロボット(VTR)105Aおよび第2のVTR105Bも含む。真空搬送ロボット105A、105Bの各々は、処理部115内で基板を移動させるための基板ハンドリングロボットと見なすことができる。例えば、第1のVTR105Aは、第1の搬送ステーション113から、4ステーションモジュール101B、101C内の処理ステーション103のうちの、EFEM117(ツールの前面)にまたは第2の搬送ステーション107に最も近いいずれか1つへと、基板を移動させることができる。第2のVTR105Bは、第2の搬送ステーション107から、4ステーションモジュール101A、101D内の処理ステーション103のうちの、EFEM117から最も遠位にある(最も遠位とは、紙面の頂部付近すなわちツールの後側に配置されていることを意味する)いずれか1つへと、基板を移動させることができる。 The semiconductor processing tool 100 also includes a first vacuum transfer robot (VTR) 105A and a second VTR 105B. Each of the vacuum transfer robots 105A, 105B can be considered a substrate handling robot for moving substrates within the processing section 115. For example, the first VTR 105A can move a substrate from the first transfer station 113 to whichever of the processing stations 103 in the four-station modules 101B, 101C is closest to the EFEM 117 (the front of the tool) or the second transfer station 107. The second VTR 105B can move a substrate from the second transfer station 107 to whichever of the processing stations 103 in the four-station modules 101A, 101D is closest to the EFEM 117 (the front of the tool) (the back of the tool).

図1に示すように、EFEM117には、かなりの面積を占める張り出し領域(bump-out region)109も含まれる。張り出し領域109は、保守技術者が半導体処理ツール100の各部にアクセスできるように追加される。しかしながら、張り出し領域109自体によって、半導体処理ツール100にかなりの面積が追加される(この結果ツールの全体的なフットプリントが増大する)。 As shown in FIG. 1, the EFEM 117 also includes a significant bump-out region 109. The bump-out region 109 is added to allow a service technician access to portions of the semiconductor processing tool 100. However, the bump-out region 109 itself adds significant area to the semiconductor processing tool 100 (and thus increases the overall footprint of the tool).

ここで図2Aを参照すると、マルチステーション半導体処理ツール200の切断平面図の例示的な実施形態が示されている。半導体処理ツール200は、開示される主題の実施形態に係る、処理ステーション203の菱形形状の構成215を含むものとして示されている。半導体処理ツール200はまた、第1の搬送ステーション213に近い方にある前側真空搬送ロボット(VTR)205Aと、半導体処理ツール200の後側に配置されている後側VTR205Bと、を収容している、真空搬送モジュール221を含むようにも示されている。半導体処理ツール200はまた、第2の搬送ステーション207を含むようにも示されている。当業者は、開示される主題の他の構成要素を不明瞭にしないように、前側VTR205Aおよび後側VTR205Bが円として概略的に描かれていることを諒解するであろう。示されているように、チャンバ搬送ポート223A~223E(または真空ゲート)は、真空搬送モジュール221と各マルチステーションモジュール201A~201Eとの間に配置されている。 2A, an exemplary embodiment of a cutaway plan view of a multi-station semiconductor processing tool 200 is shown. The semiconductor processing tool 200 is shown to include a diamond-shaped configuration 215 of processing stations 203 in accordance with an embodiment of the disclosed subject matter. The semiconductor processing tool 200 is also shown to include a vacuum transfer module 221 housing a front vacuum transfer robot (VTR) 205A proximate to a first transfer station 213 and a rear VTR 205B disposed at the rear of the semiconductor processing tool 200. The semiconductor processing tool 200 is also shown to include a second transfer station 207. Those skilled in the art will appreciate that the front VTR 205A and the rear VTR 205B are depicted generally as circles so as not to obscure other components of the disclosed subject matter. As shown, chamber transfer ports 223A-223E (or vacuum gates) are located between the vacuum transfer module 221 and each of the multi-station modules 201A-201E.

図2Aには5つのマルチステーションモジュール201A~201Eが示されているが、5つのモジュールへの限定を示唆するものではない。実装されるマルチステーションモジュール201A~201Eは、5つより多くても少なくてもよい。例えば、2つ、3つ、4つ、6つ、7つ、またはそれ以上のモジュールを、半導体処理ツール200に含めることができる。更に、モジュールの各々内に、4つよりも多いまたは少ない処理ステーション203を含めることができる。例えば、特定の実施形態では、2つ、3つ、5つ、またはそれ以上の処理ステーションを含めてもよい。また更に、全てのモジュールが同じ数の処理ステーションを含む必要はない。あるモジュールが1つまたは2つの処理ステーションを含む場合もあれば、またあるモジュールが5つ以上の処理ステーションを含む場合もある。様々な処理ステーション構成については、図2C~図2Hを参照して以下で検討する。したがって、4つの処理ステーション203を各々有する5つのマルチステーションモジュール201A~201Eは、単に開示される主題を説明するための補助として示されている。 Although five multi-station modules 201A-201E are shown in FIG. 2A, no limitation to five modules is implied. More or fewer than five multi-station modules 201A-201E may be implemented. For example, two, three, four, six, seven, or more modules may be included in the semiconductor processing tool 200. Furthermore, more or fewer than four processing stations 203 may be included within each of the modules. For example, in certain embodiments, two, three, five, or more processing stations may be included. Furthermore, it is not necessary that all modules include the same number of processing stations. Some modules may include one or two processing stations, while other modules may include five or more processing stations. Various processing station configurations are discussed below with reference to FIGS. 2C-2H. Thus, the five multi-station modules 201A-201E each having four processing stations 203 are shown merely as an aid to explain the disclosed subject matter.

菱形形状の構成215は、処理ステーション203が、マルチステーションモジュール201A~201Eの各々に配置されているチャンバ搬送ポート223A~223Eの対応する1つに対して、角度を成して設置されていることを示している。それぞれのチャンバ搬送ポート223A~223Eにより、前側VTR205Aまたは後側VTR205Bによってマルチステーションモジュール201A~201E内の処理ステーション203のうちの様々なものに基板を載置することが可能になる。(例えば、第1の搬送ステーション213または第2の搬送ステーション207から)処理ステーション203のうちの様々なものに全ての基板が載置された後で、チャンバ搬送ポート223A~223Eのうちの適切な1つが閉じられて、何らかのガス、プラズマ、等が、あるマルチステーションモジュールから別のマルチステーションモジュールへと、またはあるマルチステーションモジュールから真空搬送モジュール221内へと移動するのが防止される。 The diamond shaped configuration 215 indicates that the processing stations 203 are positioned at an angle relative to a corresponding one of the chamber transfer ports 223A-223E located in each of the multi-station modules 201A-201E. Each chamber transfer port 223A-223E allows substrates to be loaded into various ones of the processing stations 203 in the multi-station modules 201A-201E by the front VTR 205A or the rear VTR 205B. After all substrates have been loaded into various ones of the processing stations 203 (e.g., from the first transfer station 213 or the second transfer station 207), the appropriate one of the chamber transfer ports 223A-223E is closed to prevent any gas, plasma, etc. from moving from one multi-station module to another or from one multi-station module into the vacuum transfer module 221.

ある実施形態では、菱形形状の構成215の一辺の角度は例えば、対応するチャンバ搬送ポート223A~223Eを基準として約45°であり得る。他の実施形態では、菱形形状の構成215の一辺の角度は例えば、対応するチャンバ搬送ポート223A~223Eを基準として約30°~約60°であり得る。他の実施形態では、菱形形状の構成215の一辺の角度は例えば、対応するチャンバ搬送ポート223A~223Eを基準として約15°~約75°であり得る。他の実施形態では、菱形形状の構成215は例えば、隣接する辺の間の角度が90°よりも大きいおよび90°よりも小さい菱形から構成され得る。開示される主題を読んで理解すれば、当業者であれば、他の幾何形状(例えば偏菱形)も可能であることを認識するであろう。様々な角度および角度範囲の各々を、処理モジュール内の様々な処理ステーション構成に関して考慮することができる。様々な処理ステーション構成については図2C~図2Hを参照して以下でも検討される。 In some embodiments, the angle of a side of the diamond shaped configuration 215 may be, for example, about 45° with respect to the corresponding chamber transfer port 223A-223E. In other embodiments, the angle of a side of the diamond shaped configuration 215 may be, for example, about 30° to about 60° with respect to the corresponding chamber transfer port 223A-223E. In other embodiments, the angle of a side of the diamond shaped configuration 215 may be, for example, about 15° to about 75° with respect to the corresponding chamber transfer port 223A-223E. In other embodiments, the diamond shaped configuration 215 may be, for example, comprised of diamonds with angles between adjacent sides greater than and less than 90°. Upon reading and understanding the disclosed subject matter, one of ordinary skill in the art will recognize that other geometries (e.g., rhomboids) are also possible. Each of the various angles and angle ranges can be considered with respect to various processing station configurations within a processing module. Various processing station configurations are also discussed below with reference to Figures 2C-2H.

基板の各々は、奥行きの浅いEFEM209内に配置されているリニア大気圧搬送ロボット(ATR)617によって、半導体処理ツール200内に装填される。リニアATR617について、図6Aおよび図6Bを参照して以下に詳細に記載する。リニアATR617は、複数の基板キャリア211のうちの1つから第1の搬送ステーション213上に、1回に少なくとも1つの基板を装填する。図1の半導体処理ツール100の場合のように、基板キャリア111の各々は、例えば、当該技術分野で知られているフロントオープニングユニファイドポッド(FOUP)または他のタイプの標準メカニカルインターフェース(SMIF))基板容器を備え得る。 Each of the substrates is loaded into the semiconductor processing tool 200 by a linear atmospheric transfer robot (ATR) 617 located in the shallow EFEM 209. The linear ATR 617 is described in more detail below with reference to FIGS. 6A and 6B. The linear ATR 617 loads at least one substrate at a time from one of the plurality of substrate carriers 211 onto the first transfer station 213. As in the case of the semiconductor processing tool 100 of FIG. 1, each of the substrate carriers 111 may comprise, for example, a Front Opening Unified Pod (FOUP) or other type of Standard Mechanical Interface (SMIF) substrate container known in the art.

奥行きの浅いEFEM209は、半導体処理ツール200の全体的なフットプリントを縮小するのに役立つ。図1と例えば図2Aとを比較すると、図1の張り出し領域109が除去されていることがわかる。代わりに、リニアATR617は、奥行きの浅いEFEM209内に設置されている。奥行きの浅いEFEM209であっても、保守技術者は依然として、修理または保守のために奥行きの浅いEFEM209に近接する全てのエリアにアクセスすることが可能である。奥行きの浅いEFEM209の(基板キャリア211とは反対側の)角度がより急であるため、前側VTR205Aからでさえも、基板キャリア211の各々へのアクセスが可能になる。 The shallow EFEM 209 helps reduce the overall footprint of the semiconductor processing tool 200. Comparing FIG. 1 with, for example, FIG. 2A, it can be seen that the overhanging area 109 of FIG. 1 has been eliminated. Instead, the linear ATR 617 is placed within the shallow EFEM 209. Even with the shallow EFEM 209, a maintenance technician can still access all areas proximate to the shallow EFEM 209 for repair or maintenance. The steeper angle of the shallow EFEM 209 (opposite the substrate carriers 211) allows access to each of the substrate carriers 211 even from the front VTR 205A.

特定の実施形態では、マルチステーションモジュール201A~201Eのうちの1つまたは複数の間に配置されている保守用開口特徴部(maintenance-aperture feature)225によって、モジュールのうちの隣り合うものの中および下の様々な場所へのアクセスが可能になる。保守用開口特徴部225は、図示のように開口していてもよく、またはカバープレートを含んでいてもよい。マルチステーションモジュール201A、201Bおよびマルチステーションモジュール201C、201Dの各々の間に、保守用開口特徴部225のうちの1つが単独で配置されて示されているが、所与の処理ツール内の異なる場所に、より多くのまたはより少ない保守用開口特徴部225が配置されてもよい。開示された主題を読んで理解すれば、当業者であれば、(例えば、図2B~図4Bに示された他の実施形態において)そのような保守用開口特徴部225がどこに配置され得るかを認識するであろう。 In certain embodiments, maintenance-aperture features 225 located between one or more of the multi-station modules 201A-201E allow access to various locations in and under adjacent ones of the modules. The maintenance-aperture features 225 may be open as shown or may include cover plates. Although a single one of the maintenance-aperture features 225 is shown located between each of the multi-station modules 201A, 201B and 201C, 201D, more or fewer maintenance-aperture features 225 may be located at different locations within a given processing tool. Upon reading and understanding the disclosed subject matter, one of ordinary skill in the art would recognize where such maintenance-aperture features 225 may be located (e.g., in the other embodiments shown in Figures 2B-4B).

引き続き図2Aを参照すると、当業者であれば、処理ステーション203の菱形形状の構成215に基づいて、マルチステーションモジュール201A~201Eのうちの隣り合うものが、図1の4ステーションモジュール101A~101Dよりも互いに密に近接して設置され得ることを認識するであろう。つまり、菱形形状の構成215により、モジュールの一部が角度のついた外側表面227を有することが可能になる。角度のついた外側表面227によって、モジュールの充填密度を高めることが可能になる-マルチステーションモジュール201A~201Eは、各モジュール内に依然として同じ数の処理ステーション203を含みながら、より小さなフットプリントで一緒に設置され得る。 With continued reference to FIG. 2A, one skilled in the art will recognize that, based on the diamond-shaped configuration 215 of the processing stations 203, adjacent ones of the multi-station modules 201A-201E may be placed closer together than the four-station modules 101A-101D of FIG. 1. That is, the diamond-shaped configuration 215 allows some of the modules to have angled outer surfaces 227. The angled outer surfaces 227 allow for increased packing density of the modules - the multi-station modules 201A-201E may be placed together in a smaller footprint while still including the same number of processing stations 203 within each module.

ここで図2Bを参照すると、マルチステーション半導体処理ツール230の切断平面図の例示的な実施形態が示されている。半導体処理ツール200は、第1の搬送ステーション233に近い方の前側真空搬送ロボット(VTR)235Aと、半導体処理ツール230の後側に配置されている後側VTR235Bと、を含むものとして示されている。前側VTR235Aおよび後側VTR235Bは、図2Aの真空搬送ロボット205A、205Bと同じまたは同様であり得る。当業者は、開示される主題の他の構成要素を不明瞭にしないように、前側VTR235Aおよび後側VTR235Bが円として概略的に描かれていることを諒解するであろう。また、第2の搬送ステーション237も示されている。図2Aを参照する上記の記載と同様に、(例えば、第1の搬送ステーション233または第2の搬送ステーション237から)処理ステーション203のうちの様々なものに基板が載置された後で、チャンバ搬送ポート223A~223Dのうちの適切な1つが閉じられて、何らかのガス、プラズマ、等が、あるマルチステーションモジュールから別のマルチステーションモジュールへと移動するのが防止される。 2B, an exemplary embodiment of a cutaway plan view of a multi-station semiconductor processing tool 230 is shown. The semiconductor processing tool 200 is shown as including a front vacuum transfer robot (VTR) 235A proximate a first transfer station 233 and a rear VTR 235B disposed at the rear of the semiconductor processing tool 230. The front VTR 235A and the rear VTR 235B may be the same or similar to the vacuum transfer robots 205A, 205B of FIG. 2A. Those skilled in the art will appreciate that the front VTR 235A and the rear VTR 235B are depicted generally as circles so as not to obscure other components of the disclosed subject matter. Also shown is a second transfer station 237. Similar to the description above with reference to FIG. 2A, after a substrate is loaded into various ones of the processing stations 203 (e.g., from the first transfer station 233 or the second transfer station 237), the appropriate one of the chamber transfer ports 223A-223D is closed to prevent any gases, plasma, etc. from transferring from one multi-station module to another.

結果的に、半導体処理ツール230は、図2Aの半導体処理ツール200と同じまたは同様であり得る。ただし、図2Bの実施形態では4つのマルチステーションモジュール231A~231Dしか存在せず、図2Aに示されるような5つではない。結論として、任意の数のモジュールが含まれてもよく、それらは依然として開示される主題の範囲内に留まり得ることを、当業者は認識するであろう。 As a result, the semiconductor processing tool 230 can be the same as or similar to the semiconductor processing tool 200 of FIG. 2A, except that in the embodiment of FIG. 2B, there are only four multi-station modules 231A-231D, not five as shown in FIG. 2A. In conclusion, one skilled in the art will recognize that any number of modules may be included and still remain within the scope of the disclosed subject matter.

図2C~図2Hは、開示される主題の様々な実施形態に係る、処理モジュール内の処理ステーション構成の様々な例を示す図である。当業者には理解されるであろうが、特許図面は正確な縮尺では描かれていない。したがって、処理ステーション構成の各々の幅および/または長さは、特定の処理ツールに合わせて必要に応じて変更され得ることを、当業者は認識するであろう。当業者はまた、図2C~図2Hの処理ステーション構成の様々な例の任意の組み合わせが、特定の処理ツールに対して必要に応じて使用され得ることを認識するであろう。この組み合わせは、全体的なフットプリントを最小にするために、様々なプロセスを1つのツールにおいて組み合わせるために、または当業者が理解するような他の様々な理由で、使用され得る。 2C-2H are diagrams illustrating various examples of processing station configurations within a processing module, according to various embodiments of the disclosed subject matter. As will be appreciated by those skilled in the art, patent drawings are not drawn to scale. Thus, those skilled in the art will recognize that the width and/or length of each of the processing station configurations may be modified as needed for a particular processing tool. Those skilled in the art will also recognize that any combination of the various examples of processing station configurations of FIGS. 2C-2H may be used as needed for a particular processing tool. This combination may be used to minimize the overall footprint, to combine various processes in one tool, or for various other reasons as will be appreciated by those skilled in the art.

図2Cは、2つの処理ステーション203を有するマルチステーションモジュール241の2ステーション配置構成240を示す。図2Dは、3つの処理ステーション203を有するマルチステーションモジュール251の第1の3ステーション配置構成250を示す。図2Eは、3つの処理ステーション203を有するマルチステーションモジュール261の第2の3ステーション配置構成260を示す。開示される主題を読んで理解すれば、当業者であれば、例えば、第1の3ステーション配置構成250と第2の3ステーション配置構成260を互いに密に近接させて構成することができ、このことにより、この場合もそのような処理ステーション配置構成を使用するプロセスツールの全体的なフットプリントを小さくできることを認識するであろう。 2C illustrates a two-station arrangement 240 of a multi-station module 241 having two processing stations 203. FIG. 2D illustrates a first three-station arrangement 250 of a multi-station module 251 having three processing stations 203. FIG. 2E illustrates a second three-station arrangement 260 of a multi-station module 261 having three processing stations 203. Upon reading and understanding the disclosed subject matter, one of ordinary skill in the art will recognize that, for example, the first three-station arrangement 250 and the second three-station arrangement 260 can be configured in close proximity to one another, again reducing the overall footprint of a process tool using such processing station arrangements.

図2Fは、5つの処理ステーション203を有するマルチステーションモジュール271の5ステーション配置構成270を示す。図2Gは、6つの処理ステーション203を有するマルチステーションモジュール281の6ステーション配置構成280を示す。図2Hは、7つの処理ステーション203を有するマルチステーションモジュール291の7ステーション配置構成290を示す。 Figure 2F shows a five-station arrangement 270 of a multi-station module 271 having five processing stations 203. Figure 2G shows a six-station arrangement 280 of a multi-station module 281 having six processing stations 203. Figure 2H shows a seven-station arrangement 290 of a multi-station module 291 having seven processing stations 203.

図2C~図2Hの処理モジュール内の処理ステーション構成の様々な例は、例としてのみ提供されている。当業者であれば、図示されたもの以外にも処理ステーションの様々な数および構成が考えられ、それらが依然として開示される主題の範囲内にあり得ることを認識するであろう。 The various examples of processing station configurations within the processing modules of Figures 2C-2H are provided by way of example only. Those skilled in the art will recognize that various numbers and configurations of processing stations other than those illustrated are contemplated and may still be within the scope of the disclosed subject matter.

図3Aは、処理ステーション203の四角形形状の構成323を有するマルチステーション半導体処理ツール300の、例示的な実施形態の切断平面図を示す。半導体処理ツール300は、複数のマルチステーションモジュール301A~301Dを含むように示されている。複数のマルチステーションモジュール301A~301Dは、モジュールの個々のものが互いに対してある角度を成して広がった状態で示されているが、このように角度を限定することは意図していない。つまり、マルチステーションモジュール301A~301Dは、1つのモジュールの少なくとも1つの縁部が、これらのモジュールのうちの少なくとも1つの他のものと平行になるように配置されてもよい。示されているように、チャンバ搬送ポート325A~325Dは、真空搬送モジュール321と各マルチステーションモジュール301A~301Dとの間に配置されている。 3A illustrates a cutaway plan view of an exemplary embodiment of a multi-station semiconductor processing tool 300 having a rectangular shaped configuration 323 of processing stations 203. The semiconductor processing tool 300 is shown to include a plurality of multi-station modules 301A-301D. The plurality of multi-station modules 301A-301D are shown splayed at an angle relative to one another, but such angle is not intended to be limiting. That is, the multi-station modules 301A-301D may be arranged such that at least one edge of one module is parallel to at least one other of the modules. As shown, chamber transfer ports 325A-325D are located between the vacuum transfer module 321 and each of the multi-station modules 301A-301D.

半導体処理ツール300はまた、第1の処理ステーション307、第2の処理ステーション309A、および第3の処理ステーション309Bを含む追加の処理ステーションを含むようにも示されている。処理ステーション307、309A、309Bのうちの追加のものは、各々が真空搬送モジュール321内に配置されているため、高真空環境(例えば、数Torr以下)に曝される可能性がある。3つの処理ステーションが示されているが、開示される主題を読んで理解すれば、当業者は、真空搬送モジュール321内により多くのまたはより少ない処理ステーションが収容され得ることを認識するであろう。例えば、例示的な実施形態では、真空搬送モジュール321内に、1つ、2つ、4つ、5つ、またはそれ以上の処理ステーションが収容され得る。3つの処理ステーションは、開示される主題の更なる態様を説明するための単なる例として示されている。 The semiconductor processing tool 300 is also shown to include additional processing stations, including a first processing station 307, a second processing station 309A, and a third processing station 309B. The additional ones of the processing stations 307, 309A, 309B may be exposed to a high vacuum environment (e.g., a few Torr or less) because each is disposed within a vacuum transfer module 321. Although three processing stations are shown, upon reading and understanding the disclosed subject matter, one of ordinary skill in the art will recognize that more or fewer processing stations may be housed within the vacuum transfer module 321. For example, in an exemplary embodiment, one, two, four, five, or more processing stations may be housed within the vacuum transfer module 321. Three processing stations are shown merely as an example to illustrate further aspects of the disclosed subject matter.

図2Aの半導体処理ツール200と同様にまたは同一の様式で、基板の各々は、奥行きの浅いEFEM311内に配置されているリニアATR617によって、半導体処理ツール300内に装填される。リニアATR617は、複数の基板キャリア211のうちの1つから搬送ステーション313上に、1回に少なくとも単一の基板を装填する。搬送ステーション313は、図2Aおよび図2Bの搬送ステーション213、207、233、237と同じまたは同様であり得る。 In a manner similar or identical to the semiconductor processing tool 200 of FIG. 2A, each of the substrates is loaded into the semiconductor processing tool 300 by a linear ATR 617 disposed in the shallow EFEM 311. The linear ATR 617 loads at least a single substrate at a time from one of the multiple substrate carriers 211 onto the transfer station 313. The transfer station 313 can be the same as or similar to the transfer stations 213, 207, 233, 237 of FIGS. 2A and 2B.

半導体処理ツール300はまた、搬送ステーション313に近い方の前側真空搬送ロボット(VTR)305Aと、半導体処理ツール300の後側に配置されている後側VTR305Bと、を含むようにも示されている。当業者は、開示される主題の他の構成要素を不明瞭にしないように、前側VTR305Aおよび後側VTR305Bが円として概略的に描かれていることを諒解するであろう。前側VTR305Aおよび後側VTR305Bは、図2Aおよび図2Bの真空搬送ロボット205A、205B、235A、235Bと同じまたは同様であり得る。前側VTR305Aは、搬送ステーション313から処理ステーション203のうちの1つへと基板を搬送し得るか、あるいは、前側VTR305Aは、処理ステーション307、309A、309Bのうちの1つへと基板を搬送し得るか、のいずれかである。同様に、後側VTR305Bは、例えば、処理ステーション307、309A、309Bのうちの1つから処理ステーション203のうちの1つへと基板を搬送し得るか、あるいは、後側VTR305Aは、処理ステーション203のうちの1つから処理ステーション307、309A、309Bのうちの1つへと基板を搬送し得るか、のいずれかである。別の可能な搬送の組み合わせでは、前側VTR305Aは、処理ステーション203のうちの1つから処理ステーション203のうちの別の1つへと、基板を搬送することができる。 The semiconductor processing tool 300 is also shown to include a front vacuum transfer robot (VTR) 305A proximate the transfer station 313 and a rear VTR 305B disposed at the rear of the semiconductor processing tool 300. Those skilled in the art will appreciate that the front VTR 305A and the rear VTR 305B are depicted generally as circles so as not to obscure other components of the disclosed subject matter. The front VTR 305A and the rear VTR 305B may be the same as or similar to the vacuum transfer robots 205A, 205B, 235A, 235B of FIGS. 2A and 2B. The front VTR 305A may either transfer the substrate from the transfer station 313 to one of the processing stations 203, or the front VTR 305A may transfer the substrate to one of the processing stations 307, 309A, 309B. Similarly, the rear VTR 305B can, for example, either transport a substrate from one of the processing stations 307, 309A, 309B to one of the processing stations 203, or the rear VTR 305A can transport a substrate from one of the processing stations 203 to one of the processing stations 307, 309A, 309B. In another possible transport combination, the front VTR 305A can transport a substrate from one of the processing stations 203 to another one of the processing stations 203.

特定の例示的な実施形態では、第1の処理ステーション307は前洗浄ステーションを備える。半導体処理工程において、基板の一面(または両面)から、例えば(例えばシリコンウエハ上の)自然酸化物および他の不純物を除去するために、前洗浄工程が採用されることが多い。 In certain exemplary embodiments, the first processing station 307 comprises a pre-cleaning station. In semiconductor processing, a pre-cleaning step is often employed to remove, for example, native oxides (e.g., on silicon wafers) and other impurities from one side (or both sides) of a substrate.

特定の例示的な実施形態では、第2の処理ステーション309Aおよび第3の処理ステーション309Bは、脱ガスステーションを各々備える。例示的な脱ガス工程では、真空搬送モジュール321の高真空環境に入った後で、基板は、第2の処理ステーション309Aまたは第3の処理ステーション309Bにおいて脱着ステップを受けることができる。脱ガス工程は、不活性ガス環境(例えばアルゴン(Ar))下で実行することができ、処理シーケンスにおけるその他の工程よりも、かなり(例えば、約50℃~約100℃)高い温度で実行することができる。一般に、脱ガス工程では、基板上の水分および膜などの気体状物質および/または液体物質を除去することができる。 In certain exemplary embodiments, the second processing station 309A and the third processing station 309B each include a degassing station. In an exemplary degassing process, after entering the high vacuum environment of the vacuum transfer module 321, the substrate may undergo a desorption step in the second processing station 309A or the third processing station 309B. The degassing process may be performed under an inert gas environment (e.g., argon (Ar)) and at a temperature significantly (e.g., about 50° C. to about 100° C.) higher than other steps in the processing sequence. In general, the degassing process may remove gaseous and/or liquid substances, such as moisture and films, on the substrate.

前洗浄工程および脱ガス工程などの工程では、真空搬送モジュール321をポンプダウンすることによって、ある程度の真空レベル(例えば、数Torr以下の圧力)が達成される。全体として、真空搬送モジュール321内に更に別の種類の処理ステーションを含めることで、基板の処理のための重要な処理能力が追加され、基板のスループットが大幅に改善される。 For processes such as pre-cleaning and degassing, a certain vacuum level (e.g., pressures of a few Torr or less) is achieved by pumping down the vacuum transfer module 321. Overall, the inclusion of additional types of processing stations within the vacuum transfer module 321 adds significant processing capacity for processing of substrates, greatly improving substrate throughput.

様々な実施形態において、追加の処理ステーション(例えば、第1の処理ステーション307、第2の処理ステーション309A、および第3の処理ステーション309B)は、前洗浄工程または脱ガス工程以外の機能を実行するように構成され得る。様々な実施形態において、追加の処理ステーションのうちの1つまたは複数を、後処理工程も実行するように構成することができる。後処理工程としては例えば、急速熱アニーリングおよび当業者に知られている他のプロセスを挙げることができる。様々な実施形態において、追加の処理ステーションのうちの1つまたは複数を、前処理工程と後処理工程を交互に実行するように構成することができる。 In various embodiments, the additional processing stations (e.g., first processing station 307, second processing station 309A, and third processing station 309B) may be configured to perform functions other than pre-cleaning or degassing steps. In various embodiments, one or more of the additional processing stations may also be configured to perform post-processing steps, such as rapid thermal annealing and other processes known to those skilled in the art. In various embodiments, one or more of the additional processing stations may be configured to alternate between pre-processing and post-processing steps.

図3Bは、処理ステーションの菱形形状の構成を有するマルチステーション半導体処理ツール330の、例示的な実施形態の切断平面図を示す。半導体処理ツール300は、開示される主題の実施形態に係る、真空搬送モジュール321内に配置されている追加の処理ステーション337、339A、339Bを含む。処理ステーション337、339A、339Bは、図3Aを参照して上記したように、重要な追加の処理能力を提供する。同じく図3Aを参照して記載されているように、3つの追加の処理ステーションが示されているが、開示される主題を読んで理解すれば、当業者は、真空搬送モジュール321内により多くのまたはより少ない追加の処理ステーションが収容され得ることを認識するであろう。例えば、例示的な実施形態では、真空搬送モジュール321内に、1つ、2つ、4つ、5つ、またはそれ以上の処理ステーションが収容され得る。3つの処理ステーションは、開示される主題の更なる態様を説明するための単なる例として示されている。図3Aを参照して上記したように、特定の例示的な実施形態では、第1の処理ステーション337は前洗浄ステーションを備える。第2の処理ステーション309Aおよび第3の処理ステーション309Bは、脱ガスステーションを各々備え得る。他の実施形態では、処理ステーション307、309A、309Bのうちの少なくとも1つは、後処理ステーションを備え得る。他の実施形態では、処理ステーション307、309A、309Bは、前処理工程および後処理工程を交互に含み得る。 3B illustrates a cutaway plan view of an exemplary embodiment of a multi-station semiconductor processing tool 330 having a diamond-shaped configuration of processing stations. The semiconductor processing tool 300 includes additional processing stations 337, 339A, 339B disposed within a vacuum transfer module 321, according to an embodiment of the disclosed subject matter. The processing stations 337, 339A, 339B provide significant additional processing capacity, as described above with reference to FIG. 3A. Although three additional processing stations are shown, as also described with reference to FIG. 3A, upon reading and understanding the disclosed subject matter, one skilled in the art will recognize that more or fewer additional processing stations may be accommodated within the vacuum transfer module 321. For example, in an exemplary embodiment, one, two, four, five, or more processing stations may be accommodated within the vacuum transfer module 321. Three processing stations are shown merely as an example to illustrate further aspects of the disclosed subject matter. As described above with reference to FIG. 3A, in certain exemplary embodiments, the first processing station 337 comprises a pre-cleaning station. The second processing station 309A and the third processing station 309B may each include a degassing station. In other embodiments, at least one of the processing stations 307, 309A, 309B may include a post-processing station. In other embodiments, the processing stations 307, 309A, 309B may include alternating pre-processing and post-processing steps.

更に、示されているように、チャンバ搬送ポート325A~325Eは、真空搬送モジュール321と各マルチステーションモジュール331A~331Eとの間に配置されている。図3Aの半導体処理ツール300と同様にまたは同一の様式で、基板の各々は、奥行きの浅いEFEM311内に配置されているリニアATR617によって、半導体処理ツール330内に装填される。リニアATR617は、複数の基板キャリア211のうちの1つから搬送ステーション333上に、1回に少なくとも単一の基板を装填する。前洗浄工程および脱ガス工程などの工程では、真空搬送モジュール321をポンプダウンすることによって、ある程度の真空レベル(例えば、数Torr以下の圧力)が達成される。 Further, as shown, chamber transfer ports 325A-325E are disposed between the vacuum transfer module 321 and each of the multi-station modules 331A-331E. In a manner similar or identical to the semiconductor processing tool 300 of FIG. 3A, each of the substrates is loaded into the semiconductor processing tool 330 by a linear ATR 617 disposed in the shallow EFEM 311. The linear ATR 617 loads at least a single substrate at a time from one of the substrate carriers 211 onto the transfer station 333. For processes such as pre-cleaning and degassing, a certain vacuum level (e.g., pressures of a few Torr or less) is achieved by pumping down the vacuum transfer module 321.

半導体処理ツール330はまた、前側真空搬送ロボット(VTR)335Aおよび後側VTR335Bも含むように示されている。当業者は、開示される主題の他の構成要素を不明瞭にしないように、前側VTR335Aおよび後側VTR335Bが円として概略的に描かれていることを諒解するであろう。前側VTR335Aおよび後側VTR335Bは、図3Aの真空搬送ロボット305A、305Bと同じまたは同様であり得る。 The semiconductor processing tool 330 is also shown to include a front vacuum transfer robot (VTR) 335A and a rear VTR 335B. Those skilled in the art will appreciate that the front VTR 335A and the rear VTR 335B are depicted generally as circles so as not to obscure other components of the disclosed subject matter. The front VTR 335A and the rear VTR 335B may be the same as or similar to the vacuum transfer robots 305A, 305B of FIG. 3A.

マルチステーションモジュール331A~331Eの各々内の処理ステーション203の菱形形状の構成215(図2Aを参照)により、モジュールのうちの隣り合うものを、図3Aの四角形形状の構成323で可能となる場合よりも、互いに密に近接して設置することが可能になる。引き続き図3Bを参照すると、当業者であれば、処理ステーション203の菱形形状の構成に基づいて、モジュールのうちの隣り合うもの、例えば、半導体処理ツール330のうちの、奥行きの浅いEFEM311から最も遠位にある部分(最も遠位とはツールの後側にあることを意味し、紙面の頂部付近に図示されている)に配置されているマルチステーションモジュール331A、331E、331Dが、図1の4ステーションモジュール101A~101Dよりも互いに密に近接して設置され得ることを認識するであろう。つまり、菱形形状の構成によって、モジュールの一部が角度のついた外側表面227(図2Aを参照)を有することが可能になる。角度のついた外側表面227によって、モジュールの充填密度を高めることが可能になる-マルチステーションモジュール331A~331Eは、各モジュール内に依然として同じ数の処理ステーション203を含みながら、より小さなフットプリントで一緒に設置され得る。 The diamond-shaped configuration 215 (see FIG. 2A) of the processing stations 203 in each of the multi-station modules 331A-331E allows adjacent ones of the modules to be placed closer together than would be possible with the square-shaped configuration 323 of FIG. 3A. With continued reference to FIG. 3B, one skilled in the art will recognize that based on the diamond-shaped configuration of the processing stations 203, adjacent ones of the modules, e.g., the multi-station modules 331A, 331E, 331D located in the portion of the semiconductor processing tool 330 furthest from the shallow EFEM 311 (furthest meaning toward the rear of the tool and shown near the top of the page), can be placed closer together than the four-station modules 101A-101D of FIG. 1. That is, the diamond-shaped configuration allows a portion of the modules to have an angled outer surface 227 (see FIG. 2A). The angled outer surface 227 allows for increased packing density of the modules - multi-station modules 331A-331E can be placed together in a smaller footprint while still including the same number of processing stations 203 within each module.

図3Cは、処理ステーション203の四角形形状の構成323および菱形形状の構成215の両方を有するマルチステーション半導体処理ツール370の、例示的な実施形態の切断平面図を示す。処理ステーション203の四角形形状の構成323および菱形形状の構成215により、半導体処理ツール370は、処理ステーションのハイブリッド構成を有すると見なしてもよい。 Figure 3C illustrates a cutaway plan view of an exemplary embodiment of a multi-station semiconductor processing tool 370 having both a square-shaped configuration 323 and a diamond-shaped configuration 215 of processing stations 203. With the square-shaped configuration 323 and diamond-shaped configuration 215 of processing stations 203, the semiconductor processing tool 370 may be considered to have a hybrid configuration of processing stations.

半導体処理ツール370は、開示される主題の実施形態に係る、真空搬送モジュール321内に配置されている追加の処理ステーション377、379A、379Bを含むものとして示されている。追加の処理ステーション377、379A、379Bは、図3Aを参照して上記したように、重要な追加の処理能力を提供する。同じく図3Aを参照して記載されているように、3つの追加の処理ステーションが示されているが、開示される主題を読んで理解すれば、当業者は、真空搬送モジュール321内により多くのまたはより少ない追加の処理ステーションが収容され得ることを認識するであろう。例えば、例示的な実施形態では、真空搬送モジュール321内に、1つ、2つ、4つ、5つ、またはそれ以上の処理ステーションが収容され得る。3つの処理ステーションは、開示される主題の更なる態様を説明するための単なる例として示されている。図3Aを参照して上記したように、特定の例示的な実施形態では、第1の処理ステーション377は前洗浄ステーションを備える。第2の処理ステーション379Aおよび第3の処理ステーション379Bは、脱ガスステーションを各々備え得る。追加の処理ステーション377、379A、379Bのうちの1つまたは複数は、後処理工程を実行するように、または前処理工程と後処理工程を交互に実行するように構成されてもよい。 The semiconductor processing tool 370 is shown as including additional processing stations 377, 379A, 379B disposed within the vacuum transfer module 321, according to an embodiment of the disclosed subject matter. The additional processing stations 377, 379A, 379B provide significant additional processing capacity, as described above with reference to FIG. 3A. Although three additional processing stations are shown, as also described with reference to FIG. 3A, upon reading and understanding the disclosed subject matter, one skilled in the art will recognize that more or fewer additional processing stations may be accommodated within the vacuum transfer module 321. For example, in an exemplary embodiment, one, two, four, five, or more processing stations may be accommodated within the vacuum transfer module 321. Three processing stations are shown merely as an example to illustrate further aspects of the disclosed subject matter. As described above with reference to FIG. 3A, in certain exemplary embodiments, the first processing station 377 comprises a pre-cleaning station. The second processing station 379A and the third processing station 379B may each comprise a degassing station. One or more of the additional processing stations 377, 379A, 379B may be configured to perform a post-processing step or to alternate between pre-processing and post-processing steps.

更に、示されているように、チャンバ搬送ポート325A~325Eは、真空搬送モジュール321と各マルチステーションモジュール371A~371Eとの間に配置されている。図3Aの半導体処理ツール300と同様にまたは同一の様式で、基板の各々は、奥行きの浅いEFEM311内に配置されているリニアATR617によって、半導体処理ツール370内に装填される。リニアATR617は、複数の基板キャリア211のうちの1つから搬送ステーション373上に、1回に少なくとも単一の基板を装填する。前洗浄工程および脱ガス工程などの工程では、真空搬送モジュール321をポンプダウンすることによって、ある程度の真空レベル(例えば、数Torr以下の圧力)が達成される。 Further, as shown, chamber transfer ports 325A-325E are disposed between the vacuum transfer module 321 and each of the multi-station modules 371A-371E. In a manner similar or identical to the semiconductor processing tool 300 of FIG. 3A, each of the substrates is loaded into the semiconductor processing tool 370 by a linear ATR 617 disposed in the shallow EFEM 311. The linear ATR 617 loads at least a single substrate at a time from one of the substrate carriers 211 onto the transfer station 373. For processes such as pre-cleaning and degassing, a certain vacuum level (e.g., pressures of a few Torr or less) is achieved by pumping down the vacuum transfer module 321.

半導体処理ツール370はまた、前側真空搬送ロボット(VTR)375Aおよび後側VTR375Bを含むようにも示されている。当業者は、開示される主題の他の構成要素を不明瞭にしないように、前側VTR375Aおよび後側VTR375Bが円として概略的に描かれていることを諒解するであろう。前側VTR375Aおよび後側VTR375Bは、図3Aの真空搬送ロボット305A、305Bと同じまたは同様であり得る。 The semiconductor processing tool 370 is also shown to include a front vacuum transfer robot (VTR) 375A and a rear VTR 375B. Those skilled in the art will appreciate that the front VTR 375A and the rear VTR 375B are depicted generally as circles so as not to obscure other components of the disclosed subject matter. The front VTR 375A and the rear VTR 375B may be the same as or similar to the vacuum transfer robots 305A, 305B of FIG. 3A.

マルチステーションモジュール371A、371B、371Cの各々内の処理ステーション203の菱形形状の構成215により、モジュールのうちの隣り合うものを、図3Aの四角形形状の構成323で可能となる場合よりも、互いに密に近接して設置することが可能になる。引き続き図3Cを参照すると、当業者であれば、処理ステーション203の菱形形状の構成215に基づいて、モジュールのうちの隣り合うもの、例えば、半導体処理ツール370のうちの、奥行きの浅いEFEM311から最も遠位にある部分(最も遠位とは、ツールの後側すなわち紙面の頂部付近にあることを意味する)に配置されているマルチステーションモジュール371A、371B、371Cが、マルチステーションモジュール381A、381Bよりも互いに密に近接して設置され得ることを認識するであろう。つまり、菱形形状の構成215によって、モジュールの一部が角度のついた外側表面227(図2Aを参照)を有することが可能になる。角度のついた外側表面227によって、モジュールの充填密度を高めることが可能になる-マルチステーションモジュール371A~371Cは、各モジュール内に依然として同じ数の処理ステーション203を含みながら、より小さなフットプリントで一緒に設置され得る。 The diamond-shaped configuration 215 of the processing stations 203 in each of the multi-station modules 371A, 371B, 371C allows adjacent ones of the modules to be placed closer together than would be possible with the square-shaped configuration 323 of FIG. 3A. With continued reference to FIG. 3C, one skilled in the art will recognize that based on the diamond-shaped configuration 215 of the processing stations 203, adjacent ones of the modules, for example, the multi-station modules 371A, 371B, 371C located at the portion of the semiconductor processing tool 370 that is furthest from the shallow EFEM 311 (furthest meaning toward the rear of the tool, i.e., toward the top of the page), can be placed closer together than the multi-station modules 381A, 381B. That is, the diamond-shaped configuration 215 allows a portion of the module to have an angled outer surface 227 (see FIG. 2A). The angled outer surface 227 allows for increased packing density of the modules - multi-station modules 371A-371C can be placed together in a smaller footprint while still including the same number of processing stations 203 within each module.

ここで図4Aを参照すると、2レベルロードロックおよび搬送ステーション401(または基板搬送ポート)を含む半導体処理ツール400が示されている。半導体処理ツール400は、図2A~図3Cを参照して上述したような開示される主題の様々な実施形態に従う。当業者であれば、搬送ステーションの一方が他方の上方に配置されることを理解するであろう。その結果、2レベルロードロックおよび搬送ステーション401は、第1のx-y平面に配置されている第1のレベルのロードロックと、第1のx-y平面よりも高いかまたは低いかのいずれかである第2のx-y平面に配置されている第2の2レベルロードロックおよび搬送ステーションと、を有する。したがって、図4Aの平面図では、搬送ステーションの一方は明示的に示されていない。半導体処理ツール400は、それ以外の点では、例えば、図3Bの半導体処理ツール330と同じであり得る。ただし、2レベルロードロックおよび搬送ステーション401は、本明細書に示され記載される開示される主題の半導体処理ツールのうちの任意のものとともに使用され得る。全体として、当業者は、2レベルロードロックおよび搬送ステーション401が基板のスループットを大幅に向上させ得ることを認識するであろう。 Now referring to FIG. 4A, a semiconductor processing tool 400 is shown that includes a two-level load lock and transfer station 401 (or substrate transfer port). The semiconductor processing tool 400 is in accordance with various embodiments of the disclosed subject matter as described above with reference to FIGS. 2A-3C. One skilled in the art will appreciate that one of the transfer stations is disposed above the other. As a result, the two-level load lock and transfer station 401 has a first level load lock disposed in a first xy plane and a second two-level load lock and transfer station disposed in a second xy plane that is either higher or lower than the first xy plane. Thus, in the plan view of FIG. 4A, one of the transfer stations is not explicitly shown. The semiconductor processing tool 400 may otherwise be the same as, for example, the semiconductor processing tool 330 of FIG. 3B. However, the two-level load lock and transfer station 401 may be used with any of the semiconductor processing tools of the disclosed subject matter shown and described herein. Overall, one skilled in the art will recognize that the two-level load lock and transfer station 401 can significantly increase substrate throughput.

図4Bは、タンデム型2レベルロードロックおよび搬送ステーションを含む半導体処理ツール430の例示的な実施形態を示す。タンデム型2レベルロードロックおよび搬送ステーションは、左側の1対(一方が他方の上)の搬送ステーション431Lと、右側の1対(一方が他方の上)の搬送ステーション431R(または基板搬送ポート)と、を含む。半導体処理ツール430は、図2A~図3Cを参照して上記したような開示される主題の実施形態に従う。当業者は、搬送ステーションの一方が他方の上に位置するため、搬送ステーションの対の各々がしたがって図4Bの平面図には明示的には示されていないことを理解するであろう。その結果、2レベルロードロックおよび搬送ステーション431Lおよび431Rは各々が、第1のx-y平面に配置されている第1のレベルのロードロックと、第1のx-y平面よりも高いかまたは低いかのいずれかである第2のx-y平面に配置されている第2の2レベルロードロックおよび搬送ステーションと、を有する。半導体処理ツール430は、それ以外の点では、例えば、図3Bの半導体処理ツール330と同じであり得る。ただし、タンデム型2レベルロードロックおよび搬送ステーション431L、431Rは、本明細書に示され記載される開示される主題の半導体処理ツールのうちの任意のものとともに使用され得る。全体として、当業者は、タンデム型2レベルロードロックおよび搬送ステーション431L、431Rが基板のスループットを大幅に向上させ得ることを認識するであろう。当業者は更に、3つ以上の2レベルロードロックおよび搬送ステーションを、隣り合わせて、または1つずつ重ねて使用できることを認識するであろう。 4B illustrates an exemplary embodiment of a semiconductor processing tool 430 including a tandem two-level load lock and transfer station. The tandem two-level load lock and transfer station includes a pair of transfer stations 431L on the left (one above the other) and a pair of transfer stations 431R (or substrate transfer ports) on the right (one above the other). The semiconductor processing tool 430 follows an embodiment of the disclosed subject matter as described above with reference to FIGS. 2A-3C. Those skilled in the art will appreciate that since the transfer stations are located one above the other, each pair of transfer stations is therefore not explicitly shown in the plan view of FIG. 4B. As a result, the two-level load lock and transfer stations 431L and 431R each have a first level load lock disposed in a first xy plane and a second two-level load lock and transfer station disposed in a second xy plane that is either higher or lower than the first xy plane. The semiconductor processing tool 430 may otherwise be the same as, for example, the semiconductor processing tool 330 of FIG. 3B. However, the tandem two-level load lock and transfer station 431L, 431R may be used with any of the semiconductor processing tools of the disclosed subject matter shown and described herein. Overall, those skilled in the art will recognize that the tandem two-level load lock and transfer station 431L, 431R may significantly increase substrate throughput. Those skilled in the art will further recognize that three or more two-level load locks and transfer stations may be used side-by-side or stacked one on top of the other.

図4Cは、開示される主題の実施形態に係る、異なるレベルにある基板搬送経路を示す、半導体処理ツール450の例示的な実施形態を示す。図4Cの半導体処理ツール450は、図4Bの半導体処理ツール430に照らして検討することができる。図4Bでは、2レベルロードロックおよび搬送ステーション431Lおよび431Rは各々が、第1のx-y平面に配置されている第1のレベルのロードロックと、第1のx-y平面よりも高いかまたは低いかのいずれかである第2のx-y平面に配置されている第2の2レベルロードロックおよび搬送ステーションと、を有する。図4Cでは、以下の記載を参照すると、様々な基板搬送経路は、第2のx-y平面よりも高いかまたは低い第1のx-y平面に位置していると見なすことができる。このため、関連する様々な真空搬送ロボット、および真空搬送モジュール内に配置されている処理ステーションも、第1のx-y平面または第2のx-y平面のいずれかにあると見なすことができる。したがって、様々な真空搬送ロボット、および真空搬送モジュール内に配置されている処理ステーションについては、実質的に基板搬送経路と同じx-y平面内のレベルにあるものとして、以下の図4Cの説明を参照することになる。 Figure 4C illustrates an exemplary embodiment of a semiconductor processing tool 450 showing substrate transport paths at different levels according to an embodiment of the disclosed subject matter. The semiconductor processing tool 450 of Figure 4C can be considered in the context of the semiconductor processing tool 430 of Figure 4B. In Figure 4B, the two-level load locks and transfer stations 431L and 431R each have a first level load lock disposed in a first xy plane and a second two-level load lock and transfer station disposed in a second xy plane that is either higher or lower than the first xy plane. In Figure 4C, with reference to the following description, the various substrate transport paths can be considered to be located in a first xy plane that is higher or lower than the second xy plane. Thus, the various associated vacuum transfer robots and processing stations disposed within the vacuum transfer modules can also be considered to be located in either the first xy plane or the second xy plane. Therefore, the various vacuum transport robots and processing stations located within the vacuum transport module are referred to in the following description of Figure 4C as being substantially at the same level in the x-y plane as the substrate transport path.

例えば、特定の例示的な実施形態では、基板は、リニアATR617から、第1の輸送経路451を介して、下位レベル版の搬送ステーション313(明示的には図示せず)へと搬送され得る。基板は次いで、下位レベル版の搬送ステーション313から下位レベル版の前側VTR305Aによって、以下のいずれかへと搬送され得る:(1)第2の輸送経路453を介して、マルチステーションモジュール301Bの下位レベルの処理ステーションのうちの1つに、(2)第3の輸送経路455を介して、マルチステーションモジュール301Cの下位レベルの処理ステーションのうちの1つに、(3)第4の輸送経路461を介して、第1の処理ステーション307の下位レベルの実装に、(4)第5の輸送経路457を介して、第2の処理ステーション309Aの下位レベルの実装に、または(5)第6の輸送経路459を介して、第3の処理ステーション309Bの下位レベルの実装に。 For example, in certain exemplary embodiments, the substrate may be transported from the linear ATR 617 to a lower level version of the transfer station 313 (not explicitly shown) via the first transport path 451. The substrate may then be transported from the lower level version of the transfer station 313 by the lower level version of the front VTR 305A to either: (1) one of the lower level processing stations of the multi-station module 301B via the second transport path 453; (2) one of the lower level processing stations of the multi-station module 301C via the third transport path 455; (3) the lower level implementation of the first processing station 307 via the fourth transport path 461; (4) the lower level implementation of the second processing station 309A via the fifth transport path 457; or (5) the lower level implementation of the third processing station 309B via the sixth transport path 459.

同様に、基板は次いで、下位レベル版の第1の処理ステーション307から、下位レベル版の後側VTR305Bによって、以下のいずれかへと搬送され得る:(1)第7の輸送経路467および第8の輸送経路469を介して、マルチステーションモジュール301Aの下位レベルの処理ステーションのうちの1つに、(2)第7の輸送経路467および第9の輸送経路471を介して、マルチステーションモジュール301Dの下位レベルの処理ステーションのうちの1つに、(3)第10の輸送経路463を介して、第2の処理ステーション309Aの下位レベルの実装に、または(4)第11の輸送経路465を介して、第3の処理ステーション309Bの下位レベルの実装に。 Similarly, the substrate can then be transported by the rear VTR 305B of the lower level version from the first processing station 307 of the lower level version to either: (1) via the seventh transport path 467 and the eighth transport path 469 to one of the lower level processing stations of the multi-station module 301A, (2) via the seventh transport path 467 and the ninth transport path 471 to one of the lower level processing stations of the multi-station module 301D, (3) via the tenth transport path 463 to the lower level implementation of the second processing station 309A, or (4) via the eleventh transport path 465 to the lower level implementation of the third processing station 309B.

開示される主題を読んで理解すれば、当業者であれば、輸送経路の各々が、本明細書に明示的には詳述されていない他の組み合わせでも使用され得ることを認識するであろう。当業者はまた、図4Cのマルチレベル配置構成が3レベル以上にも拡張されることも認識するであろう。例えば、マルチレベル配置構成は、3、4、またはそれ以上のレベルに拡張することができ、それらは、依然として開示される主題の範囲内にあると見なされ得る。 Upon reading and understanding the disclosed subject matter, one of ordinary skill in the art will recognize that each of the transportation paths may also be used in other combinations not explicitly detailed herein. One of ordinary skill in the art will also recognize that the multi-level arrangement of FIG. 4C may be extended to more than two levels. For example, the multi-level arrangement may be extended to three, four, or more levels and still be considered to be within the scope of the disclosed subject matter.

様々な実施形態において、第1の処理ステーション390、第2の処理ステーション309A、および第3の処理ステーション309Bの下位レベルの実装の各々は、図3Aの第1の処理ステーション390、第2の処理ステーション309A、および第3の処理ステーション309Bと同じまたは同様であり得る。様々な実施形態において、第1の処理ステーション390、第2の処理ステーション309A、および第3の処理ステーション309Bの下位レベルの実装の各々は、図3Aの第1の処理ステーション390、第2の処理ステーション309A、および第3の処理ステーション309Bと異なり得るか、またはとりわけこれらに対する代替の配置構成で構成され得る。 In various embodiments, each of the lower level implementations of the first processing station 390, the second processing station 309A, and the third processing station 309B may be the same as or similar to the first processing station 390, the second processing station 309A, and the third processing station 309B of FIG. 3A. In various embodiments, each of the lower level implementations of the first processing station 390, the second processing station 309A, and the third processing station 309B may be different from or configured in an alternative arrangement relative to, among other things, the first processing station 390, the second processing station 309A, and the third processing station 309B of FIG. 3A.

図5Aは、図1の半導体処理ツール100の典型的なフットプリントを構成する寸法500の例を示す。半導体処理ツールの大部分または全ては、装置チェイス(equipment chase)内に配置されている。主に基板キャリアのみが、製造環境501(例えば、プロセスエンジニアがツールとインターフェースするクリーンルーム)内に配置される。寸法比の例を以下の表1に示す。

Figure 2024518235000002
Figure 5A shows example dimensions 500 that make up a typical footprint of the semiconductor processing tool 100 of Figure 1. Most or all of the semiconductor processing tool is located within the equipment chase. Primarily only the substrate carriers are located within the manufacturing environment 501 (e.g., a clean room where process engineers interface with the tool). Example dimensional ratios are shown in Table 1 below.
Figure 2024518235000002

図5Bおよび図5Cは、図2A~図4Bの特定の例で提供される開示される主題の様々な実施形態に従って検討したツール構成のフットプリントを構成する、寸法比の例を示す。寸法比は、先行技術の表1に示されている寸法比を参照して提供されている。例えば、図5Bの寸法530を参照して、寸法比の例が以下の表2に示されている。しかしながら、当業者は、これらが例に過ぎないことを容易に理解するであろう。したがって、これらの比の例は単に、開示される主題の少なくともある特定の態様を組み込むことによって可能な処理ステーションの密度の増加を理解する際の、補助として提供されているに過ぎない。

Figure 2024518235000003
5B and 5C show example dimensional ratios that make up the footprints of tool configurations contemplated in accordance with various embodiments of the disclosed subject matter, as provided in the specific examples of FIGS. 2A-4B. The dimensional ratios are provided with reference to the dimensional ratios shown in Table 1 of the prior art. For example, with reference to dimension 530 in FIG. 5B, example dimensional ratios are shown in Table 2 below. However, one of ordinary skill in the art will readily appreciate that these are merely examples. Thus, these example ratios are provided merely as an aid in understanding the increased density of processing stations possible by incorporating at least certain aspects of the disclosed subject matter.
Figure 2024518235000003

例として図4Bの半導体処理ツール430を示す図5Cの寸法570を参照すると、寸法比が、先行技術の表1に示されている寸法比と比較して、以下の表3に示されている。ここでもやはり、当業者は、これらが例に過ぎないことを容易に理解するであろう。したがって、これらの比の例は単に、開示される主題の少なくともある特定の態様を組み込むことによって可能な処理ステーションの密度の増加を理解する際の、補助として提供されているに過ぎない。 Referring to dimension 570 in FIG. 5C, which illustrates the semiconductor processing tool 430 of FIG. 4B as an example, the dimensional ratios are shown in Table 3 below as compared to the dimensional ratios shown in Prior Art Table 1. Again, one of ordinary skill in the art will readily appreciate that these are merely examples. Thus, these example ratios are provided merely as an aid in understanding the increased density of processing stations possible by incorporating at least certain aspects of the disclosed subject matter.

この例では、半導体処理ツール430は装置チェイス内に配置されている。製造環境501と一緒に配置できるモジュールの部分571を除き、主に基板キャリアのみが製造環境501内に配置される。

Figure 2024518235000004
In this example, the semiconductor processing tool 430 is located within the equipment chase. Except for the portion 571 of the module that may be co-located with the manufacturing environment 501, primarily only the substrate carrier is located within the manufacturing environment 501.
Figure 2024518235000004

図5A~図5Cを同時に参照すると、開示される主題を読んで理解すれば、当業者であれば、菱形形状の構成を用いた様々なツール配置構成によって、様々な実施形態において、処理ステーションの密度を高める得ることを認識するであろう。他の実施形態では、追加の処理ステーション(例えば、真空搬送モジュール内の前洗浄ステーションおよび脱ガスステーション)によって、基板の処理のための重要な処理能力が追加され、基板のスループットが大幅に改善される。他の実施形態では、菱形形状の構成と追加の処理ステーションとの組み合わせにより、処理ステーションの密度を高めること、および/または、追加の処理ステーションを組み込むことによって重要な処理能力を追加することができる。 5A-5C simultaneously, upon reading and understanding the disclosed subject matter, one of ordinary skill in the art will recognize that various tool layout configurations using diamond shaped configurations can increase the density of processing stations in various embodiments. In other embodiments, additional processing stations (e.g., pre-cleaning and degassing stations in the vacuum transport module) can add significant processing capacity for processing substrates and significantly improve substrate throughput. In other embodiments, the combination of diamond shaped configurations and additional processing stations can increase the density of processing stations and/or add significant processing capacity by incorporating additional processing stations.

図6Aおよび図6Bはそれぞれ、開示される主題の様々な実施形態とともに使用され得る、奥行きの浅い装置フロントエンドモジュール(EFEM)600に適合する大気圧搬送ロボット(ATR)617の例示的な平面図および立面図である。 Figures 6A and 6B are exemplary plan and elevation views, respectively, of an atmospheric transfer robot (ATR) 617 fitted to a shallow equipment front end module (EFEM) 600 that may be used with various embodiments of the disclosed subject matter.

図6Aの例示的な平面図601Aおよび図6Bの立面図601Bを参照すると、リニアATR617は、EFEM600内の1つまたは複数の垂直レール603に取り付けられ得る。そして1つまたは複数の垂直レール603は、水平レール601に取り付けられる。リニアATR617は、垂直レール603上で垂直Z方向に昇降するように構成されている。例えば、リニアATR617は、垂直レール603のスロット(明示していないが当業者には理解可能である)内に取り付けることができる。他方で、リニアATR617は、水平レール601に沿って垂直レール603上で水平X方向にスライドするように構成されている。その結果、リニアATR617の位置をZ方向およびX方向に調整して、複数のロードロック615への、および基板キャリア211を収容している装填ステーションへのアクセスを提供することができる(図2Aを参照、図6Aまたは図6Bの場合は明示的に示されていない)。装填ステーションおよび複数のロードロック615はいずれも、様々な高さに(Z方向において異なるレベルに)あり得る。 With reference to the exemplary plan view 601A of FIG. 6A and the elevation view 601B of FIG. 6B, the linear ATR 617 may be mounted on one or more vertical rails 603 in the EFEM 600. The one or more vertical rails 603 are in turn mounted on the horizontal rails 601. The linear ATR 617 is configured to move up and down in the vertical Z direction on the vertical rails 603. For example, the linear ATR 617 may be mounted in a slot (not explicitly shown but understandable to those skilled in the art) in the vertical rails 603. On the other hand, the linear ATR 617 is configured to slide in the horizontal X direction on the vertical rails 603 along the horizontal rails 601. As a result, the position of the linear ATR 617 may be adjusted in the Z and X directions to provide access to the multiple load locks 615 and to the loading station housing the substrate carriers 211 (see FIG. 2A, not explicitly shown in the case of FIG. 6A or FIG. 6B). Both the loading station and the multiple load locks 615 may be at various heights (at different levels in the Z direction).

一例では、リニアATR617は2つのアーム613を含む。図6Aでは、2つのアーム613の一方が他方に重なっている(折り畳まれた構成の2つのアーム613)。2つのアーム613の各々は、アームセグメント605とエンドエフェクタ607とを含む。様々な実施形態において、2つのアーム613の一方は他方より長くてもよい。また、2つのアームが示されているが、当業者であれば、開示される主題を読んで理解すれば、単一のアームまたは3つ以上のアームが様々な配置構成で使用され得ることを認識するであろう。様々な実施形態では、例えば、基板キャリア211(例えば図2Aを参照)および複数のロードロック615のうちの様々なものとの関係におけるEFEM600の全体的な構成に応じて、2つのアーム613のうちの一方が他方よりも長くてもよい。 In one example, the linear ATR 617 includes two arms 613. In FIG. 6A, one of the two arms 613 is shown overlapping the other (two arms 613 in a folded configuration). Each of the two arms 613 includes an arm segment 605 and an end effector 607. In various embodiments, one of the two arms 613 may be longer than the other. Also, while two arms are shown, those skilled in the art will recognize upon reading and understanding the disclosed subject matter that a single arm or three or more arms may be used in various arrangements. In various embodiments, one of the two arms 613 may be longer than the other depending on, for example, the overall configuration of the EFEM 600 in relation to the substrate carrier 211 (see, for example, FIG. 2A) and various of the multiple load locks 615.

2つのアーム613が示されているような折り畳まれた配置構成となっている場合、リニアATR617は、EFEM600に対して(例えば、輸送中の基板の寸法と比較して)比較的狭いプロファイルを有する。これに応じて、EFEM600は、複数のロードロック615のうちの少なくとも一部と、真空ゲート(明示的には図示せず)のうちの対応するものと、を収容するように構成される。 When the two arms 613 are in the folded configuration as shown, the linear ATR 617 has a relatively narrow profile relative to the EFEM 600 (e.g., compared to the dimensions of a substrate during transport). Accordingly, the EFEM 600 is configured to accommodate at least a portion of the multiple load locks 615 and corresponding ones of the vacuum gates (not explicitly shown).

様々な実施形態において、EFEM600は、組み込まれた基板アライナ609を含み得る。この例では、2つのアーム613の一方に他方よりも大きな全長を持たせることにより、リニアATR617が図6Aに示す折り畳まれた配置構成にあるときに、エンドエフェクタ607の対応するものを組み込まれた基板アライナ609の上に位置付けることが可能になる。例えば、アームセグメント605およびエンドエフェクタ607の相対的な長さによって、アームセグメント605、エンドエフェクタ607、および組み込まれた基板アライナ609が線611上に(すなわち線611と同軸に)構成される、比較的直線的な折り畳み配置構成が可能になる。 In various embodiments, the EFEM 600 may include an integrated substrate aligner 609. In this example, having one of the two arms 613 have a greater overall length than the other allows a counterpart of the end effector 607 to be positioned above the integrated substrate aligner 609 when the linear ATR 617 is in the folded configuration shown in FIG. 6A. For example, the relative lengths of the arm segment 605 and the end effector 607 allow for a relatively linear folded configuration in which the arm segment 605, the end effector 607, and the integrated substrate aligner 609 are configured on (i.e., coaxial with) the line 611.

図6Aおよび図6Bを引き続き参照すると、2つのアーム613の各々は、垂直レール603のそれぞれの1つのスロット内に取り付けられてもよい。例えば、垂直レール603は互いに独立して移動することができる。言い換えれば、図6Aおよび図6Bではコンパクトな構成で示されているが(すなわち、垂直レール603は互いに比較的近い)、垂直レール603の一方は、EFEM600の垂直レール603の他方とは反対側の端部まで移動され得る。このようにして、2つのアーム613のそれぞれ1つは、装填ステーションおよび/または複数のロードロック615のうちの異なるものに実質的に同時にアクセスするように構成される。他の例では、EFEM600は、垂直レール603の一方のみと、2つのアーム613の対応する一方とを含んでもよい。 6A and 6B, each of the two arms 613 may be mounted in one slot of each of the vertical rails 603. For example, the vertical rails 603 may be moved independently of each other. In other words, although shown in a compact configuration in FIGS. 6A and 6B (i.e., the vertical rails 603 are relatively close to each other), one of the vertical rails 603 may be moved to an opposite end of the EFEM 600 from the other of the vertical rails 603. In this manner, each one of the two arms 613 is configured to access different ones of the loading stations and/or load locks 615 substantially simultaneously. In other examples, the EFEM 600 may include only one of the vertical rails 603 and a corresponding one of the two arms 613.

いくつかの例では、明示的には示されていないが、リニアATR617の配置構成によって達成されるEFEM600内の追加のスペースによって、EFEM600内に追加の基板処理および搬送システムの構成要素を配置することが可能になり得る。例えば、計測ステーション、ストレージバッファ、ノッチアライメントステーション、エッジリングストレージ、等を含むがこれらに限定されない構成要素を、EFEM600内に配置してもよい。一例では、2つのアーム613が折り畳まれた配置構成にあるとき、リニアATR617は例えば、EFEM600の全体的な深さの50%未満を占める。 In some examples, although not explicitly shown, the additional space within the EFEM 600 achieved by the linear ATR 617 arrangement may enable additional substrate processing and transport system components to be placed within the EFEM 600. For example, components may be placed within the EFEM 600, including, but not limited to, metrology stations, storage buffers, notch alignment stations, edge ring storage, etc. In one example, when the two arms 613 are in a folded arrangement, the linear ATR 617 occupies, for example, less than 50% of the overall depth of the EFEM 600.

本明細書で使用される場合、「または(or)」という用語は、包括的な意味または排他的な意味で解釈され得る。更に、当業者には、提供される本開示を読んで理解することに基づいて、他の実施形態が明らかになるであろう。また更に、当業者は、本明細書で提供される技術および例の様々な組み合わせが、全て様々な組み合わせで適用され得ることを容易に理解するであろう。 As used herein, the term "or" may be interpreted in an inclusive or exclusive sense. Moreover, other embodiments will be apparent to those of skill in the art based on reading and understanding the present disclosure provided. Furthermore, those of skill in the art will readily appreciate that various combinations of the techniques and examples provided herein may all be applied in various combinations.

本明細書の全体を通して、単一の例として記載された構成要素、動作、または構造が、複数の例によって実装される場合がある。個々の動作が別々の動作として説明および記載されているが、それら個々の動作のうちの1つまたは複数を同時に実行してもよく、特に断りがない限り、これらの動作を必ず説明された順序で実行するよう要求するものは何もない。例示の構成において複数の個別の構成要素として提示された構造および機能性が、1つの組み合わされた構造または構成要素として実装される場合がある。同様に、単一の構成要素として提示された構造および機能性が、複数の個別の構成要素として実装される場合がある。これらのおよび他の変更、修正、追加、および改善は、本明細書に記載する主題の範囲内にある。 Throughout this specification, a component, operation, or structure described as a single example may be implemented by multiple examples. Although individual operations are illustrated and described as separate operations, one or more of the individual operations may be performed simultaneously, and nothing requires that the operations be performed in the order described unless otherwise specified. Structures and functionality presented as multiple separate components in an example configuration may be implemented as one combined structure or component. Similarly, structures and functionality presented as a single component may be implemented as multiple separate components. These and other changes, modifications, additions, and improvements are within the scope of the subject matter described herein.

更に、明示的には示されていないが当業者には理解できることとして、図2A~図4Bに記載されている真空搬送ロボットの各々は、マルチレベル搬送能力を有している。その結果、VTRは、Zレンジの動きの拡張を各々含み得る。したがって、搬送ステーション、ロードロックチャンバ、処理ステーション、および関連構成要素の各々も、マルチレベル設計のものとすることができる。更に、明示的には示されていないものの開示される主題を読んで理解することで当業者には認識できることとして、上記した真空搬送モジュールの各々は、単一のVTRのみを有してもよい。他の実施形態では、各真空搬送モジュール内で3つ以上のVTRが使用され得る。したがって、上記した例示的な実施形態の各々は、各真空搬送モジュール内に1つまたは複数のVTRを収容し得る。また更に、本明細書に示され記載される例示的な実施形態の各々は、単に1つの可能な構成を代表するものであり、本開示の範囲を限定するものとして理解するべきではない。 ...

開示される主題の真空搬送ロボットの各々は、処理中に基板を移動させることのできる任意の好適なロボットであり得る。いくつかの実施形態では、真空搬送ロボットは複数のアームを有し得る。複数のアームの各々は、アームのうちの他のものから独立して動かすことができる。複数のアームの各々は、x-y平面内でおよび/またはz軸に沿って、独立して動かすことができる(このことにより複数のx-y平面がカバーされる)。 Each of the vacuum transfer robots of the disclosed subject matter can be any suitable robot capable of moving substrates during processing. In some embodiments, the vacuum transfer robot can have multiple arms. Each of the multiple arms can be moved independently of the other of the arms. Each of the multiple arms can be moved independently in the x-y plane and/or along the z-axis (thus covering multiple x-y planes).

更に、真空搬送モジュールの4つの辺または6つの辺が示されているが、当業者であれば、例えば半導体処理ツールの全体的な配置構成に応じて、真空搬送モジュールに任意の好適な数の辺が存在し得ることを理解するであろう。 Furthermore, while four or six sides of the vacuum transport module are shown, one skilled in the art will appreciate that the vacuum transport module may have any suitable number of sides depending, for example, on the overall layout of the semiconductor processing tool.

また、基板キャリアの各々はいくつかの基板を保持することができ、それらは処理され基板キャリアに戻される。別法として、基板キャリアのうちの1つが未処理の基板を保持し、処理後にこれを基板キャリアのうちの別の1つまで移動させることができる。 Also, each of the substrate carriers can hold several substrates, which are processed and returned to the substrate carrier. Alternatively, one of the substrate carriers can hold an unprocessed substrate, which can be transferred to another one of the substrate carriers after processing.

様々な実施形態において、追加の処理ステーション(例えば、第1の処理ステーション307、第2の処理ステーション309A、および第3の処理ステーション309B)は、前洗浄工程または脱ガス工程以外の機能を実行するように構成され得る。様々な実施形態において、追加の処理ステーションのうちの1つまたは複数を、後処理工程も実行するように構成することができる。様々な実施形態において、追加の処理ステーションのうちの1つまたは複数を、前処理工程と後処理工程を交互に実行するように構成することができる。 In various embodiments, the additional processing stations (e.g., first processing station 307, second processing station 309A, and third processing station 309B) may be configured to perform functions other than pre-cleaning or degassing steps. In various embodiments, one or more of the additional processing stations may also be configured to perform a post-processing step. In various embodiments, one or more of the additional processing stations may be configured to alternate between pre-processing and post-processing steps.

様々な実施形態が個別に検討されているが、これらの個別の実施形態は、独立した技術または設計と見なされることは意図していない。上に示したように、様々な部分の各々は相互に関連していてもよく、各々を別々に、または本明細書で検討する開示される主題の他の実施形態と組み合わせて使用することができる。例えば、動作、システム、およびプロセスの様々な実施形態を説明してきたが、これらの方法、動作、システム、およびプロセスは、別々にまたは様々に組み合わせて使用され得る。 While various embodiments are discussed separately, these individual embodiments are not intended to be considered independent techniques or designs. As indicated above, each of the various portions may be interrelated and each may be used separately or in combination with other embodiments of the disclosed subject matter discussed herein. For example, while various embodiments of operations, systems, and processes have been described, these methods, operations, systems, and processes may be used separately or in various combinations.

結論として、当業者には本明細書で提供される本開示を読んで理解すれば明らかなように、多くの修正および変更が可能である。上記の説明から、本明細書で列挙したもの以外に、本開示の範囲内にある機能的に等価な方法およびデバイスが、当業者には明らかになろう。ある実施形態の部分および特徴が、他の実施形態の部分および特徴に含まれるか、またはそれらと置換される場合がある。そのような修正および変更は付属の特許請求の範囲内に収まることが意図されている。したがって、本開示は、付属の特許請求の範囲の用語、およびそのような特許請求の範囲によって権利が認められる等価物の全範囲によってのみ、限定されるものである。本明細書で使用される専門用語は、特定の実施形態を説明する目的のものであるに過ぎず、限定となることは意図していないことも理解されるべきである。 In conclusion, many modifications and variations are possible, as will be apparent to those skilled in the art upon reading and understanding the disclosure provided herein. From the above description, functionally equivalent methods and devices within the scope of the present disclosure, other than those enumerated herein, will be apparent to those skilled in the art. Portions and features of one embodiment may be included in or substituted for portions and features of other embodiments. Such modifications and variations are intended to fall within the scope of the appended claims. Accordingly, the present disclosure is to be limited only by the terms of the appended claims and the full scope of equivalents to which such claims are entitled. It is also to be understood that the terminology used herein is for the purpose of describing particular embodiments only, and is not intended to be limiting.

「要約書」は、読者が技術的開示の本質を素早く確認できるように提供されている。要約書は、それが特許請求の範囲を解釈または限定するために使用されることはないとの理解のもとで提示される。更に、上記の「発明を実施するための形態」において、本開示を合理化する目的で、単一の実施形態において様々な特徴が1つにまとめられている場合のあることが了解され得る。この開示の方法は、特許請求の範囲を限定するものとして解釈されるべきではない。この場合、以下の特許請求の範囲は本明細書において「発明を実施するための形態」に組み込まれており、各請求項は個別の実施形態としてそれ自体で成立している。
以下の番号付けされた実施例は、開示される主題の特定の例示的な実施形態である。
The Abstract is provided to allow the reader to quickly ascertain the nature of the technical disclosure. The Abstract is submitted with the understanding that it will not be used to interpret or limit the scope of the claims. Moreover, in the above Detailed Description, it can be understood that various features may be grouped together in a single embodiment for the purpose of streamlining the disclosure. This method of disclosure should not be construed as limiting the scope of the claims. In this instance, the following claims are hereby incorporated into the Detailed Description, with each claim standing on its own as a separate embodiment.
The following numbered examples are specific illustrative embodiments of the disclosed subject matter.

実施例1。開示される主題のある実施形態では、複数のマルチステーションモジュールと複数の処理ステーションとを含む半導体処理ツールについて記載されている。複数の処理ステーションのうちの少なくともいくつかは、複数のマルチステーションモジュールのうちの少なくともいくつかにおいて菱形形状の構成に編成されている。マルチステーションモジュールの各々に真空搬送モジュールが連結されている。真空搬送モジュールは、複数の処理ステーションのうちの少なくとも1つとの間で基板の搬出入を行うための、1つまたは複数の真空搬送ロボットを有する。真空搬送モジュール内には、少なくとも1つの追加の処理ステーションが配置されている。 Example 1. In one embodiment of the disclosed subject matter, a semiconductor processing tool is described that includes a plurality of multi-station modules and a plurality of processing stations. At least some of the plurality of processing stations are organized in a diamond-shaped configuration in at least some of the plurality of multi-station modules. A vacuum transfer module is coupled to each of the multi-station modules. The vacuum transfer module has one or more vacuum transfer robots for transferring substrates to and from at least one of the plurality of processing stations. At least one additional processing station is disposed within the vacuum transfer module.

実施例2。実施例1に記載の半導体処理ツールであって、複数の処理ステーションのうちの残りの部分は、菱形形状の構成に編成されている処理ステーションを収容していない複数のマルチステーションモジュールのうちの残りの部分において、四角形形状の構成に編成されている、半導体処理ツール。 Example 2. The semiconductor processing tool of Example 1, wherein the remaining portions of the plurality of processing stations are organized in a rectangular shaped configuration in the remaining portions of the plurality of multi-station modules that do not contain processing stations organized in a diamond shaped configuration.

実施例3。実施例1または実施例2に記載の半導体処理ツールであって、複数のマルチステーションモジュールのうちの少なくともいくつかの隣り合うものの間に配置されている少なくとも1つの保守用開口特徴部を更に備える、半導体処理ツール。 Example 3. The semiconductor processing tool of Example 1 or Example 2, further comprising at least one maintenance opening feature disposed between at least some adjacent ones of the plurality of multi-station modules.

実施例4。実施例1から3のいずれか1つに記載の半導体処理ツールであって、真空搬送モジュール内で少なくとも1つの追加の処理ステーションとは異なるレベルに配置されている少なくとも1つの追加の処理ステーションを更に備える、半導体処理ツール。 Example 4. The semiconductor processing tool of any one of Examples 1 to 3, further comprising at least one additional processing station disposed at a different level within the vacuum transport module than the at least one additional processing station.

実施例5。実施例4に記載の半導体処理ツールであって、少なくとも1つの追加の処理ステーションは、真空搬送モジュール内の1つまたは複数の真空搬送ロボットのうちのいずれかによってアクセス可能である、半導体処理ツール。 Example 5. The semiconductor processing tool of Example 4, wherein the at least one additional processing station is accessible by any of the one or more vacuum transfer robots in the vacuum transfer module.

実施例6。実施例4に記載の半導体処理ツールであって、少なくとも1つの追加の処理ステーションは前洗浄ステーションを備える、半導体処理ツール。 Example 6. The semiconductor processing tool of example 4, wherein at least one additional processing station comprises a pre-cleaning station.

実施例7。実施例4に記載の半導体処理ツールであって、少なくとも1つの追加の処理ステーションは脱ガスステーションを備える、半導体処理ツール。 Example 7. The semiconductor processing tool of example 4, wherein at least one additional processing station comprises a degassing station.

実施例8。実施例4に記載の半導体処理ツールであって、少なくとも1つの追加の処理ステーションは後処理ステーションを備える、半導体処理ツール。 Example 8. The semiconductor processing tool of example 4, wherein the at least one additional processing station comprises a post-processing station.

実施例9。実施例4に記載の半導体処理ツールであって、少なくとも1つの追加の処理ステーションは、前洗浄ステーションと後処理ステーションとで入れ替わるように構成されているステーションを備える、半導体処理ツール。 Example 9. The semiconductor processing tool of Example 4, wherein the at least one additional processing station comprises a station configured to alternate between a pre-clean station and a post-processing station.

実施例9。実施例4に記載の半導体処理ツールであって、少なくとも1つの追加の処理ステーションは3つのステーションを備える、半導体処理ツール。 Example 9. The semiconductor processing tool of example 4, wherein the at least one additional processing station comprises three stations.

実施例10。実施例9に記載の半導体処理ツールであって、3つのステーションは、少なくとも1つの前洗浄ステーションと少なくとも1つの脱ガスステーションとを備える、半導体処理ツール。 Example 10. The semiconductor processing tool of Example 9, wherein the three stations include at least one pre-cleaning station and at least one degassing station.

実施例11。実施例1から10のいずれか1つに記載の半導体処理ツールであって、第1のx-y平面に配置されている第1のレベルのロードロックと、第2のx-y平面に配置されている第2の2レベルロードロックおよび搬送ステーションと、を有する2レベルロードロックおよび搬送ステーションを更に備え、第2のx-y平面は、第1のx-y平面よりも高いかまたは低いかのいずれかである、半導体処理ツール。 Example 11. The semiconductor processing tool of any one of Examples 1 to 10, further comprising a two-level load lock and transfer station having a first level load lock disposed in a first xy plane and a second two-level load lock and transfer station disposed in a second xy plane, the second xy plane being either higher or lower than the first xy plane.

実施例12。実施例1から11のいずれか1つに記載の半導体処理ツールであって、左側の1対の搬送ステーションと右側の1対の搬送ステーションとを含む、タンデム型2レベルロードロックおよび搬送ステーションを更に備える、半導体処理ツール。第1のx-y平面に配置されている第1のレベルのロードロックと、第2のx-y平面に配置されている第2の2レベルロードロックおよび搬送ステーションと、を各々有する、左側の1対の搬送ステーションおよび右側の1対の搬送ステーション。第2のx-y平面は、第1のx-y平面よりも高いかまたは低いかのいずれかである。 Example 12. The semiconductor processing tool of any one of Examples 1 to 11, further comprising a tandem two-level load lock and transfer station including a left pair of transfer stations and a right pair of transfer stations. The left pair of transfer stations and the right pair of transfer stations each have a first level load lock disposed in a first xy plane and a second two-level load lock and transfer station disposed in a second xy plane. The second xy plane is either higher or lower than the first xy plane.

実施例13。開示される主題のある実施形態では、複数のマルチステーションモジュールと、複数のマルチステーションモジュールの各々内に配置されている複数の処理ステーションと、を含む半導体処理ツールについて記載されている。マルチステーションモジュールの各々に真空搬送モジュールが連結されている。真空搬送モジュールは、複数の処理ステーションのうちの少なくとも1つとの間で基板の搬出入を行うための、1つまたは複数の真空搬送ロボットを有する。真空搬送モジュール内には、少なくとも1つの追加の処理ステーションが配置されている。 Example 13. In one embodiment of the disclosed subject matter, a semiconductor processing tool is described that includes a plurality of multi-station modules and a plurality of processing stations disposed within each of the plurality of multi-station modules. A vacuum transfer module is coupled to each of the multi-station modules. The vacuum transfer module has one or more vacuum transfer robots for transferring substrates to and from at least one of the plurality of processing stations. At least one additional processing station is disposed within the vacuum transfer module.

実施例14。実施例13に記載の半導体処理ツールであって、少なくとも1つの追加の処理ステーションは、真空搬送モジュール内の1つまたは複数の真空搬送ロボットのうちのいずれかによってアクセス可能である、半導体処理ツール。 Example 14. The semiconductor processing tool of example 13, wherein the at least one additional processing station is accessible by any of the one or more vacuum transfer robots in the vacuum transfer module.

実施例15。実施例13または実施例14のいずれか一方に記載の半導体処理ツールであって、少なくとも1つの追加の処理ステーションは前洗浄ステーションを備える、半導体処理ツール。 Example 15. The semiconductor processing tool of either example 13 or example 14, wherein at least one additional processing station comprises a pre-cleaning station.

実施例16。実施例13から15のいずれか1つに記載の半導体処理ツールであって、少なくとも1つの追加の処理ステーションは脱ガスステーションを備える、半導体処理ツール。 Example 16. The semiconductor processing tool of any one of Examples 13 to 15, wherein at least one additional processing station comprises a degassing station.

実施例17。実施例13から16のいずれか1つに記載の半導体処理ツールであって、少なくとも1つの追加の処理ステーションは後処理ステーションを備える、半導体処理ツール。 Example 17. The semiconductor processing tool of any one of Examples 13 to 16, wherein the at least one additional processing station comprises a post-processing station.

実施例18。実施例13から17のいずれか1つに記載の半導体処理ツールであって、少なくとも1つの追加の処理ステーションは、前洗浄ステーションと後処理ステーションとで入れ替わるように構成されているステーションを備える、半導体処理ツール。 Example 18. The semiconductor processing tool of any one of Examples 13 to 17, wherein the at least one additional processing station comprises a station configured to alternate between a pre-clean station and a post-processing station.

実施例19。実施例13から18のいずれか1つに記載の半導体処理ツールであって、少なくとも1つの追加の処理ステーションは3つのステーションを備える、半導体処理ツール。 Example 19. The semiconductor processing tool of any one of Examples 13 to 18, wherein the at least one additional processing station comprises three stations.

実施例20。実施例19に記載の半導体処理ツールであって、3つのステーションは、少なくとも1つの前洗浄ステーションと少なくとも1つの脱ガスステーションとを備える、半導体処理ツール。 Example 20. The semiconductor processing tool of example 19, wherein the three stations include at least one pre-cleaning station and at least one degassing station.

実施例21。実施例19に記載の半導体処理ツールであって、複数の処理ステーションのうちの少なくともいくつかは、複数のマルチステーションモジュールのうちの少なくともいくつかにおいて菱形形状の構成に編成されている、半導体処理ツール。 Example 21. The semiconductor processing tool of example 19, wherein at least some of the plurality of processing stations are organized in a diamond-shaped configuration in at least some of the plurality of multi-station modules.

実施例22。開示される主題のある実施形態では、複数のマルチステーションモジュールと、複数の処理ステーションのハイブリッド構成と、を含む、半導体処理ツールについて記載されている。複数の処理ステーションのハイブリッド構成のうちの少なくともいくつかは、複数のマルチステーションモジュールのうちの少なくともいくつかにおいて菱形形状の構成に編成されている。複数の処理ステーションのハイブリッド構成の残りの部分は、菱形形状の構成に編成された処理ステーションを含まない複数のマルチステーションモジュールのうちの複数のものの残りの部分において、四角形形状の構成に編成される。マルチステーションモジュールの各々に真空搬送モジュールが連結されている。真空搬送モジュールは、複数の処理ステーションのうちの少なくとも1つとの間で基板の搬出入を行うための、1つまたは複数の真空搬送ロボットを有する。真空搬送モジュール内には複数の追加の処理ステーションが配置されている。 Example 22. In an embodiment of the disclosed subject matter, a semiconductor processing tool is described that includes a plurality of multi-station modules and a hybrid configuration of a plurality of processing stations. At least some of the hybrid configuration of the plurality of processing stations are organized in a diamond-shaped configuration in at least some of the plurality of multi-station modules. The remainder of the hybrid configuration of the plurality of processing stations is organized in a rectangular-shaped configuration in the remainder of the plurality of multi-station modules that do not include the processing stations organized in the diamond-shaped configuration. A vacuum transfer module is coupled to each of the multi-station modules. The vacuum transfer module has one or more vacuum transfer robots for transferring substrates to and from at least one of the plurality of processing stations. A plurality of additional processing stations are disposed within the vacuum transfer module.

実施例23。実施例22に記載の半導体処理ツールであって、真空搬送モジュール内に配置されている少なくとも1つの追加の処理ステーションを更に備える、半導体処理ツール。 Example 23. The semiconductor processing tool of example 22, further comprising at least one additional processing station disposed within the vacuum transfer module.

実施例24。実施例22または実施例23のいずれか一方に記載の半導体処理ツールであって、半導体処理ツールの装置フロントエンドモジュール(EFEM)内に配置されているリニア大気圧搬送ロボットを更に備える、半導体処理ツール。 Example 24. The semiconductor processing tool of either example 22 or example 23, further comprising a linear atmospheric pressure transfer robot disposed within an equipment front end module (EFEM) of the semiconductor processing tool.

実施例25。実施例22~24のいずれか1つに記載の半導体処理ツールであって、複数のマルチステーションモジュールのうちの少なくともいくつかの隣り合うものの間に配置されている少なくとも1つの保守用開口特徴部を更に備える、半導体処理ツール。 Example 25. The semiconductor processing tool of any one of Examples 22-24, further comprising at least one maintenance opening feature disposed between at least some adjacent ones of the plurality of multi-station modules.

実施例26。実施例22から25のいずれか1つに記載の半導体処理ツールであって、真空搬送モジュール内で少なくとも1つの追加の処理ステーションとは異なるレベルに配置されている少なくとも1つの追加の処理ステーションを更に備える、半導体処理ツール。 Example 26. The semiconductor processing tool of any one of Examples 22 to 25, further comprising at least one additional processing station disposed at a different level within the vacuum transport module than the at least one additional processing station.

実施例27。実施例26に記載の半導体処理ツールであって、少なくとも1つの追加の処理ステーションは、真空搬送モジュール内の1つまたは複数の真空搬送ロボットのうちのいずれかによってアクセス可能である、半導体処理ツール。 Example 27. The semiconductor processing tool of example 26, wherein the at least one additional processing station is accessible by any of the one or more vacuum transfer robots in the vacuum transfer module.

実施例28。実施例26に記載の半導体処理ツールであって、少なくとも1つの追加の処理ステーションは前洗浄ステーションを備える、半導体処理ツール。 Example 28. The semiconductor processing tool of example 26, wherein at least one additional processing station comprises a pre-cleaning station.

実施例29。実施例26に記載の半導体処理ツールであって、少なくとも1つの追加の処理ステーションは脱ガスステーションを備える、半導体処理ツール。 Example 29. The semiconductor processing tool of example 26, wherein at least one additional processing station comprises a degassing station.

実施例30。実施例26に記載の半導体処理ツールであって、少なくとも1つの追加の処理ステーションは後処理ステーションを備える、半導体処理ツール。 Example 30. The semiconductor processing tool of example 26, wherein the at least one additional processing station comprises a post-processing station.

実施例31。実施例26に記載の半導体処理ツールであって、少なくとも1つの追加の処理ステーションは、前洗浄ステーションと後処理ステーションとで入れ替わるように構成されているステーションを備える、半導体処理ツール。 Example 31. The semiconductor processing tool of example 26, wherein the at least one additional processing station comprises a station configured to alternate between a pre-clean station and a post-processing station.

実施例32。実施例26に記載の半導体処理ツールであって、少なくとも1つの追加の処理ステーションは3つのステーションを備える、半導体処理ツール。 Example 32. The semiconductor processing tool of example 26, wherein the at least one additional processing station comprises three stations.

実施例33。実施例32に記載の半導体処理ツールであって、3つのステーションは、少なくとも1つの前洗浄ステーションと少なくとも1つの脱ガスステーションとを備える、半導体処理ツール。 Example 33. The semiconductor processing tool of example 32, wherein the three stations include at least one pre-cleaning station and at least one degassing station.

実施例34。実施例22から33のいずれか1つに記載の半導体処理ツールであって、第1のx-y平面に配置されている第1のレベルのロードロックと、第2のx-y平面に配置されている第2の2レベルロードロックおよび搬送ステーションと、を有する2レベルロードロックおよび搬送ステーションを更に備える、半導体処理ツール。第2のx-y平面は、第1のx-y平面よりも高いかまたは低いかのいずれかである。 Example 34. The semiconductor processing tool of any one of Examples 22 to 33, further comprising a two-level load lock and transfer station having a first level load lock disposed in a first xy plane and a second two-level load lock and transfer station disposed in a second xy plane. The second xy plane is either higher or lower than the first xy plane.

実施例35。実施例22から34のいずれか1つに記載の半導体処理ツールであって、左側の1対の搬送ステーションと右側の1対の搬送ステーションとを含む、タンデム型2レベルロードロックおよび搬送ステーションを更に備える、半導体処理ツール。左側の1対の搬送ステーションおよび右側の1対の搬送ステーションは各々が、第1のx-y平面に配置されている第1のレベルのロードロックと、第2のx-y平面に配置されている第2の2レベルロードロックおよび搬送ステーションと、を有する。第2のx-y平面は、第1のx-y平面よりも高いかまたは低いかのいずれかである。 Example 35. The semiconductor processing tool of any one of Examples 22 to 34, further comprising a tandem two-level load lock and transfer station including a left pair of transfer stations and a right pair of transfer stations. The left pair of transfer stations and the right pair of transfer stations each have a first level load lock disposed in a first xy plane and a second two-level load lock and transfer station disposed in a second xy plane. The second xy plane is either higher or lower than the first xy plane.

Claims (36)

半導体処理ツールであって、
複数のマルチステーションモジュールと、
複数の処理ステーションであって、前記複数の処理ステーションのうちの少なくともいくつかは、前記複数のマルチステーションモジュールのうちの少なくともいくつかにおいて菱形形状の構成に編成されている、複数の処理ステーションと、
前記マルチステーションモジュールの各々に連結されている真空搬送モジュールであって、前記複数の処理ステーションのうちの少なくとも1つとの間で基板の搬出入を行うための1つまたは複数の真空搬送ロボットを有する、真空搬送モジュールと、
前記真空搬送モジュール内に配置されている少なくとも1つの追加の処理ステーションと、
を備える、半導体処理ツール。
1. A semiconductor processing tool comprising:
A plurality of multi-station modules;
a plurality of processing stations, at least some of the plurality of processing stations being organized in a diamond shaped configuration in at least some of the plurality of multi-station modules;
a vacuum transfer module coupled to each of the multi-station modules, the vacuum transfer module having one or more vacuum transfer robots for transferring substrates to and from at least one of the plurality of processing stations;
at least one additional processing station disposed within the vacuum transport module;
A semiconductor processing tool comprising:
請求項1に記載の半導体処理ツールであって、
前記複数の処理ステーションのうちの残りの部分は、前記菱形形状の構成に編成されている前記処理ステーションを含まない前記複数のマルチステーションモジュールのうちの残りの部分において、四角形形状の構成に編成されている、半導体処理ツール。
10. The semiconductor processing tool of claim 1,
a remainder of the plurality of processing stations are organized in a rectangular shaped configuration in a remainder of the plurality of multi-station modules that do not include the processing stations that are organized in the diamond shaped configuration.
請求項1に記載の半導体処理ツールであって、
前記複数のマルチステーションモジュールのうちの少なくともいくつかの隣り合うものの間に配置されている少なくとも1つの保守用開口特徴部を更に備える、半導体処理ツール。
10. The semiconductor processing tool of claim 1,
The semiconductor processing tool, further comprising at least one maintenance opening feature disposed between adjacent ones of at least some of the plurality of multi-station modules.
請求項1に記載の半導体処理ツールであって、
前記真空搬送モジュール内で前記少なくとも1つの追加の処理ステーションとは異なるレベルに配置されている少なくとも1つの追加の処理ステーションを更に備える、半導体処理ツール。
10. The semiconductor processing tool of claim 1,
The semiconductor processing tool further comprising at least one additional processing station disposed within the vacuum transfer module at a different level than the at least one additional processing station.
請求項4に記載の半導体処理ツールであって、
前記少なくとも1つの追加の処理ステーションは、前記真空搬送モジュール内の前記1つまたは複数の真空搬送ロボットのうちのいずれかによってアクセス可能である、半導体処理ツール。
5. The semiconductor processing tool of claim 4,
The at least one additional processing station is accessible by any of the one or more vacuum transfer robots in the vacuum transfer module.
請求項4に記載の半導体処理ツールであって、
前記少なくとも1つの追加の処理ステーションは前洗浄ステーションを備える、半導体処理ツール。
5. The semiconductor processing tool of claim 4,
The at least one additional processing station comprises a pre-clean station.
請求項4に記載の半導体処理ツールであって、
前記少なくとも1つの追加の処理ステーションは脱ガスステーションを備える、半導体処理ツール。
5. The semiconductor processing tool of claim 4,
The at least one additional processing station comprises a degassing station.
請求項4に記載の半導体処理ツールであって、
前記少なくとも1つの追加の処理ステーションは後処理ステーションを備える、半導体処理ツール。
5. The semiconductor processing tool of claim 4,
The at least one additional processing station comprises a post-processing station.
請求項4に記載の半導体処理ツールであって、
前記少なくとも1つの追加の処理ステーションは、前洗浄ステーションと後処理ステーションとで入れ替わるように構成されているステーションを備える、半導体処理ツール。
5. The semiconductor processing tool of claim 4,
The at least one additional processing station comprises a station configured to alternate between a pre-clean station and a post-processing station.
請求項4に記載の半導体処理ツールであって、
前記少なくとも1つの追加の処理ステーションは3つのステーションを備える、半導体処理ツール。
5. The semiconductor processing tool of claim 4,
The at least one additional processing station comprises three stations.
請求項10に記載の半導体処理ツールであって、
前記3つのステーションは、少なくとも1つの前洗浄ステーションと少なくとも1つの脱ガスステーションとを備える、半導体処理ツール。
11. The semiconductor processing tool of claim 10,
The three stations include at least one pre-clean station and at least one degassing station.
請求項1に記載の半導体処理ツールであって、
第1のx-y平面に配置されている第1のレベルのロードロックと、第2のx-y平面に配置されている第2の2レベルロードロックおよび搬送ステーションと、を有する2レベルロードロックおよび搬送ステーションを更に備え、前記第2のx-y平面は前記第1のx-y平面よりも高いかまたは低いかのいずれかである、半導体処理ツール。
10. The semiconductor processing tool of claim 1,
11. The semiconductor processing tool, further comprising a bi-level load lock and transfer station having a first level load lock disposed in a first xy plane and a second bi-level load lock and transfer station disposed in a second xy plane, the second xy plane being either higher or lower than the first xy plane.
請求項1に記載の半導体処理ツールであって、
左側の1対の搬送ステーションと右側の1対の搬送ステーションとを含むタンデム型2レベルロードロックおよび搬送ステーションを更に備え、前記左側の1対の搬送ステーションおよび前記右側の1対の搬送ステーションは各々が、第1のx-y平面に配置されている第1のレベルのロードロックと、第2のx-y平面に配置されている第2の2レベルロードロックおよび搬送ステーションと、を有し、前記第2のx-y平面は、前記第1のx-y平面よりも高いかまたは低いかのいずれかである、半導体処理ツール。
10. The semiconductor processing tool of claim 1,
11. The semiconductor processing tool, further comprising a tandem two-level load lock and transfer station including a left pair of transfer stations and a right pair of transfer stations, the left pair of transfer stations and the right pair of transfer stations each having a first level load lock disposed in a first x-y plane and a second two-level load lock and transfer station disposed in a second x-y plane, the second x-y plane being either higher or lower than the first x-y plane.
半導体処理ツールであって、
複数のマルチステーションモジュールと、
前記複数のマルチステーションモジュールの各々の中に配置されている複数の処理ステーションと、
前記マルチステーションモジュールの各々に連結されている真空搬送モジュールであって、前記複数の処理ステーションのうちの少なくとも1つとの間で基板の搬出入を行うための1つまたは複数の真空搬送ロボットを有する、真空搬送モジュールと、
前記真空搬送モジュール内に配置されている少なくとも1つの追加の処理ステーションと、
を備える、半導体処理ツール。
1. A semiconductor processing tool comprising:
A plurality of multi-station modules;
a plurality of processing stations disposed within each of the plurality of multi-station modules;
a vacuum transfer module coupled to each of the multi-station modules, the vacuum transfer module having one or more vacuum transfer robots for transferring substrates to and from at least one of the plurality of processing stations;
at least one additional processing station disposed within the vacuum transport module;
A semiconductor processing tool comprising:
請求項14に記載の半導体処理ツールであって、
前記少なくとも1つの追加の処理ステーションは、前記真空搬送モジュール内の前記1つまたは複数の真空搬送ロボットのうちのいずれかによってアクセス可能である、半導体処理ツール。
15. The semiconductor processing tool of claim 14,
The at least one additional processing station is accessible by any of the one or more vacuum transfer robots in the vacuum transfer module.
請求項14に記載の半導体処理ツールであって、
前記少なくとも1つの追加の処理ステーションは前洗浄ステーションを備える、半導体処理ツール。
15. The semiconductor processing tool of claim 14,
The at least one additional processing station comprises a pre-clean station.
請求項14に記載の半導体処理ツールであって、
前記少なくとも1つの追加の処理ステーションは脱ガスステーションを備える、半導体処理ツール。
15. The semiconductor processing tool of claim 14,
The at least one additional processing station comprises a degassing station.
請求項14に記載の半導体処理ツールであって、
前記少なくとも1つの追加の処理ステーションは後処理ステーションを備える、半導体処理ツール。
15. The semiconductor processing tool of claim 14,
The at least one additional processing station comprises a post-processing station.
請求項14に記載の半導体処理ツールであって、
前記少なくとも1つの追加の処理ステーションは、前洗浄ステーションと後処理ステーションとで入れ替わるように構成されているステーションを備える、半導体処理ツール。
15. The semiconductor processing tool of claim 14,
The at least one additional processing station comprises a station configured to alternate between a pre-clean station and a post-processing station.
請求項14に記載の半導体処理ツールであって、
前記少なくとも1つの追加の処理ステーションは3つのステーションを備える、半導体処理ツール。
15. The semiconductor processing tool of claim 14,
The at least one additional processing station comprises three stations.
請求項20に記載の半導体処理ツールであって、
前記3つのステーションは、少なくとも1つの前洗浄ステーションと少なくとも1つの脱ガスステーションとを備える、半導体処理ツール。
21. The semiconductor processing tool of claim 20,
The three stations include at least one pre-clean station and at least one degassing station.
請求項20に記載の半導体処理ツールであって、
前記複数の処理ステーションのうちの少なくともいくつかは、前記複数のマルチステーションモジュールのうちの少なくともいくつかにおいて菱形形状の構成に編成されている、半導体処理ツール。
21. The semiconductor processing tool of claim 20,
11. A semiconductor processing tool, wherein at least some of the plurality of processing stations are organized in a diamond shaped configuration in at least some of the plurality of multi-station modules.
半導体処理ツールであって、
複数のマルチステーションモジュールと、
複数の処理ステーションのハイブリッド構成であって、前記複数の処理ステーションの前記ハイブリッド構成のうちの少なくとも一部は、前記複数のマルチステーションモジュールのうちの少なくともいくつかにおいて菱形形状の構成に編成されており、前記複数の処理ステーションの前記ハイブリッド構成のうちの残りの部分は、前記菱形形状の構成に編成されている前記処理ステーションを収容していない前記複数のマルチステーションモジュールのうちの複数のものの残りの部分において、四角形形状の構成に編成されている、複数の処理ステーションのハイブリッド構成と、
前記マルチステーションモジュールの各々に連結されている真空搬送モジュールであって、前記複数の処理ステーションのうちの少なくとも1つとの間で基板の搬出入を行うための1つまたは複数の真空搬送ロボットを有する、真空搬送モジュールと、
前記真空搬送モジュール内に配置されている複数の追加の処理ステーションと、
を備える、半導体処理ツール。
1. A semiconductor processing tool comprising:
A plurality of multi-station modules;
a hybrid arrangement of a plurality of processing stations, at least a portion of the hybrid arrangement of the plurality of processing stations being organized in a diamond shaped configuration in at least some of the plurality of multi-station modules, and a remainder of the hybrid arrangement of the plurality of processing stations being organized in a rectangular shaped configuration in a remainder of the plurality of multi-station modules that do not house the processing stations organized in the diamond shaped configuration;
a vacuum transfer module coupled to each of the multi-station modules, the vacuum transfer module having one or more vacuum transfer robots for transferring substrates to and from at least one of the plurality of processing stations;
a plurality of additional processing stations disposed within the vacuum transport module;
A semiconductor processing tool comprising:
請求項23に記載の半導体処理ツールであって、
前記真空搬送モジュール内に配置されている少なくとも1つの追加の処理ステーションを更に備える、半導体処理ツール。
24. A semiconductor processing tool according to claim 23, comprising:
The semiconductor processing tool further comprising at least one additional processing station disposed within the vacuum transfer module.
請求項23に記載の半導体処理ツールであって、
前記半導体処理ツールの装置フロントエンドモジュール(EFEM)内に配置されているリニア大気圧搬送ロボットを更に備える、半導体処理ツール。
24. A semiconductor processing tool according to claim 23, comprising:
1. The semiconductor processing tool, further comprising: a linear atmospheric pressure transfer robot disposed within an equipment front end module (EFEM) of the semiconductor processing tool.
請求項23に記載の半導体処理ツールであって、
前記複数のマルチステーションモジュールのうちの少なくともいくつかの隣り合うものの間に配置されている少なくとも1つの保守用開口特徴部を更に備える、半導体処理ツール。
24. A semiconductor processing tool according to claim 23, comprising:
The semiconductor processing tool, further comprising at least one maintenance opening feature disposed between adjacent ones of at least some of the plurality of multi-station modules.
請求項23に記載の半導体処理ツールであって、
前記真空搬送モジュール内で前記少なくとも1つの追加の処理ステーションとは異なるレベルに配置されている少なくとも1つの追加の処理ステーションを更に備える、半導体処理ツール。
24. A semiconductor processing tool according to claim 23, comprising:
The semiconductor processing tool further comprising at least one additional processing station disposed within the vacuum transfer module at a different level than the at least one additional processing station.
請求項27に記載の半導体処理ツールであって、
前記少なくとも1つの追加の処理ステーションは、前記真空搬送モジュール内の前記1つまたは複数の真空搬送ロボットのうちのいずれかによってアクセス可能である、半導体処理ツール。
28. A semiconductor processing tool according to claim 27, comprising:
The at least one additional processing station is accessible by any of the one or more vacuum transfer robots in the vacuum transfer module.
請求項27に記載の半導体処理ツールであって、
前記少なくとも1つの追加の処理ステーションは前洗浄ステーションを備える、半導体処理ツール。
28. A semiconductor processing tool according to claim 27, comprising:
The at least one additional processing station comprises a pre-clean station.
請求項27に記載の半導体処理ツールであって、
前記少なくとも1つの追加の処理ステーションは脱ガスステーションを備える、半導体処理ツール。
28. A semiconductor processing tool according to claim 27, comprising:
The at least one additional processing station comprises a degassing station.
請求項27に記載の半導体処理ツールであって、
前記少なくとも1つの追加の処理ステーションは後処理ステーションを備える、半導体処理ツール。
28. A semiconductor processing tool according to claim 27, comprising:
The at least one additional processing station comprises a post-processing station.
請求項27に記載の半導体処理ツールであって、
前記少なくとも1つの追加の処理ステーションは、前洗浄ステーションと後処理ステーションとで入れ替わるように構成されているステーションを備える、半導体処理ツール。
28. A semiconductor processing tool according to claim 27, comprising:
The at least one additional processing station comprises a station configured to alternate between a pre-clean station and a post-processing station.
請求項27に記載の半導体処理ツールであって、
前記少なくとも1つの追加の処理ステーションは3つのステーションを備える、半導体処理ツール。
28. A semiconductor processing tool according to claim 27, comprising:
The at least one additional processing station comprises three stations.
請求項33に記載の半導体処理ツールであって、
前記3つのステーションは、少なくとも1つの前洗浄ステーションと少なくとも1つの脱ガスステーションとを備える、半導体処理ツール。
34. The semiconductor processing tool of claim 33,
The three stations include at least one pre-clean station and at least one degassing station.
請求項23に記載の半導体処理ツールであって、
第1のx-y平面に配置されている第1のレベルのロードロックと、第2のx-y平面に配置されている第2の2レベルロードロックおよび搬送ステーションと、を有する2レベルロードロックおよび搬送ステーションを更に備え、前記第2のx-y平面は前記第1のx-y平面よりも高いかまたは低いかのいずれかである、半導体処理ツール。
24. A semiconductor processing tool according to claim 23, comprising:
11. The semiconductor processing tool further comprising a bi-level load lock and transfer station having a first level load lock disposed in a first xy plane and a second bi-level load lock and transfer station disposed in a second xy plane, the second xy plane being either higher or lower than the first xy plane.
請求項23に記載の半導体処理ツールであって、
左側の1対の搬送ステーションと右側の1対の搬送ステーションとを含むタンデム型2レベルロードロックおよび搬送ステーションを更に備え、前記左側の1対の搬送ステーションおよび前記右側の1対の搬送ステーションは各々が、第1のx-y平面に配置されている第1のレベルのロードロックと、第2のx-y平面に配置されている第2の2レベルロードロックおよび搬送ステーションと、を有し、前記第2のx-y平面は、前記第1のx-y平面よりも高いかまたは低いかのいずれかである、半導体処理ツール。
24. A semiconductor processing tool according to claim 23, comprising:
11. The semiconductor processing tool, further comprising a tandem two-level load lock and transfer station including a left pair of transfer stations and a right pair of transfer stations, the left pair of transfer stations and the right pair of transfer stations each having a first level load lock disposed in a first x-y plane and a second two-level load lock and transfer station disposed in a second x-y plane, the second x-y plane being either higher or lower than the first x-y plane.
JP2023557296A 2021-04-28 2022-04-27 Semiconductor Tool Configuration Pending JP2024518235A (en)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US202163181036P 2021-04-28 2021-04-28
US63/181,036 2021-04-28
PCT/US2022/026544 WO2022232279A1 (en) 2021-04-28 2022-04-27 Semiconductor tool arrangements

Publications (1)

Publication Number Publication Date
JP2024518235A true JP2024518235A (en) 2024-05-01

Family

ID=83847460

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2023557296A Pending JP2024518235A (en) 2021-04-28 2022-04-27 Semiconductor Tool Configuration

Country Status (6)

Country Link
US (1) US20240170309A1 (en)
JP (1) JP2024518235A (en)
KR (1) KR20240004090A (en)
CN (1) CN115803862A (en)
TW (1) TW202308006A (en)
WO (1) WO2022232279A1 (en)

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20020058353A (en) * 2000-12-29 2002-07-12 박종섭 Apparatus cluster for having monitoring system
CN102439710B (en) * 2010-03-25 2017-03-29 应用材料公司 For the segmentation substrate loading of multiple base material treatments
SG10202110040SA (en) * 2017-03-15 2021-10-28 Lam Res Corp Reduced footprint platform architecture with linear vacuum transfer module
US20200381276A1 (en) * 2019-05-31 2020-12-03 Applied Materials, Inc. Multisubstrate process system
JP2022536078A (en) * 2019-06-03 2022-08-12 アプライド マテリアルズ インコーポレイテッド Non-contact low substrate temperature measurement method

Also Published As

Publication number Publication date
CN115803862A (en) 2023-03-14
US20240170309A1 (en) 2024-05-23
TW202308006A (en) 2023-02-16
WO2022232279A1 (en) 2022-11-03
KR20240004090A (en) 2024-01-11

Similar Documents

Publication Publication Date Title
US6696367B1 (en) System for the improved handling of wafers within a process tool
US9852932B2 (en) Method for processing semiconductor wafer
US11087998B2 (en) Transfer chambers with an increased number of sides, semiconductor device manufacturing processing tools, and processing methods
US6852194B2 (en) Processing apparatus, transferring apparatus and transferring method
US11908714B2 (en) Transfer robot for reduced footprint platform architecture
KR20040044624A (en) Multi cluster module
JP2010192855A (en) Substrate processing apparatus
US11600503B2 (en) High-throughput, multi-chamber substrate processing system
CN107731710B (en) Loading station for substrate processing system and substrate processing tool
US9199805B2 (en) Processing system and processing method
KR102558199B1 (en) Semiconductor processing system
US20080053957A1 (en) Substrate processing system, substrate processing method and storage medium
JPWO2009060539A1 (en) Inline type wafer transfer apparatus and substrate transfer method
US20220139740A1 (en) Chamber interface for linked processing tools
US10328580B2 (en) Reduced footprint substrate transport vacuum platform
JP2024518235A (en) Semiconductor Tool Configuration
US20230282492A1 (en) Substrate processing system and substrate transfer apparatus and method
US11862506B2 (en) Substrate processing system, vacuum substrate transfer module, and substrate transfer method
US11289369B2 (en) Low-k dielectric with self-forming barrier layer
EP1189275A1 (en) Substrate transfer device
KR100566697B1 (en) Multi-chamber system for fabricating semiconductor devices and method of fabricating semiconductor devices using thereof
KR20080071681A (en) Multi-chamber system for manufacturing semiconductor device
KR20230005882A (en) PVD device
KR20040024156A (en) Single type vacuum chamber system for processing semiconductor wafer