JP2024028772A - How to form thin film transistors - Google Patents

How to form thin film transistors Download PDF

Info

Publication number
JP2024028772A
JP2024028772A JP2023200496A JP2023200496A JP2024028772A JP 2024028772 A JP2024028772 A JP 2024028772A JP 2023200496 A JP2023200496 A JP 2023200496A JP 2023200496 A JP2023200496 A JP 2023200496A JP 2024028772 A JP2024028772 A JP 2024028772A
Authority
JP
Japan
Prior art keywords
layer
forming
metal oxide
tft
depositing
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2023200496A
Other languages
Japanese (ja)
Inventor
テギョン ウォン,
スヨン チェ,
トンキル イム,
ツォンカイ ウー,
ヨンドン リー,
サンジェイ ディー. ヤーダヴ,
ジャングベー キム,
チーユアン ワン,
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2024028772A publication Critical patent/JP2024028772A/en
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66969Multistep manufacturing processes of devices having semiconductor bodies not comprising group 14 or group 13/15 materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/7869Thin film transistors, i.e. transistors with a channel being at least partly a thin film having a semiconductor body comprising an oxide semiconductor material, e.g. zinc oxide, copper aluminium oxide, cadmium stannate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02312Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour
    • H01L21/02315Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/12Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being other than a semiconductor body, e.g. an insulating body
    • H01L27/1214Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being other than a semiconductor body, e.g. an insulating body comprising a plurality of TFTs formed on a non-semiconducting substrate, e.g. driving circuits for AMLCDs
    • H01L27/1222Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being other than a semiconductor body, e.g. an insulating body comprising a plurality of TFTs formed on a non-semiconducting substrate, e.g. driving circuits for AMLCDs with a particular composition, shape or crystalline structure of the active layer
    • H01L27/1225Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being other than a semiconductor body, e.g. an insulating body comprising a plurality of TFTs formed on a non-semiconducting substrate, e.g. driving circuits for AMLCDs with a particular composition, shape or crystalline structure of the active layer with semiconductor materials not belonging to the group IV of the periodic table, e.g. InGaZnO
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/12Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being other than a semiconductor body, e.g. an insulating body
    • H01L27/1214Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being other than a semiconductor body, e.g. an insulating body comprising a plurality of TFTs formed on a non-semiconducting substrate, e.g. driving circuits for AMLCDs
    • H01L27/1251Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being other than a semiconductor body, e.g. an insulating body comprising a plurality of TFTs formed on a non-semiconducting substrate, e.g. driving circuits for AMLCDs comprising TFTs having a different architecture, e.g. top- and bottom gate TFTs
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42364Gate electrodes for field effect devices for field-effect transistors with insulated gate characterised by the insulating layer, e.g. thickness or uniformity

Abstract

【課題】本明細書に開示される実施形態は概して、薄膜トランジスタ(TFT)を形成する方法を提供する。【解決手段】基板102の上にTFT200を形成する方法は、1又は複数の金属酸化物層204及び/又はポリシリコン層を形成することと、誘導結合プラズマ(ICP)を用いた高密度プラズマ化学気相堆積(HDP-CVD)プロセスを用いて、1又は複数の金属酸化物層及び/又はポリシリコン層の上にゲート絶縁体(GI)層206を堆積することと、を含む。HDP-CVD層を用いてGI層を堆積させると、その下に堆積された金属酸化物層の移動度が予想以上に高くなる。【選択図】図2GEmbodiments disclosed herein generally provide a method of forming a thin film transistor (TFT). A method of forming a TFT 200 on a substrate 102 includes forming one or more metal oxide layers 204 and/or polysilicon layers and using high-density plasma chemistry using inductively coupled plasma (ICP). depositing a gate insulator (GI) layer 206 over the one or more metal oxide layers and/or polysilicon layer using a vapor phase deposition (HDP-CVD) process. Depositing the GI layer using an HDP-CVD layer results in a higher than expected mobility of the metal oxide layer deposited underneath. [Selection diagram] Figure 2G

Description

[0001]本開示の実施形態は概して、方法に関し、より具体的には、薄膜トランジスタを形成する方法に関する。 [0001] Embodiments of the present disclosure generally relate to methods, and more particularly, to methods of forming thin film transistors.

[0002]薄膜トランジスタ(TFT)は、支持基板の上に活性半導体層、及び誘電体層、金属コンタクトの薄膜を堆積させることによって作られた金属-酸化膜-半導体電界効果トランジスタ(MOSFET)の一種である。TFTの用途の1つに液晶ディスプレイ(LCD)があるため、ガラス基板が一般的である。 [0002] A thin film transistor (TFT) is a type of metal-oxide-semiconductor field-effect transistor (MOSFET) made by depositing a thin film of an active semiconductor layer, a dielectric layer, and a metal contact on a supporting substrate. be. One of the uses of TFTs is liquid crystal displays (LCDs), so glass substrates are common.

[0003]TFTは、LCD及び有機発光ダイオード(OLED)ディスプレイの高解像度、低消費電力、高速動作により、ディスプレイ用途において大きな注目を集めている。TFTは、ディスプレイのパネル内に組み込まれる。ディスプレイシステム内のディスプレイモジュールからのデータ線とゲート線電圧信号は、ディスプレイパネル周辺部のピクセル回路及び/又はゲートドライバ回路のTFTに送られ、TFTのオン/オフによりディスプレイ画像を制御する。TFTのより高い移動度による応答性の向上、及び/又はピクセル間のクロストークの低減により、画像の歪みが減少する。LCDテレビ(TV)及びモニタを含むディスプレイ製品の多くは、パネルにTFTを含む。近代の高解像度・高品質の電子視覚ディスプレイデバイスの多くは、TFTを大量に用いたアクティブマトリクス方式のディスプレイを採用している。TFT技術の有益な態様の1つは、ディスプレイの各ピクセルに別々のTFTを使用していることである。各TFTはピクセル回路又はゲートドライバ回路でスイッチ又は電流源として働き、データ信号線及びゲート信号線を通して電圧及び電流を制御することで、ディスプレイ画像の制御を高めている。高移動度TFTの高い電流により、ディスプレイ画像の高速更新が可能となり、データ及びゲート信号電圧の歪みを最小限に抑えることで画質が向上する。 [0003] TFTs have attracted significant attention in display applications due to their high resolution, low power consumption, and high speed operation in LCD and organic light emitting diode (OLED) displays. The TFT is integrated into the panel of the display. Data line and gate line voltage signals from the display module in the display system are sent to TFTs in pixel circuits and/or gate driver circuits at the periphery of the display panel, and control the display image by turning on/off the TFTs. Image distortion is reduced due to improved responsiveness due to higher TFT mobility and/or reduced crosstalk between pixels. Many display products, including LCD televisions (TVs) and monitors, include TFTs in their panels. Many modern high-resolution, high-quality electronic visual display devices employ active matrix displays that use large amounts of TFTs. One advantageous aspect of TFT technology is the use of a separate TFT for each pixel of the display. Each TFT acts as a switch or current source in a pixel circuit or gate driver circuit, controlling voltages and currents through data and gate signal lines to enhance control of the displayed image. The high current of high mobility TFTs allows for fast updating of the display image and improves image quality by minimizing distortion of data and gate signal voltages.

[0004]当技術分野のTFTの欠点の1つは、導電性チャネルの移動度が許容できないほど低くなり得ることである。更に、TFTを形成する方法では、チャネルの移動度を良好に制御できない場合がある。最後に、チャネルが既に堆積された後にチャネルの移動度を変化させることは困難であり得る。 [0004] One of the drawbacks of TFTs in the art is that the mobility of the conductive channel can be unacceptably low. Furthermore, the method of forming TFTs may not provide good control of channel mobility. Finally, it can be difficult to change the mobility of the channels after they have already been deposited.

[0005]このため、当技術分野において、チャネルの移動度を高めることができるTFTを形成する方法が必要である。 [0005] Therefore, there is a need in the art for a method of forming TFTs that can enhance channel mobility.

[0006]本明細書で開示される実施形態は概して、TFTを形成する方法に関する。本方法は、下位のチャネルの移動度を変化させる層を堆積させることを含む。 [0006] Embodiments disclosed herein generally relate to methods of forming TFTs. The method includes depositing a layer that changes the mobility of the underlying channel.

[0007]薄膜トランジスタデバイスを形成する1つの例示的な方法は、基板の第1の部分の上に金属酸化物層を形成することと、基板の第1の部分の上にゲート絶縁体(GI)層を形成することと、GI層の上にゲート電極を形成することと、GI層の1又は複数の残留部分をエッチングすることとを含む。GI層を形成することは、誘導結合プラズマ(ICP)を用いた高密度プラズマ化学気相堆積(HDP-CVD)プロセスでシリコン含有層を堆積させることを含む。HDP-CVDプロセスは、約2.3W/cmから約5.3W/cmのICP電力密度と約2MHzから約13.56MHzのICP周波数とを有する。 [0007] One exemplary method of forming a thin film transistor device includes forming a metal oxide layer over a first portion of a substrate and forming a gate insulator (GI) layer over the first portion of the substrate. forming a gate electrode over the GI layer; and etching one or more remaining portions of the GI layer. Forming the GI layer includes depositing a silicon-containing layer in a high density plasma chemical vapor deposition (HDP-CVD) process using inductively coupled plasma (ICP). The HDP-CVD process has an ICP power density of about 2.3 W/cm 2 to about 5.3 W/cm 2 and an ICP frequency of about 2 MHz to about 13.56 MHz.

[0008]薄膜トランジスタデバイスを形成する別の例示的な方法は、基板の第1の部分の上に第1の金属酸化物層を形成することであって、基板の第1の部分は第1の薄膜トランジスタ(TFT)に対応する、基板の第1の部分の上に第1の金属酸化物層を形成することと、基板の第1の部分の上の第1の金属酸化物層と接触させて第1のTFTの界面ゲート絶縁体(GI)層を形成し、基板の第2の部分の上に下側層を形成することであって、基板の第2の部分は第2のTFTに対応し、下側層は、第2のTFTの第2の金属酸化物層の底面と接触し、界面GI層及び下側層を形成することは、第1の部分及び第2の部分の上に第1のシリコン含有層を堆積させることであって、第1のシリコン含有層は誘導結合プラズマ(ICP)を用いた高密度プラズマ化学気相堆積(HDP-CVD)プロセスで堆積され、HDP-CVDプロセスは、約2.3W/cmから約5.3W/cmのICP電力密度と、約2MHzから約13.56MHzのICP周波数を有する、第1の部分及び第2の部分の上に第1のシリコン含有層を堆積させることを含む、基板の第1の部分の上の第1の金属酸化物層と接触させて第1のTFTの界面ゲート絶縁体(GI)層を形成し、基板の第2の部分の上に下側層を形成することと、底面を下側層と接触させて第2のTFTの第2の金属酸化物層を形成することと、界面層と接触させて第1のTFTのバルクGI層を形成し、第2の金属酸化物層の上面と接触させて第2のTFTのGI層を形成することであって、バルクGI層及びGI層を形成することは、容量結合プラズマ(CCP)を用いた化学気相堆積(CVD)プロセスで第1の部分及び第2の部分の上に第2のシリコン含有層を堆積させることを含む、界面層と接触させて第1のTFTのバルクGI層を形成し、第2の金属酸化物層の上面と接触させて第2のTFTのGI層を形成することと、第1の部分の上の第2のシリコン含有層の上に第1のTFTの第1のゲート電極を形成し、第2の部分の上の第2のシリコン含有層の上に第2のTFTの第2のゲート電極を形成することと、第1のTFTの界面GI層、第1のTFTのバルクGI層、第2のTFTのGI層、及び第2のTFTの下側層を形成するために、第1の部分及び第2の部分から第2のシリコン含有層の1又は複数の残留部分を除去することと、基板の上に層間誘電体(ILD)層を堆積させることとを含む。 [0008] Another exemplary method of forming a thin film transistor device is to form a first metal oxide layer over a first portion of a substrate, the first portion of the substrate including the first metal oxide layer. forming a first metal oxide layer on a first portion of the substrate corresponding to a thin film transistor (TFT); and in contact with the first metal oxide layer on the first portion of the substrate. forming an interfacial gate insulator (GI) layer for the first TFT and forming a lower layer over a second portion of the substrate, the second portion of the substrate corresponding to the second TFT; and the lower layer is in contact with the bottom surface of the second metal oxide layer of the second TFT, forming an interfacial GI layer and a lower layer on the first portion and the second portion. depositing a first silicon-containing layer, the first silicon-containing layer being deposited in a high-density plasma chemical vapor deposition (HDP-CVD) process using inductively coupled plasma (ICP); The process includes forming a second portion on the first portion and the second portion having an ICP power density of about 2.3 W/cm 2 to about 5.3 W/cm 2 and an ICP frequency of about 2 MHz to about 13.56 MHz. forming an interfacial gate insulator (GI) layer of the first TFT in contact with a first metal oxide layer on the first portion of the substrate, including depositing a silicon-containing layer of the substrate; forming a second metal oxide layer of the second TFT with the bottom surface in contact with the bottom layer; and forming a second metal oxide layer of the second TFT in contact with the interfacial layer. forming a bulk GI layer of a first TFT and in contact with a top surface of a second metal oxide layer to form a GI layer of a second TFT, forming a bulk GI layer and a GI layer; contacting the interfacial layer, comprising depositing a second silicon-containing layer over the first portion and the second portion in a chemical vapor deposition (CVD) process using a capacitively coupled plasma (CCP). forming a bulk GI layer of the first TFT in contact with a top surface of the second metal oxide layer; forming a first gate electrode of the first TFT over the silicon-containing layer and forming a second gate electrode of the second TFT over the second silicon-containing layer; , an interfacial GI layer of the first TFT, a bulk GI layer of the first TFT, a GI layer of the second TFT, and a bottom layer of the second TFT. removing one or more remaining portions of the second silicon-containing layer from the portion; and depositing an interlevel dielectric (ILD) layer over the substrate.

[0009]薄膜トランジスタデバイスを形成する更に別の例示的な方法は、基板の第1の部分の上にポリシリコン層を形成することであって、基板の第1の部分はポリシリコン薄膜トランジスタ(TFT)に対応する、基板の第1の部分の上にポリシリコン層を形成することと、基板の第1の部分のポリシリコン層の上、及び第2の部分の上に第1のゲート絶縁体(GI)層を堆積させることであって、基板の第2の部分は金属酸化物(MOx)TFTに対応する、基板の第1の部分のポリシリコン層の上及び第2の部分の上に第1のゲート絶縁体(GI)層を堆積させることと、第1のGI層の上にポリシリコンTFTの第1のゲート電極を形成し、MOx TFTのシールド金属を形成することと、第1のGI層、第1のゲート電極、及びシールド金属の上に第1の層間絶縁体(ILD)層を形成することと、基板の第2の部分の第1のILD層の上にMOx TFTの金属酸化物層を形成することと、金属酸化物層の上に第2のGI層を形成することであって、誘導結合プラズマ(ICP)を用いた高密度プラズマ化学気相堆積(HDP-CVD)プロセスでシリコン含有層を堆積させることを含み、HDP-CVDプロセスは、約2.3W/cmから約5.3W/cmのICP電力密度と、約2MHzから約13.56MHzのICP周波数を有する、金属酸化物層の上に第2のGI層を形成することと、第2のGI層の上に第2のゲート電極を形成することと、第1のILD層、金属酸化物層、及び第2のゲート電極の上に第2のILD層を形成することとを含む。 [0009] Yet another exemplary method of forming a thin film transistor device is to form a polysilicon layer over a first portion of a substrate, the first portion of the substrate comprising a polysilicon thin film transistor (TFT). forming a polysilicon layer over a first portion of the substrate corresponding to the first gate insulator ( depositing a polysilicon layer on the first portion of the substrate and on the second portion, the second portion of the substrate corresponding to a metal oxide (MOx) TFT; depositing a first gate insulator (GI) layer, forming a first gate electrode of a polysilicon TFT on the first GI layer and forming a shield metal of the MOx TFT; forming a first interlayer dielectric (ILD) layer over the GI layer, the first gate electrode, and the shield metal; and forming a MOx TFT metal over the first ILD layer in a second portion of the substrate. forming an oxide layer and forming a second GI layer on the metal oxide layer, the method comprising high density plasma chemical vapor deposition (HDP-CVD) using inductively coupled plasma (ICP). The HDP-CVD process, which includes depositing a silicon-containing layer in the process, has an ICP power density of about 2.3 W/cm 2 to about 5.3 W/cm 2 and an ICP frequency of about 2 MHz to about 13.56 MHz. forming a second GI layer on the metal oxide layer; forming a second gate electrode on the second GI layer; the first ILD layer, the metal oxide layer; and forming a second ILD layer over the second gate electrode.

[0010]上述した本開示の特徴を詳細に理解できるように、一部が添付の図面に例示されている実施形態を参照しながら、上記に要約した本開示をより具体的に説明する。しかし、添付の図面は例示的な実施形態を単に示すものであり、したがって、その範囲を限定するものと見なすべきではなく、他の等しく有効な実施形態も許容しうることに留意されたい。 [0010] In order that the features of the disclosure described above may be understood in detail, the disclosure summarized above will now be described more particularly with reference to embodiments, some of which are illustrated in the accompanying drawings. It should be noted, however, that the accompanying drawings merely depict exemplary embodiments and therefore should not be considered limiting in scope, as other equally valid embodiments may also be tolerated.

一実施形態に係るチャンバを示す概略断面図である。FIG. 2 is a schematic cross-sectional view of a chamber according to one embodiment. 一実施形態に係るTFTを示す概略断面図である。FIG. 1 is a schematic cross-sectional view showing a TFT according to an embodiment. 一実施形態に係るTFTを示す概略断面図である。FIG. 1 is a schematic cross-sectional view showing a TFT according to one embodiment. 一実施形態に係るTFTを示す概略断面図である。FIG. 1 is a schematic cross-sectional view showing a TFT according to an embodiment. 一実施形態に係るTFTを形成する方法のフロー図である。1 is a flow diagram of a method of forming a TFT according to one embodiment. 一実施形態に係る2つのトランジスタ構造を示す概略断面図である。1 is a schematic cross-sectional view of two transistor structures according to one embodiment. FIG. 一実施形態に係る2つのトランジスタ構造を示す概略断面図である。1 is a schematic cross-sectional view of two transistor structures according to one embodiment. FIG. 一実施形態に係る2つのトランジスタ構造を示す概略断面図である。1 is a schematic cross-sectional view of two transistor structures according to one embodiment. FIG. 一実施形態に係る2つのトランジスタ構造を示す概略断面図である。1 is a schematic cross-sectional view of two transistor structures according to one embodiment. FIG. 一実施形態に係る2つのトランジスタ構造を形成する方法のフロー図である。FIG. 2 is a flow diagram of a method of forming a two-transistor structure according to one embodiment. 一実施形態に係る2つのトランジスタ構造を示す概略断面図である。1 is a schematic cross-sectional view of two transistor structures according to one embodiment. FIG. 一実施形態に係る2つのトランジスタ構造を示す概略断面図である。1 is a schematic cross-sectional view of two transistor structures according to one embodiment. FIG. 一実施形態に係る2つのトランジスタ構造を示す概略断面図である1 is a schematic cross-sectional view showing two transistor structures according to one embodiment; FIG. 一実施形態に係る2つのトランジスタ構造を示す概略断面図である。1 is a schematic cross-sectional view of two transistor structures according to one embodiment. FIG. 一実施形態に係る2つのトランジスタ構造を示す概略断面図である。1 is a schematic cross-sectional view of two transistor structures according to one embodiment. FIG. 一実施形態に係る2つのトランジスタ構造を示す概略断面図である。1 is a schematic cross-sectional view of two transistor structures according to one embodiment. FIG. 一実施形態に係る2つのトランジスタ構造を示す概略断面図である。1 is a schematic cross-sectional view of two transistor structures according to one embodiment. FIG. 一実施形態に係る2つのトランジスタ構造を示す概略断面図である。1 is a schematic cross-sectional view of two transistor structures according to one embodiment. FIG. 一実施形態に係る2つのトランジスタ構造を示す概略断面図である。1 is a schematic cross-sectional view of two transistor structures according to one embodiment. FIG. 一実施形態に係る2つのトランジスタ構造を示す概略断面図である。1 is a schematic cross-sectional view of two transistor structures according to one embodiment. FIG. 一実施形態に係る2つのトランジスタ構造を形成する方法のフロー図である。FIG. 2 is a flow diagram of a method of forming a two-transistor structure according to one embodiment. 一実施形態に係る2つのトランジスタ構造を示す概略断面図である。1 is a schematic cross-sectional view of two transistor structures according to one embodiment. FIG.

[0022]理解を容易にするために、可能な限り、図面に共通の同一要素を示すのに同一の参照番号を使用している。一実施形態の要素及び特徴は、さらなる詳述なしに他の実施形態に有益に組み込まれ得ると考えられる。 [0022] To facilitate understanding, wherever possible, the same reference numerals have been used to refer to identical elements common to the drawings. It is contemplated that elements and features of one embodiment may be beneficially incorporated into other embodiments without further elaboration.

[0023]本明細書で開示される実施形態は概して、TFTを形成する方法に関する。本方法は、1又は複数の金属酸化物層及び/又はポリシリコン層を堆積させることを含む。1又は複数の金属酸化物層及び/又はポリシリコン層の上に、GI層が堆積される。HDP-CVDを用いてGI層を堆積させることにより、その下に堆積された金属酸化物層及び/又はポリシリコン層の移動度が予想以上に高くなる。GI層を選択的に配置することにより、GI層がHDP-CVDで堆積されるか、あるいはCCPを用いたCVDプロセスで堆積されるかによって、下層の移動度が制御される。GI層を堆積させることにより、層堆積後に下層の移動度を制御することが可能になる、すなわち、堆積中に加えて、堆積後に移動度が向上し得る。本明細書に開示される実施形態は、移動度が向上したチャネルを含むTFTを形成するのに有用であり得るが、これに限定されない。 [0023] Embodiments disclosed herein generally relate to methods of forming TFTs. The method includes depositing one or more metal oxide layers and/or polysilicon layers. A GI layer is deposited over the one or more metal oxide and/or polysilicon layers. Depositing the GI layer using HDP-CVD results in unexpectedly high mobilities of the underlying metal oxide and/or polysilicon layers. By selectively placing the GI layer, the mobility of the underlying layer is controlled depending on whether the GI layer is deposited by HDP-CVD or by a CVD process using CCP. Depositing a GI layer makes it possible to control the mobility of the underlying layer after layer deposition, ie, the mobility can be enhanced after deposition as well as during deposition. Embodiments disclosed herein may be useful in forming TFTs that include channels with enhanced mobility, but are not limited thereto.

[0024]本書で使用する用語「約」は、公称値からの±10%程度のばらつきを指している。このようなばらつきは、本明細書で提供されるいかなる値にも含まれ得ることを理解されたい。 [0024] As used herein, the term "about" refers to a variation of about ±10% from the nominal value. It is to be understood that such variations may be included in any values provided herein.

[0025]本開示の様々な実施形態において、層又は他の材料は、エッチングされるものとして言及される。これらの材料のエッチングは、非限定的に、反応性イオンエッチング(RIE)、ドライエッチング、ウェットエッチング、プラズマエッチング、マイクロローディング、上記のいずれかの選択的エッチング、上記の組み合わせ、及び他の任意の適切な方法等、半導体製造に使用される任意の従来の方法を用いて行うことができることを理解されたい。本明細書において、方法工程が2種類以上の材料、又は同じ材料の2つ以上の部分をエッチングすると記載されている場合、エッチングは同じエッチングプロセスで同時に起こり得る、又はエッチングは異なるエッチングプロセスを用いて別々の副工程で行われ得ることを理解されたい。例えば、金属と誘電体のエッチングを説明する工程は、金属をエッチングする第1のエッチングプロセスを用いた第1のエッチング副工程を含み、工程は、誘電体をエッチングする第2のエッチングプロセスを用いた第2のエッチング副工程を更に含む。 [0025] In various embodiments of this disclosure, layers or other materials are referred to as being etched. Etching of these materials may include, but is not limited to, reactive ion etching (RIE), dry etching, wet etching, plasma etching, microloading, selective etching of any of the above, combinations of the above, and any other methods. It should be understood that this can be done using any conventional method used in semiconductor manufacturing, such as any suitable method. When a method step is described herein as etching more than one material, or more than one portion of the same material, the etching may occur simultaneously in the same etching process, or the etching may occur using different etching processes. It should be understood that the steps may be performed in separate substeps. For example, a process describing a metal and dielectric etch includes a first etch sub-step using a first etch process to etch the metal, and the process includes a second etch process to etch the dielectric. The method further includes a second etching sub-step.

[0026]図1は、一実施形態に係るチャンバ100を示す概略断面図である。適切なチャンバは、カリフォルニア州サンタクララに所在するアプライドマテリアルズ社から入手することができる。以下に説明するシステムは例示的なチャンバであり、他の製造業者からのチャンバを含む他のチャンバが、本開示の態様を達成するために共に使用可能である、又は変更され得ることを理解されたい。チャンバ100は、HDPを生成するように構成される。 [0026] FIG. 1 is a schematic cross-sectional view of a chamber 100 according to one embodiment. Suitable chambers are available from Applied Materials, Santa Clara, California. It is understood that the systems described below are exemplary chambers and that other chambers, including chambers from other manufacturers, can be used together or modified to accomplish aspects of the present disclosure. sea bream. Chamber 100 is configured to generate HDP.

[0027]図示したように、チャンバ100は、チャンバ本体104と、リッドアセンブリ106と、基板支持アセンブリ108とを含む。リッドアセンブリ106は、チャンバ本体104の上端部に配置される。基板支持アセンブリ108は、チャンバ本体104の内部領域内に少なくとも部分的に配置される。基板支持アセンブリ108は、基板支持体110及びシャフト112を含む。基板支持体110は、少なくとも1つの基板102を支持するための支持面114を有する。 [0027] As shown, chamber 100 includes a chamber body 104, a lid assembly 106, and a substrate support assembly 108. Lid assembly 106 is located at the upper end of chamber body 104. Substrate support assembly 108 is disposed at least partially within an interior region of chamber body 104. Substrate support assembly 108 includes a substrate support 110 and a shaft 112. Substrate support 110 has a support surface 114 for supporting at least one substrate 102.

[0028]本明細書に記載の他の実施形態と組み合わせることができる一実施形態では、基板102は、典型的には約1m以上の表面積を有する基板等、大面積基板である。しかしながら、基板102は、任意の特定のサイズ又は形状に限定されない。例えば、用語「基板」は、フラットパネルディスプレイの製造に使用されるガラス基板又はポリマー基板等の任意の多角形、正方形、長方形、曲線、又は他の非円形のワークピースを指す。基板102は、シリコン系基板、半導体基板、絶縁基板、ゲルマニウム系基板、及び一般的には相補型金属酸化膜半導体(CMOS)デバイス構造中に存在するであろう1又は複数の汎用層等の任意の適切な材料を含み得る。基板102は、剛性ガラス又は柔軟なポリイミド(PI)等の透明材料を含んでいてよく、これは、基板がテレビ、タブレット、ラップトップ、携帯電話又は他のディスプレイ等のLCD又はOLEDディスプレイ用途に用いられる場合に有用であり得る。基板102は、その上に任意の数の金属層、半導体層、又は絶縁層を有し得る。 [0028] In one embodiment, which can be combined with other embodiments described herein, the substrate 102 is a large area substrate, such as a substrate that typically has a surface area of about 1 square meter or more. However, substrate 102 is not limited to any particular size or shape. For example, the term "substrate" refers to any polygonal, square, rectangular, curved, or other non-circular workpiece, such as a glass or polymeric substrate used in the manufacture of flat panel displays. Substrate 102 can be any of a silicon-based substrate, a semiconductor substrate, an insulating substrate, a germanium-based substrate, and one or more general purpose layers that may typically be present in a complementary metal oxide semiconductor (CMOS) device structure. may contain suitable materials. Substrate 102 may include a transparent material, such as rigid glass or flexible polyimide (PI), which allows the substrate to be used in LCD or OLED display applications such as televisions, tablets, laptops, mobile phones, or other displays. may be useful if Substrate 102 may have any number of metal, semiconductor, or insulating layers thereon.

[0029]リッドアセンブリ106は、チャンバ本体104の上端部に拡散器116を含む。拡散器116は、少なくとも1つのガス源120に結合可能な1又は複数の拡散器入口118を含む。拡散器116は、ガス源120から、拡散器116と基板支持体110との間の処理領域124に1又は複数のガスを供給する。1又は複数のガスは、拡散器116の複数の孔(図示せず)を通して処理領域124に供給される。質量流量制御(MFC)デバイス等の流量コントローラ122が、ガス源120から拡散器116へのガスの流量を制御するために、拡散器入口118のそれぞれとガス源120との間に配置される。ポンプ126は、処理領域124と流体連結している。ポンプ126は、処理領域124内の圧力を制御し、処理領域124からガス及び副生成物を排出するように動作可能である。 [0029] The lid assembly 106 includes a diffuser 116 at the upper end of the chamber body 104. Diffuser 116 includes one or more diffuser inlets 118 connectable to at least one gas source 120. Diffuser 116 supplies one or more gases from a gas source 120 to a processing region 124 between diffuser 116 and substrate support 110 . One or more gases are supplied to the processing region 124 through a plurality of holes (not shown) in the diffuser 116. A flow controller 122, such as a mass flow control (MFC) device, is positioned between each of the diffuser inlets 118 and the gas source 120 to control the flow of gas from the gas source 120 to the diffuser 116. Pump 126 is in fluid communication with processing region 124 . Pump 126 is operable to control pressure within processing region 124 and pump gases and byproducts from processing region 124 .

[0030]リッドアセンブリ106は、その中に形成された1又は複数の誘導結合プラズマ生成部品(代替的にコイルと呼ばれる)130を有する少なくとも1つの空洞128を含む。コイル130は、少なくとも1つの誘電体プレート132によって支持される。各誘電体プレート132は、空洞128内の大気圧の存在及びチャンバ本体104の内部領域の真空圧の存在によって生じる構造的負荷に耐える構造的強度を有する物理的バリアを提供する。各コイル130は、電源134と、グラウンド138とに接続される。本明細書に記載の他の実施形態と組み合わせることができる一実施形態では、各コイル130は、コイル130のインピーダンス等の電気特性を調整するための整合回路を有する整合ボックス136を通して電源134に接続される。幾つかの実施形態では、第1のキャパシタ137がコイル130と整合ボックス136との間に電気的に接続される。幾つかの実施形態では、末端キャパシタ139が、コイル130とグラウンド138との間に電気的に接続される。各コイル130は、処理領域124のガスに通電して高密度プラズマ(HDP)を発生させる電磁場を生成するように構成される。 [0030] The lid assembly 106 includes at least one cavity 128 having one or more inductively coupled plasma generating components (alternatively referred to as a coil) 130 formed therein. Coil 130 is supported by at least one dielectric plate 132. Each dielectric plate 132 provides a physical barrier that has the structural strength to withstand the structural loads caused by the presence of atmospheric pressure within the cavity 128 and the presence of vacuum pressure in the interior region of the chamber body 104 . Each coil 130 is connected to a power source 134 and ground 138. In one embodiment that may be combined with other embodiments described herein, each coil 130 is connected to a power source 134 through a matching box 136 having a matching circuit to adjust electrical characteristics such as impedance of the coil 130. be done. In some embodiments, a first capacitor 137 is electrically connected between coil 130 and matching box 136. In some embodiments, a terminal capacitor 139 is electrically connected between coil 130 and ground 138. Each coil 130 is configured to generate an electromagnetic field that energizes the gas in the processing region 124 to generate a high density plasma (HDP).

[0031]一実施形態では、チャンバに生じる電子密度は、約1E11/cmを上回る。一実施形態では、チャンバに生じるイオンプラズマ密度は、約1E11/cmを上回る。一実施形態では、HDPを生成するために使用されるICP電力密度は、約5.3W/cmである。一実施形態では、HDPを生成するために使用されるICP周波数は、約2MHzから約13.56MHzである。 [0031] In one embodiment, the electron density produced in the chamber is greater than about 1E11/ cm3 . In one embodiment, the ion plasma density generated in the chamber is greater than about 1E11/cm 3 . In one embodiment, the ICP power density used to generate the HDP is approximately 5.3 W/ cm2 . In one embodiment, the ICP frequency used to generate the HDP is from about 2 MHz to about 13.56 MHz.

[0032]コントローラ190は、チャンバ100に結合され、処理中にチャンバ100の態様を制御するように構成される。図示したように、コントローラ190は、中央処理装置(CPU)191、メモリ192、及び支援回路(代替的にI/Oと呼ばれる)193を含む。CPU191は、様々なプロセス及びハードウェア(例えば、パターンジェネレータ、モータ、及び他のハードウェア)を制御し、プロセス(例えば、処理時間及び基板の位置又は場所)を監視するために産業環境で使用される任意の形態のコンピュータプロセッサの1つである。メモリ192は、CPU191に接続され、ランダムアクセスメモリ(RAM)、読み取り専用メモリ(ROM)、フロッピーディスク、ハードディスク、又はローカルもしくはリモートの他のいずれかの形態のデジタルストレージ等の容易に入手できるメモリのうちの1又は複数である。CPU191に指示するためのソフトウェア命令及びデータをコード化し、メモリ192に記憶させることができる。また、CPU191には、従来の方式でCPUを支援するための支援回路193が接続される。支援回路193は、従来のキャッシュ、電源、クロック回路、入出力回路、サブシステム等を含む。コントローラ190によって読み取り可能なプログラム(又はコンピュータ命令)は、基板102上でどのタスクが実行可能かを決定する。プログラムは、コントローラ190によって読み取り可能なソフトウェアであってよく、例えば、チャンバ100の処理パラメータ(例えば、圧力、温度、ガス流量)を監視及び制御するためのコードを含み得る。 [0032] Controller 190 is coupled to chamber 100 and configured to control aspects of chamber 100 during processing. As shown, controller 190 includes a central processing unit (CPU) 191, memory 192, and support circuitry (alternatively referred to as I/O) 193. CPU 191 is used in industrial environments to control various processes and hardware (e.g., pattern generators, motors, and other hardware) and monitor processes (e.g., processing time and substrate position or location). any form of computer processor. Memory 192 is connected to CPU 191 and includes readily available memory such as random access memory (RAM), read only memory (ROM), floppy disk, hard disk, or any other form of digital storage, local or remote. One or more of them. Software instructions and data for instructing CPU 191 can be encoded and stored in memory 192 . Furthermore, a support circuit 193 is connected to the CPU 191 for supporting the CPU in a conventional * manner. Support circuitry 193 includes conventional cache, power supply, clock circuitry, input/output circuitry, subsystems, and the like. A program (or computer instructions) readable by controller 190 determines which tasks can be performed on substrate 102. The program may be software readable by controller 190 and may include, for example, code to monitor and control process parameters (eg, pressure, temperature, gas flow) of chamber 100.

[0033]図2A~2Hは、一実施形態に係るTFT200を形成する方法を示す概略断面図である。図3は、同実施形態に係るTFT200を形成する方法300のフロー図である。説明を容易にするために、図1のチャンバ100を参照しながら、図2A~2H、3、8、及び11を説明する。しかし、チャンバ100以外のICP-CVDチャンバが方法300と共に利用され得ることに留意されたい。方法300は、CPU191によって実行されると、チャンバ100に方法300を実行させる命令を含むコンピュータ可読媒体として、コントローラ190に記憶され得る又はアクセス可能であり得る。 [0033] FIGS. 2A-2H are schematic cross-sectional views illustrating a method of forming a TFT 200 according to one embodiment. FIG. 3 is a flow diagram of a method 300 of forming TFT 200 according to the same embodiment. For ease of explanation, FIGS. 2A-2H, 3, 8, and 11 will be described with reference to chamber 100 of FIG. However, it is noted that ICP-CVD chambers other than chamber 100 may be utilized with method 300. Method 300 may be stored or accessible in controller 190 as a computer readable medium containing instructions that, when executed by CPU 191, cause chamber 100 to perform method 300.

[0034]図示したように、基板102の上にTFT200が形成される(図2A)。 [0034] As shown, a TFT 200 is formed on the substrate 102 (FIG. 2A).

[0035]方法300は、図2Bに示すように、金属酸化物層204が形成される工程310で始まる。金属酸化物層204は、当技術分野で用いられる任意の従来の方法によって形成される。幾つかの実施形態では、金属酸化物層204は、基板102の上に堆積される。本明細書に記載の他の実施形態と組み合わせることができる一実施形態では、金属酸化物層204は、酸素(O)と、インジウム(In)、亜鉛(Zn)、ガリウム(Ga)、酸素(O)、スズ(Sn)、アルミニウム(Al)、及びハフニウム(Hf)のうちの少なくとも1つとを含む。金属酸化物層204の例としては、In-Ga-Zn-O、In-Zn-O、In-Ga-Sn-O、In-Zn-Sn-O、In-Ga-Zn-Sn-O、In-Sn-O、Hf-In-Zn-O、Ga-Zn-O、In-O、Al-Sn-Zn-O、Zn-O、Zn-Sn-O、Al-Zn-O、Al-Zn-Sn-O、Hf-Zn-O、Sn-O、及びAl-Sn-Zn-In-O等を含むが、これらに限定されない。工程310は、金属酸化物層204を、ホウ素(B)又は窒素(N)等のn型又はp型ドーパントでドープすることを含み得る。金属酸化物層204は、約30nmから約50nmの厚さを有し得る。金属酸化物層膜が第1の副工程で形成され、第2の副工程でエッチングされて、金属酸化物層204が形成され得る。他の実施形態では、金属酸化物層204は、所望の形状を有する金属酸化物層204を作製するために、選択的な堆積を使用して堆積される。 [0035] The method 300 begins at step 310, as shown in FIG. 2B, where a metal oxide layer 204 is formed. Metal oxide layer 204 is formed by any conventional method used in the art. In some embodiments, metal oxide layer 204 is deposited over substrate 102. In one embodiment that can be combined with other embodiments described herein, the metal oxide layer 204 includes oxygen (O), indium (In), zinc (Zn), gallium (Ga), oxygen ( O), tin (Sn), aluminum (Al), and hafnium (Hf). Examples of the metal oxide layer 204 include In-Ga-Zn-O, In-Zn-O, In-Ga-Sn-O, In-Zn-Sn-O, In-Ga-Zn-Sn-O, In-Sn-O, Hf-In-Zn-O, Ga-Zn-O, In-O, Al-Sn-Zn-O, Zn-O, Zn-Sn-O, Al-Zn-O, Al- Examples include, but are not limited to, Zn-Sn-O, Hf-Zn-O, Sn-O, and Al-Sn-Zn-In-O. Step 310 may include doping metal oxide layer 204 with an n-type or p-type dopant, such as boron (B) or nitrogen (N). Metal oxide layer 204 may have a thickness of about 30 nm to about 50 nm. A metal oxide layer film may be formed in a first sub-step and etched in a second sub-step to form metal oxide layer 204. In other embodiments, metal oxide layer 204 is deposited using selective deposition to create metal oxide layer 204 with a desired shape.

[0036]工程340において、図2Cに示すように、GI層206が堆積される。GI層206は、金属酸化物層204の少なくとも一部の上に堆積される。GI層206は、金属酸化物層204と直接接触している。GI層206は、シリコン、酸化ケイ素(Si)、窒化ケイ素(SiN)、他の絶縁材料、又はそれらの組み合わせ等の絶縁材料を含む。GI層206は、約200Åから約8000Åの厚さを有し得る。工程340は、高密度プラズマ化学気相堆積(HDP-CVD)を使用して実行される。 [0036] At step 340, a GI layer 206 is deposited, as shown in FIG. 2C. A GI layer 206 is deposited over at least a portion of the metal oxide layer 204. GI layer 206 is in direct contact with metal oxide layer 204. GI layer 206 includes an insulating material such as silicon, silicon oxide ( SixOy ) , silicon nitride ( SiNx ), other insulating materials, or combinations thereof. GI layer 206 may have a thickness of about 200 Å to about 8000 Å. Step 340 is performed using high density plasma chemical vapor deposition (HDP-CVD).

[0037]工程340は、亜酸化窒素(NO)を約0.40sccm/cmから約0.60sccm/cmの流量で、シラン(SiH)を約0.01sccm/cmから約0.01sccm/cmの流量で含むガスを、約5から約40のNOとSiHの比、約75mTorrから約150mTorrのチャンバ圧力、約70℃から約350℃のチャンバ温度、約80℃から約160℃の基板温度で、約20秒から約900秒の間流すことを含む。工程340は、HDP-CVDを用いて、約2W/cmから約6W/cm、例えば約2.3W/cmから約5.3W/cmのICP電力密度で、約1MHzから約15MHz、例えば約2MHzから約13.56MHzのICP周波数で、約0Wから約200Wの印加バイアス電力で、約4000Wから約10000WのICP電力で実行される。幾つかの実施形態では、四フッ化ケイ素(SiF)、ジシラン(Si)、酸素ガス(O)、オゾン(O)、Ar、窒素ガス(N)、アンモニア(NH)、He又は上記の混合物が並行して流される。基板とガス源との間の間隔は、約7000mmから約8000mmであり得る。 [0037] Step 340 includes nitrous oxide ( N2O ) at a flow rate of about 0.40 sccm/ cm2 to about 0.60 sccm/cm2 and silane ( SiH4 ) at a flow rate of about 0.01 sccm/ cm2 to about 0.01 sccm/ cm2 . gas at a flow rate of 0.01 sccm/cm 2 , a N 2 O to SiH 4 ratio of about 5 to about 40, a chamber pressure of about 75 mTorr to about 150 mTorr, a chamber temperature of about 70° C. to about 350° C., about 80° C. C. to about 160.degree. C. for a period of about 20 seconds to about 900 seconds. Step 340 uses HDP-CVD at an ICP power density of about 2 W/cm 2 to about 6 W/cm 2 , such as about 2.3 W/cm 2 to about 5.3 W/cm 2 , from about 1 MHz to about 15 MHz. , for example, with an ICP frequency of about 2 MHz to about 13.56 MHz, an applied bias power of about 0 W to about 200 W, and an ICP power of about 4000 W to about 10,000 W. In some embodiments, silicon tetrafluoride (SiF 4 ), disilane (Si 2 H 6 ), oxygen gas (O 2 ), ozone (O 3 ), Ar, nitrogen gas (N 2 ), ammonia (NH 3 ), He or mixtures of the above are flowed in parallel. The spacing between the substrate and the gas source can be about 7000 mm to about 8000 mm.

[0038]GI層206は、約700Å/分から約1500Å/分の速度で堆積され得る。GI層の屈折率は、約1.8から約2.0であり得る。シリコン-水素(Si-H)結合の割合は、約0.1%から約12%であり得る。シリコン-窒素(Si-N)結合の割合は、約10%から約25%であり得る。分光法で測定されるシリコン-酸素結合(Si-O)のピーク位置は、約1050 1/cmから約1100 1/cmであり得る。GI層206の応力は、約-450MPaから約700MPaである。GI層206がSiを含む工程340の例示的なプロセス変数を表1に示す。GI層206がSiを含む工程340の例示的なプロセス変数を表2に示す。

Figure 2024028772000002
[0038] GI layer 206 may be deposited at a rate of about 700 Å/min to about 1500 Å/min. The refractive index of the GI layer can be from about 1.8 to about 2.0. The percentage of silicon-hydrogen (Si-H) bonds can be from about 0.1% to about 12%. The percentage of silicon-nitrogen (Si-N) bonds can be about 10% to about 25%. The silicon-oxygen bond (Si-O) peak position, as measured by spectroscopy, can be from about 1050 1/cm to about 1100 1/cm. The stress of the GI layer 206 is about -450 MPa to about 700 MPa. Exemplary process variables for step 340 in which GI layer 206 comprises Si x N y are shown in Table 1. Exemplary process variables for step 340 in which GI layer 206 includes Si x O y are shown in Table 2.
Figure 2024028772000002

[0039]表1:Siを含むGI層に対する工程340の例示的なプロセス変数。空白のセルは、その変数が適用されないことを示す。

Figure 2024028772000003
[0039] Table 1: Exemplary process variables of step 340 for a GI layer comprising Si x N y . A blank cell indicates that the variable does not apply.
Figure 2024028772000003

[0040]表2:Siを含むGI層に対する工程340の例示的なプロセス変数。空白のセルは、その変数が適用されないことを示す。 [0040] Table 2: Exemplary process variables of step 340 for a GI layer comprising Si x O y . A blank cell indicates that the variable does not apply.

[0041]容量結合プラズマ化学気相堆積(CCP-CVD)プロセスでは、平行平板電極等の対向電極が設けられ、一方の電極はグラウンドに結合され、他方は電源に結合され、その間にガスが導入されて、実質的にキャパシタコンデンサが形成される。電源付き電極に電力を供給することにより、電気エネルギーがガスに容量結合され、そのプラズマが形成される。プラズマのイオン密度は、ガスに伝達される電力の関数である。一方、ICPでは、プラズマが形成されるガス領域をコイルが取り囲み、又はその上にあり、コイルを通って流れる電気エネルギーがガスに電磁的に結合し、ガス原子又は分子をイオン化又はその他の方法で通電する。この場合も、プラズマのイオン密度は、ガスに結合されるエネルギーの関数である。CCPシステムでは、電極の1つは通常、基板支持体でもあるため、ガスに結合できる電力は、その電力が基板に及ぼす潜在的な悪影響によって制限される。これに対し、ICP方式を利用すると、ガスの原子及び分子をイオン化するための電力が、基板を保持する回路部品から切り離され、より高い電力を用いてプラズマに高いエネルギーを与えることができるため、基板に悪影響を与えずにプラズマ中のイオン密度を高くすることができる。このようにして、ICP源(すなわち、HDP-CVDプロセス)からHDPが生成され得る。 [0041] In a capacitively coupled plasma chemical vapor deposition (CCP-CVD) process, opposed electrodes, such as parallel plate electrodes, are provided, one electrode coupled to ground and the other coupled to a power source, between which a gas is introduced. to essentially form a capacitor capacitor. By supplying power to the powered electrodes, electrical energy is capacitively coupled to the gas and its plasma is formed. The ion density of the plasma is a function of the power transferred to the gas. In ICP, on the other hand, a coil surrounds or overlies the gas region in which the plasma is formed, and electrical energy flowing through the coil electromagnetically couples to the gas, ionizing or otherwise ionizing the gas atoms or molecules. Turn on electricity. Again, the ion density of the plasma is a function of the energy coupled to the gas. In CCP systems, one of the electrodes is typically also the substrate support, so the power that can be coupled to the gas is limited by the potential negative effects that power may have on the substrate. On the other hand, when using the ICP method, the power for ionizing gas atoms and molecules is separated from the circuit components that hold the substrate, and higher power can be used to give high energy to the plasma. The ion density in the plasma can be increased without adversely affecting the substrate. In this way, HDP can be produced from an ICP source (ie, an HDP-CVD process).

[0042]HDP-CVDを用いたSiOを含むGI層206の堆積は、予想以上に、下層の金属酸化物層204の移動度の増加をもたらすことが分かっている。金属酸化物層204(例えば、InGaZnO)の移動度は、15cm/Vs未満から約150cm/Vsを上回り、例えば最大約450cm/Vs、又はそれ以上に高くなり得る。更に、金属酸化物層204の飽和時の移動度は約3000cm/Vsを上回り得る。同じ金属酸化物層204にSiOを堆積させるためにCCPを用いたCVDプロセスを用いる場合、移動度又は飽和時の移動度のそのような増加はない。HDP-CVDを用いたGI層206の堆積が、下層の金属酸化物層204の化学的変換を引き起こし、その結果、移動度が高くなると考えられる。金属酸化物層204とGI層206との接合面は、キャリア密度が増加し、金属酸化物層の移動度が高くなり得る。インジウム(In)を含む金属酸化物層204では、金属酸化物層からGI層206へのIn原子の拡散により、キャリア生成が増加し、したがって移動度が高くなり得る。更に、金属酸化物層204に構造変化が生じて、原子の拡散により原子欠陥が治癒する等、移動度が更に高くなり得る。 [0042] Deposition of the GI layer 206 including SiO x using HDP-CVD has been found to result in an unexpected increase in the mobility of the underlying metal oxide layer 204. The mobility of the metal oxide layer 204 (eg, InGaZnO 4 ) can be from less than 15 cm 2 /Vs to greater than about 150 cm 2 /Vs, such as up to about 450 cm 2 /Vs, or higher. Further, the saturation mobility of metal oxide layer 204 can be greater than about 3000 cm 2 /Vs. When using a CVD process with CCP to deposit SiO x on the same metal oxide layer 204, there is no such increase in mobility or mobility at saturation. It is believed that the deposition of the GI layer 206 using HDP-CVD causes chemical transformation of the underlying metal oxide layer 204, resulting in increased mobility. At the interface between the metal oxide layer 204 and the GI layer 206, the carrier density may increase and the mobility of the metal oxide layer may increase. In a metal oxide layer 204 that includes indium (In), diffusion of In atoms from the metal oxide layer into the GI layer 206 can increase carrier generation and therefore higher mobility. Further, structural changes may occur in the metal oxide layer 204 to further increase the mobility, such as by healing atomic defects due to atomic diffusion.

[0043]工程350において、図2Dに示すように、ゲート電極208が形成される。幾つかの実施形態では、ゲート電極208は、GI層206の上に形成される。ゲート電極208は、モリブデン(Mo)、クロム(Cr)、銅(Cu)、チタン(Ti)、タンタル(Ta)、タングステン(W)、MoWを含む合金金属、MoW、TiCu、MoCu、MoCuMo、TiCuTi、MoWCu、MoWCuMoWを含む導電性材料の組合せ、導電性金属酸化物、例えばインジウムスズ酸化物(InSnO)(ITO)及びインジウム亜鉛酸化物(InZnO)(IZO)、又はそれらの任意の組み合わせ等を含む任意の導電性材料であってよい。幾つかの実施形態では、ゲート電極208は、単一の工程で堆積される。他の実施形態では、金属層を形成するために、ゲート電極208の材料が第1の副工程で堆積され、ゲート電極208を作製するために、金属層の1又は複数の残留部分がエッチングされる。ゲート電極208は、TFT200の層全体に電圧を供給するために、電源(図示せず)としてのゲート線信号に接続されるように構成される。 [0043] At step 350, a gate electrode 208 is formed, as shown in FIG. 2D. In some embodiments, gate electrode 208 is formed over GI layer 206. The gate electrode 208 is made of an alloy metal containing molybdenum (Mo), chromium (Cr), copper (Cu), titanium (Ti), tantalum (Ta), tungsten (W), MoW, MoW, TiCu, MoCu, MoCuMo, TiCuTi. , MoWCu, MoWCuMoW, conductive metal oxides such as indium tin oxide (InSnO) (ITO) and indium zinc oxide (InZnO) (IZO), or any combination thereof. It can be any electrically conductive material. In some embodiments, gate electrode 208 is deposited in a single step. In other embodiments, a material for gate electrode 208 is deposited in a first sub-step to form a metal layer, and one or more remaining portions of the metal layer are etched to create gate electrode 208. Ru. Gate electrode 208 is configured to be connected to a gate line signal as a power source (not shown) to supply voltage across the layers of TFT 200.

[0044]工程360において、図2Eに示すように、GI層206(図2D)の1又は複数の残留部分206がエッチングされる。幾つかの実施形態では、ゲート電極208は、GI層206を所望のサイズ及び形状にエッチングするためのマスクとして機能する。幾つかの実施形態では、GI層206のウェットエッチング速度(WER)は、約200Å/分から約7000Å/分である。工程360は、ドライエッチングを含み得る。 [0044] At step 360, one or more remaining portions 206 * of the GI layer 206 (FIG. 2D) are etched, as shown in FIG. 2E. In some embodiments, gate electrode 208 acts as a mask to etch GI layer 206 to the desired size and shape. In some embodiments, the wet etch rate (WER) of GI layer 206 is about 200 Å/min to about 7000 Å/min. Step 360 may include dry etching.

[0045]工程370において、図2Fに示すように、層間絶縁体(ILD)層210が形成される。幾つかの実施形態では、ILD層210は、ゲート電極208及び金属酸化物層204の上に形成される。ILD層210は、単一二酸化ケイ素(SiO)、窒化ケイ素(SiN)、多層窒化ケイ素/酸化ケイ素(SiN/SiO)、酸窒化ケイ素(SiON)、他の絶縁材料、又はそれらの組み合わせ等の絶縁材料を含む。幾つかの実施形態では、ILD層210は、工程330と同じプロセスパラメータを用いて堆積される。ILD層210は、化学機械研磨(CMP)等により平坦化され得る。ILD層210は、HDP-CVD又はCCPを用いたCVDプロセスを用いて堆積され得る。 [0045] At step 370, an interlayer dielectric (ILD) layer 210 is formed, as shown in FIG. 2F. In some embodiments, ILD layer 210 is formed over gate electrode 208 and metal oxide layer 204. The ILD layer 210 may be made of single silicon dioxide (SiO x ), silicon nitride (SiN x ), multilayer silicon nitride/silicon oxide (SiN x /SiO y ), silicon oxynitride (SiON), or other insulating materials. Including insulating materials such as combinations. In some embodiments, ILD layer 210 is deposited using the same process parameters as step 330. ILD layer 210 may be planarized by chemical mechanical polishing (CMP) or the like. ILD layer 210 may be deposited using HDP-CVD or CVD processes using CCP.

[0046]シーケンス380の結果、図2Gに示すように、ILD層210にソース電極212、ドレイン電極214、ソース電極ビア216、及びドレイン電極ビア218が形成される。シーケンス380は、当技術分野で用いられるゲート及びドレイン電極構造を形成する任意の従来の方法を含み得る。幾つかの実施形態では、第1の工程において、金属酸化物層204の一部が露出するように、ILD層210の一部がエッチングされる。第2の工程において、ソース電極212、ドレイン電極214、ソース電極ビア216、及びドレイン電極ビア218を形成するために、金属酸化物層204の一部分を露出させるILDの部分が導電性材料で充填される。導電性材料は、Mo、Cr、Cu、Ti、Ta、W、MoWを含む合金金属、MoW、TiCu、MoCu、MoCuMo、TiCuTi、MoWCu、MoWCuMoWを含む導電性材料の組み合わせ、ITO又はIZO等の導電性金属酸化物等を含む任意の導電性材料の組み合わせ、又はそれらの任意の組み合わせを含む。 [0046] Sequence 380 results in the formation of source electrode 212, drain electrode 214, source electrode via 216, and drain electrode via 218 in ILD layer 210, as shown in FIG. 2G. Sequence 380 may include any conventional method of forming gate and drain electrode structures used in the art. In some embodiments, in the first step, a portion of ILD layer 210 is etched such that a portion of metal oxide layer 204 is exposed. In a second step, the portion of the ILD that exposes a portion of metal oxide layer 204 is filled with a conductive material to form source electrode 212, drain electrode 214, source electrode via 216, and drain electrode via 218. Ru. The conductive material is a combination of conductive materials including alloy metals including Mo, Cr, Cu, Ti, Ta, W, and MoW, MoW, TiCu, MoCu, MoCuMo, TiCuTi, MoWCu, and MoWCuMoW, and conductive materials such as ITO or IZO. conductive materials, including conductive metal oxides, etc., or any combination thereof.

[0047]工程390において、図2Hに示すように、パッシベーション層220が形成される。幾つかの実施形態では、パッシベーション層220は、ILD層210、ソース電極212、及びドレイン電極214の上に形成される。パッシベーション層220は、ILD層210又は緩衝層202に使用される任意の材料を含み得る。パッシベーション層220は、HDP-CVD又はCCPを用いたCVDプロセスを用いて堆積され得る。幾つかの実施形態では、パッシベーション層220は、工程330と同じプロセスパラメータを使用して堆積される。パッシベーション層220は、化学機械研磨(CMP)等により平坦化され得る。 [0047] At step 390, a passivation layer 220 is formed, as shown in FIG. 2H. In some embodiments, passivation layer 220 is formed over ILD layer 210, source electrode 212, and drain electrode 214. Passivation layer 220 may include any material used for ILD layer 210 or buffer layer 202. Passivation layer 220 may be deposited using HDP-CVD or CVD processes using CCP. In some embodiments, passivation layer 220 is deposited using the same process parameters as step 330. Passivation layer 220 may be planarized by chemical mechanical polishing (CMP) or the like.

[0048]幾つかの実施形態では、緩衝層(図示せず)が、基板102の上及び金属酸化物層204の下に配置される。緩衝層は、二酸化ケイ素(SiO)、窒化ケイ素(SiN)、多層窒化ケイ素/酸化ケイ素(SiN/SiO)、酸窒化ケイ素(SiON)、他の絶縁材料、又はそれらの組み合わせ等の絶縁材料を含む。 [0048] In some embodiments, a buffer layer (not shown) is disposed over the substrate 102 and under the metal oxide layer 204. The buffer layer may include silicon dioxide (SiO x ), silicon nitride (SiN x ), multilayer silicon nitride/silicon oxide (SiN x /SiO y ), silicon oxynitride (SiON), other insulating materials, or combinations thereof. Contains insulating materials.

[0049]幾つかの実施形態では、TFT200は、緩衝層の上及び金属酸化物層204の下に配置された二次緩衝層(図示せず)を更に含む。シールド金属(図示せず)は、緩衝層の上、二次緩衝層内、及び金属酸化物層204の下に配置される。二次緩衝層は、上述した緩衝層の材料のいずれかを含み得る。シールド金属は、上述したゲート電極208の材料のいずれかを含み得る。シールド金属により、TFT200の望ましくない電磁波への暴露が低減する。 [0049] In some embodiments, TFT 200 further includes a secondary buffer layer (not shown) disposed above the buffer layer and below metal oxide layer 204. A shield metal (not shown) is disposed above the buffer layer, within the secondary buffer layer, and below the metal oxide layer 204. The secondary buffer layer may include any of the buffer layer materials described above. The shield metal may include any of the gate electrode 208 materials described above. The shield metal reduces exposure of TFT 200 to unwanted electromagnetic radiation.

[0050]図4A~4Jは、一実施形態に係る2つのトランジスタ構造400を示す断面図である。図5は、同じ実施形態に係る2つのトランジスタ構造400を形成する方法500のフロー図である。説明を容易にするために、図4A~4J、5、6、及び7を、図1のチャンバ100を参照しながら説明する。しかし、チャンバ100以外のICP-CVDチャンバが方法500と共に利用され得ることに留意されたい。方法500は、CPU191によって実行されると、チャンバ100に方法500を実行させる命令を含むコンピュータ可読媒体として、コントローラ190に記憶され得る又はアクセス可能であり得る。 [0050] FIGS. 4A-4J are cross-sectional views of two transistor structures 400, according to one embodiment. FIG. 5 is a flow diagram of a method 500 of forming two transistor structures 400 according to the same embodiment. For ease of explanation, FIGS. 4A-4J, 5, 6, and 7 will be described with reference to chamber 100 of FIG. However, it is noted that ICP-CVD chambers other than chamber 100 may be utilized with method 500. Method 500 may be stored or accessible in controller 190 as a computer readable medium containing instructions that, when executed by CPU 191, cause chamber 100 to perform method 500.

[0051]図示のように、2つのトランジスタ構造400は、基板102を含む(図4A)。 [0051] As shown, a two-transistor structure 400 includes a substrate 102 (FIG. 4A).

[0052]方法500は、図4Bに示すように、第1の金属酸化物層204Aが形成される工程510で始まる。幾つかの実施形態では、基板102の第1の部分491の上に(又は、存在する場合は緩衝層202の上に)、第1の金属酸化物層204Aが形成される。工程510は、工程310と同様に実施され得る。 [0052] The method 500 begins at step 510, as shown in FIG. 4B, where a first metal oxide layer 204A is formed. In some embodiments, a first metal oxide layer 204A is formed over the first portion 491 of the substrate 102 (or over the buffer layer 202, if present). Step 510 may be performed similarly to step 310.

[0053]工程540において、図4Cに示すように、GI層206(代替的に界面GI層と呼ばれる)が堆積される。GI層は、第1の金属酸化物層204Aの少なくとも一部の上に堆積される。GI層206は、金属酸化物層204Aと直接接触する。工程540は、工程340と同様に実施され得る。 [0053] At step 540, a GI layer 206 (alternatively referred to as an interfacial GI layer) is deposited, as shown in FIG. 4C. A GI layer is deposited on at least a portion of the first metal oxide layer 204A. GI layer 206 is in direct contact with metal oxide layer 204A. Step 540 may be performed similarly to step 340.

[0054]工程550において、図4Dに示すように、第2の金属酸化物層204Bが形成される。幾つかの実施形態では、第2の金属酸化物層204Bは、GI層206の上の、基板102の第2の部分492の上に形成される。工程550は、工程510と同様に実施され得る。 [0054] In step 550, a second metal oxide layer 204B is formed, as shown in FIG. 4D. In some embodiments, a second metal oxide layer 204B is formed over the second portion 492 of the substrate 102 over the GI layer 206. Step 550 may be performed similarly to step 510.

[0055]工程555において、図4Eに示すように、二次GI層(代替的にバルク層と呼ばれる)406が堆積される。二次GI層406は、GI層206及び第2の金属酸化物層204Bの上に堆積される。二次GI層406は、第2の金属酸化物層204Bと直接接触する。二次GI層406は、GI層206に含まれる任意の材料を含み得る。二次GI層の堆積は、CCPを用いたCVDプロセスを含む。工程555は、工程340と同様に実施され得る。 [0055] In step 555, a secondary GI layer (alternatively referred to as a bulk layer) 406 is deposited, as shown in FIG. 4E. A secondary GI layer 406 is deposited over the GI layer 206 and the second metal oxide layer 204B. Secondary GI layer 406 is in direct contact with second metal oxide layer 204B. Secondary GI layer 406 may include any material included in GI layer 206. Deposition of the secondary GI layer involves a CVD process using CCP. Step 555 may be performed similarly to step 340.

[0056]工程560において、図4Fに示すように、第1のゲート電極208A及び第2のゲート電極208Bが形成される。幾つかの実施形態では、第1及び第2のゲート電極208A、208Bは、二次GI層406の上に形成される。第1のゲート電極208Aは、第1金属酸化物層204Aの上に形成され、第2のゲート電極208Bは、第2の金属酸化物層204Bの上に形成される。工程560は、工程350と同様に実施され得る。 [0056] In step 560, a first gate electrode 208A and a second gate electrode 208B are formed, as shown in FIG. 4F. In some embodiments, first and second gate electrodes 208A, 208B are formed over secondary GI layer 406. A first gate electrode 208A is formed on the first metal oxide layer 204A and a second gate electrode 208B is formed on the second metal oxide layer 204B. Step 560 may be performed similarly to step 350.

[0057]工程570において、図4Gに示すように、GI層206の1又は複数の残留部分206及び二次GI層406(図4F)の1又は複数の残留部分406がエッチングされる。幾つかの実施形態では、第1のゲート電極208A及び第2のゲート電極208Bは、GI層206を所望のサイズ及び形状にエッチングするためのマスクとして機能し、第1のGI層部分(代替的に界面GI層と呼ばれる)206A、第1の二次GI部分(代替的にバルクGI層と呼ばれる)406A、下側層206B、及びGI層406Bが形成される。同様に、幾つかの実施形態では、第1のゲート電極208A及び第2のゲート電極208Bは、二次GI層406を所望のサイズ及び形状にエッチングするためのマスクとして機能し、第1のGI層部分206A、第1の二次GI部分406A、下側層206B、及びGI層406Bが形成される。下側層206Bと第1のGI層部分206Aを単一の工程570で形成することで、マスキングとエッチング工程の合計回数が減る。更に、マスキング及びエッチング工程が減るため、スループットが向上し、操作員の所有経費(CoO)が低減する。また、工程570は、2つのトランジスタ構造400のサイズを減少させ、したがって、2つのトランジスタ構造400を含むディスプレイのスペースが減少する。工程570は、工程360と同様に実施され得る。 [0057] In step 570, the one or more remaining portions 206 * of the GI layer 206 and the one or more remaining portions 406 * of the secondary GI layer 406 (FIG. 4F) are etched, as shown in FIG. 4G. In some embodiments, the first gate electrode 208A and the second gate electrode 208B act as a mask to etch the GI layer 206 to the desired size and shape, and the first GI layer portion (alternatively A first secondary GI portion (alternatively referred to as a bulk GI layer) 406A, an underlying layer 206B, and a GI layer 406B are formed. Similarly, in some embodiments, the first gate electrode 208A and the second gate electrode 208B act as a mask for etching the secondary GI layer 406 to the desired size and shape, Layer portion 206A, first secondary GI portion 406A, lower layer 206B, and GI layer 406B are formed. Forming the lower layer 206B and first GI layer portion 206A in a single step 570 reduces the total number of masking and etching steps. Additionally, throughput is increased and operator cost of ownership (CoO) is reduced due to fewer masking and etching steps. Step 570 also reduces the size of the two transistor structures 400, thus reducing the space of the display containing the two transistor structures 400. Step 570 may be performed similarly to step 360.

[0058]工程580において、図4Hに示すように、ILD層210が形成される。幾つかの実施形態では、ILD層は、第1及び第2のゲート電極208A、208Bの上に形成される。工程580は、工程370と同様に実施され得る。 [0058] At step 580, an ILD layer 210 is formed, as shown in FIG. 4H. In some embodiments, an ILD layer is formed over the first and second gate electrodes 208A, 208B. Step 580 may be performed similarly to step 370.

[0059]シーケンス590の結果、図4Iに示すように、ILD層210に第1のソース電極212A、第2のソース電極212B、第1のドレイン電極214A、第2のドレイン電極214B、第1のソース電極ビア216A、第2のソース電極ビア216B、第1のドレイン電極ビア218A、及び第2のドレイン電極ビア218Bが形成される。シーケンス590は、当技術分野で用いられるゲート及びドレイン電極構造を形成する任意の従来の方法を含み得る。幾つかの実施形態では、第1の金属酸化物層204Aの一部が露出し、第2の金属酸化物層204Bの一部が露出するように、ILD層210の一部が第1の工程でエッチングされる。第2の工程において、ソース電極212A、212B、ドレイン電極214A、214B、ソース電極ビア216A、216B、及びドレイン電極ビア218A、218Bを形成するために、ILDの第1金属酸化物層204Aを露出させる部分が導電性材料で充填される。シーケンス590は、シーケンス380と同様に実施され得る。 [0059] As a result of sequence 590, as shown in FIG. 4I, ILD layer 210 has first source electrode 212A, second source electrode 212B, first drain electrode 214A, second drain electrode 214B, first A source electrode via 216A, a second source electrode via 216B, a first drain electrode via 218A, and a second drain electrode via 218B are formed. Sequence 590 may include any conventional method of forming gate and drain electrode structures used in the art. In some embodiments, a portion of the ILD layer 210 is removed in the first step such that a portion of the first metal oxide layer 204A is exposed and a portion of the second metal oxide layer 204B is exposed. etched with. In a second step, the first metal oxide layer 204A of the ILD is exposed to form source electrodes 212A, 212B, drain electrodes 214A, 214B, source electrode vias 216A, 216B, and drain electrode vias 218A, 218B. The portion is filled with a conductive material. Sequence 590 may be implemented similarly to sequence 380.

[0060]工程595において、図4Jに示すように、パッシベーション層220が形成される。幾つかの実施形態では、パッシベーション層220は、ILD層210、ソース電極212A、212B、及びドレイン電極214A、214Bの上に形成される。工程595は、工程390と同様に実施され得る。このように、2つのトランジスタ構造400に、2つのTFT401A、401Bが形成される。2つのTFT401A、401Bは、直列又は並列に接続され得る。2つのTFT401A、401Bは、同じ入力電圧信号を受信し得る、又は異なる電圧信号を受信し得る。 [0060] At step 595, a passivation layer 220 is formed, as shown in FIG. 4J. In some embodiments, passivation layer 220 is formed over ILD layer 210, source electrodes 212A, 212B, and drain electrodes 214A, 214B. Step 595 may be performed similarly to step 390. In this way, two TFTs 401A and 401B are formed in two transistor structures 400. The two TFTs 401A and 401B may be connected in series or in parallel. The two TFTs 401A, 401B may receive the same input voltage signal or may receive different voltage signals.

[0061]幾つかの実施形態では、緩衝層(図示せず)が、基板102の上及び金属酸化物層204Aの下に配置される。緩衝層は、二酸化ケイ素(SiO)、窒化ケイ素(SiN)、多層窒化ケイ素/酸化ケイ素(SiN/SiO)、酸窒化ケイ素(SiON)、他の絶縁材料、又はそれらの組み合わせ等の絶縁材料を含む。 [0061] In some embodiments, a buffer layer (not shown) is disposed over the substrate 102 and under the metal oxide layer 204A. The buffer layer may include silicon dioxide (SiO x ), silicon nitride (SiN x ), multilayer silicon nitride/silicon oxide (SiN x /SiO y ), silicon oxynitride (SiON), other insulating materials, or combinations thereof. Contains insulating materials.

[0062]幾つかの実施形態では、2つのトランジスタ構造400は、緩衝層の上に、金属酸化物層204A及び下側層206Bの下に配置された二次緩衝層(図示せず)を更に含む。緩衝層の上、二次緩衝層内、及び金属酸化物層204A、204Bの一方、又は両方の下に、1又は複数のシールド金属(図示せず)が配置される。 [0062] In some embodiments, the two transistor structure 400 further includes a secondary buffer layer (not shown) disposed above the buffer layer and below the metal oxide layer 204A and the bottom layer 206B. include. One or more shield metals (not shown) are disposed above the buffer layer, within the secondary buffer layer, and below one or both of the metal oxide layers 204A, 204B.

[0063]図6は、一実施形態に係る2つのトランジスタ構造600を示す図である。方法500は、以下により詳細に説明するように、2つのトランジスタ構造600を形成するために使用することも可能である。 [0063] FIG. 6 is a diagram illustrating a two transistor structure 600 according to one embodiment. Method 500 can also be used to form two transistor structures 600, as described in more detail below.

[0064]図示したように、2つのトランジスタ構造600は、第1のTFT601A及び第2のTFT601Bを含む。第1のTFT601Aは、第1のTFT401A(図4J)と同様であり得る。しかし、第1のTFT601Aは、二次GI層を含まないため、工程555は省略可能である。 [0064] As shown, the two transistor structure 600 includes a first TFT 601A and a second TFT 601B. First TFT 601A may be similar to first TFT 401A (FIG. 4J). However, since the first TFT 601A does not include a secondary GI layer, step 555 can be omitted.

[0065]第2のTFT601Bは、第2のTFT401B(図4J)と同様であり得る。ただし、第2の金属酸化物層204Bは、ILD層210の上に配置されるため、工程560の後に工程550が実行される。また、第2のソース電極212B及び第2のドレイン電極214Bは、第2の金属酸化物層204Bに直接接触し、ソース電極ビア又はドレイン電極ビアは含まれない。 [0065] Second TFT 601B may be similar to second TFT 401B (FIG. 4J). However, since the second metal oxide layer 204B is disposed over the ILD layer 210, step 550 is performed after step 560. Also, the second source electrode 212B and the second drain electrode 214B are in direct contact with the second metal oxide layer 204B, and do not include a source electrode via or a drain electrode via.

[0066]幾つかの実施形態では、2つのトランジスタ構造600は、緩衝層の上に配置された二次緩衝層(図示せず)を更に含む。緩衝層の上、二次緩衝層内、及び金属酸化物層204A、204Bの一方、又は両方の下に、1又は複数のシールド金属(図示せず)が配置される。 [0066] In some embodiments, the two transistor structure 600 further includes a secondary buffer layer (not shown) disposed over the buffer layer. One or more shield metals (not shown) are disposed above the buffer layer, within the secondary buffer layer, and below one or both of the metal oxide layers 204A, 204B.

[0067]2つのTFT601A、601Bは、直列又は並列に接続され得る。2つのTFT601A、601Bは、同じ入力電圧信号又は異なる電圧信号を受信し得る。 [0067] The two TFTs 601A, 601B may be connected in series or in parallel. The two TFTs 601A, 601B may receive the same input voltage signal or different voltage signals.

[0068]幾つかの実施形態では、緩衝層(図示せず)が、基板102の上及び金属酸化物層204Aの下に配置される。緩衝層は、二酸化ケイ素(SiO)、窒化ケイ素(SiN)、多層窒化ケイ素/酸化ケイ素(SiN/SiO)、酸窒化ケイ素(SiON)、他の絶縁材料、又はそれらの組み合わせ等の絶縁材料を含む。 [0068] In some embodiments, a buffer layer (not shown) is disposed over the substrate 102 and under the metal oxide layer 204A. The buffer layer may include silicon dioxide (SiO x ), silicon nitride (SiN x ), multilayer silicon nitride/silicon oxide (SiN x /SiO y ), silicon oxynitride (SiON), other insulating materials, or combinations thereof. Contains insulating materials.

[0069]図7は、一実施形態に係る2つのトランジスタ構造700を示す図である。方法500は、以下により詳細に説明するように、2つのトランジスタ構造700を形成するために使用することも可能である。 [0069] FIG. 7 is a diagram illustrating a two transistor structure 700 according to one embodiment. Method 500 can also be used to form two transistor structures 700, as described in more detail below.

[0070]図示したように、2つのトランジスタ構造700は、第1のTFT701A及び第2のTFT701Bを含む。第1のTFT701Aは、第1のTFT401A(図4J)と同様である。ただし、第1のTFT701Aは、二次GI層を含まないため、工程555は省略可能である。また、2つのトランジスタ構造700は、ILD層210の上及びパッシベーション層220の下に配置されたエッチング停止層(ESL)710を含む。ESL710は、工程550の次の工程で形成され得る。ESL710の形成は、工程370と同様に実施され得る。ESL710は、ILD層210に含まれる任意の材料を含み得る。ESL710の上に、第1のソース電極212A及び第1のドレイン電極214Aが配置される。ESL710及びILD層210内に、第1のソース電極ビア216A及び第1のドレイン電極ビア218Aが配置される。 [0070] As shown, the two transistor structure 700 includes a first TFT 701A and a second TFT 701B. The first TFT 701A is similar to the first TFT 401A (FIG. 4J). However, since the first TFT 701A does not include a secondary GI layer, step 555 can be omitted. The two transistor structure 700 also includes an etch stop layer (ESL) 710 disposed above the ILD layer 210 and below the passivation layer 220. ESL 710 may be formed in the next step after step 550. Formation of ESL 710 may be performed similarly to step 370. ESL 710 may include any material included in ILD layer 210. A first source electrode 212A and a first drain electrode 214A are arranged on the ESL 710. A first source electrode via 216A and a first drain electrode via 218A are disposed within the ESL 710 and ILD layer 210.

[0071]第2のTFT701Bは、第2のTFT401B(図4J)と同様である。ただし、第2の金属酸化物層204BはESL710の上に配置されるため、工程550は工程560の後に実行される。ESL710の上に、第2のソース電極212B及び第2のドレイン電極214Bが配置される。ESL710内に、第2のソース電極ビア216B及び第2のドレイン電極ビア218Bが配置される。 [0071] The second TFT 701B is similar to the second TFT 401B (FIG. 4J). However, since the second metal oxide layer 204B is disposed over the ESL 710, step 550 is performed after step 560. A second source electrode 212B and a second drain electrode 214B are arranged on the ESL 710. A second source electrode via 216B and a second drain electrode via 218B are disposed within the ESL 710.

[0072]幾つかの実施形態では、緩衝層(図示せず)が、基板102の上及び金属酸化物層204Aの下に配置される。緩衝層は、二酸化ケイ素(SiO)、窒化ケイ素(SiN)、多層窒化ケイ素/酸化ケイ素(SiN/SiO)、酸窒化ケイ素(SiON)、他の絶縁材料、又はそれらの組み合わせ等の絶縁材料を含む。 [0072] In some embodiments, a buffer layer (not shown) is disposed over the substrate 102 and under the metal oxide layer 204A. The buffer layer may include silicon dioxide (SiO x ), silicon nitride (SiN x ), multilayer silicon nitride/silicon oxide (SiN x /SiO y ), silicon oxynitride (SiON), other insulating materials, or combinations thereof. Contains insulating materials.

[0073]幾つかの実施形態では、2つのトランジスタ構造700は、緩衝層の上に配置された二次緩衝層(図示せず)を更に含む。緩衝層の上、二次緩衝層内、及び第2の金属酸化物層204A、204Bの一方、又は両方の下に、1又は複数のシールド金属(図示せず)が配置される。 [0073] In some embodiments, the two transistor structure 700 further includes a secondary buffer layer (not shown) disposed over the buffer layer. One or more shield metals (not shown) are disposed above the buffer layer, within the secondary buffer layer, and below one or both of the second metal oxide layers 204A, 204B.

[0074]2つのTFT701A、701Bは、直列又は並列に接続され得る。2つのTFT701A、701Bは、同じ入力電圧信号又は異なる電圧信号を受信し得る。 [0074] The two TFTs 701A, 701B may be connected in series or in parallel. The two TFTs 701A, 701B may receive the same input voltage signal or different voltage signals.

[0075]図8は、一実施形態に係る2つのトランジスタ構造800を示す図である。方法300は、以下により詳細に説明するように、2つのトランジスタ構造800を形成するために使用することも可能である。 [0075] FIG. 8 is a diagram illustrating a two transistor structure 800 according to one embodiment. Method 300 can also be used to form two transistor structures 800, as described in more detail below.

[0076]図示したように、2つのトランジスタ構造800は、第1のTFT801A及び第2のTFT801Bを含む。第1のTFT801Aは、TFT200(図2H)と同様であり得る。第2のTFT801Bは、TFT200(図2H)と同様であり得る。ただし、GI層及びゲート電極は含まれない。2つのトランジスタ構造800は、工程310が第2の金属酸化物層204Bを堆積させることを更に含む方法300を用いて形成され得る。 [0076] As shown, the two transistor structure 800 includes a first TFT 801A and a second TFT 801B. First TFT 801A may be similar to TFT 200 (FIG. 2H). Second TFT 801B may be similar to TFT 200 (FIG. 2H). However, the GI layer and gate electrode are not included. Two transistor structures 800 may be formed using method 300 where step 310 further includes depositing a second metal oxide layer 204B.

[0077]幾つかの実施形態では、緩衝層(図示せず)が、基板102の上及び金属酸化物層204Aの下に配置される。緩衝層は、二酸化ケイ素(SiO)、窒化ケイ素(SiN)、多層窒化ケイ素/酸化ケイ素(SiN/SiO)、酸窒化ケイ素(SiON)、他の絶縁材料、又はそれらの組み合わせ等の絶縁材料を含む。 [0077] In some embodiments, a buffer layer (not shown) is disposed over the substrate 102 and under the metal oxide layer 204A. The buffer layer may include silicon dioxide (SiO x ), silicon nitride (SiN x ), multilayer silicon nitride/silicon oxide (SiN x /SiO y ), silicon oxynitride (SiON), other insulating materials, or combinations thereof. Contains insulating materials.

[0078]2つのトランジスタ構造800は、基板102の上に配置された緩衝層202を更に含む。緩衝層202は、二酸化ケイ素(SiO)、窒化ケイ素(SiN)、多層窒化ケイ素/酸化ケイ素(SiN/SiO)、酸窒化ケイ素(SiON)、他の絶縁材料、又はそれらの組み合わせ等の絶縁材料を含む。緩衝層202の上に、二次緩衝層203が配置される。二次緩衝層203は、緩衝層202に含まれる材料のいずれかを含む。シールド金属808Bが、緩衝層202の上、二次緩衝層203内、及び金属酸化物層204Bの下に配置される。シールド金属908Bは、TFT801Bの層全体に電圧を供給するための電源(図示せず)としてのゲート線信号に接続されるように構成される。 [0078] Two transistor structure 800 further includes a buffer layer 202 disposed over substrate 102. Buffer layer 202 may be silicon dioxide (SiO x ), silicon nitride (SiN x ), multilayer silicon nitride/silicon oxide (SiN x /SiO y ), silicon oxynitride (SiON), other insulating materials, or combinations thereof. Insulating material. A secondary buffer layer 203 is disposed on the buffer layer 202. Secondary buffer layer 203 includes any of the materials included in buffer layer 202. A shield metal 808B is disposed over the buffer layer 202, within the secondary buffer layer 203, and under the metal oxide layer 204B. Shield metal 908B is configured to be connected to a gate line signal as a power source (not shown) for supplying voltage across the layers of TFT 801B.

[0079]幾つかの実施形態では、2つのトランジスタ構造800は、緩衝層202の上、二次緩衝層203内、及び第1の金属酸化物層204Aの下に配置された追加のシールド金属を更に含む。 [0079] In some embodiments, the two transistor structure 800 includes additional shield metal disposed over the buffer layer 202, within the secondary buffer layer 203, and under the first metal oxide layer 204A. Including further.

[0080]2つのTFT801A、801Bは、直列又は並列に接続され得る。また、2つのTFT801A、801Bは、同じ入力電圧信号又は異なる電圧信号を受信し得る。 [0080] The two TFTs 801A, 801B may be connected in series or in parallel. Also, the two TFTs 801A, 801B may receive the same input voltage signal or different voltage signals.

[0081]図9A~9Nは、一実施形態に係る2つのトランジスタ構造900を示す概略断面図である。図10は、同じ実施形態に係る2つのトランジスタ構造900を形成する方法1000のフロー図である。方法工程を、図1、9A~9N、及び10と関連して説明するが、当業者であれば、方法1000の工程を任意の順序で実行するように構成された任意のシステムが、本明細書に記載の実施形態の範囲に入ることを理解するであろう。説明を容易にするために、図9A~9N及び10を、図1のチャンバ100を参照しながら説明する。しかしながら、チャンバ100以外のICP-CVDチャンバを方法1000と組み合わせて利用することができることに留意されたい。方法1000は、CPU191によって実行されると、チャンバ100に方法1000を実行させる命令を含むコンピュータ可読媒体として、コントローラ190に記憶され得る又はアクセス可能であり得る。 [0081] FIGS. 9A-9N are schematic cross-sectional views illustrating two transistor structures 900, according to one embodiment. FIG. 10 is a flow diagram of a method 1000 of forming two transistor structures 900 according to the same embodiment. Although the method steps are described in conjunction with FIGS. 1, 9A-9N, and 10, those skilled in the art will appreciate that any system configured to perform the steps of method 1000 in any order may be used herein. It will be understood that the invention falls within the scope of the embodiments described herein. For ease of explanation, FIGS. 9A-9N and 10 will be described with reference to chamber 100 of FIG. However, it should be noted that ICP-CVD chambers other than chamber 100 can be utilized in combination with method 1000. Method 1000 may be stored or accessible in controller 190 as a computer readable medium containing instructions that, when executed by CPU 191, cause chamber 100 to perform method 1000.

[0082]図示のように、2つのトランジスタ構造900は、基板102を含む(図9A)。 [0082] As shown, a two-transistor structure 900 includes a substrate 102 (FIG. 9A).

[0083]方法1000は、図9Bに示すように、ポリシリコン層904Aが堆積される工程1005で始まる。幾つかの実施形態では、ポリシリコン層904Aは、基板102の上(又は、存在する場合は緩衝層202の上)に堆積される。ポリシリコン層904Aは、任意の所望の方法を用いて堆積させることができる。工程1005は、イオン注入等によって、ポリシリコン層904Aをn型又はp型ドーパント(例えば、B又はN)でドープすることを含む。 [0083] Method 1000 begins at step 1005, as shown in FIG. 9B, where a polysilicon layer 904A is deposited. In some embodiments, polysilicon layer 904A is deposited over substrate 102 (or over buffer layer 202, if present). Polysilicon layer 904A can be deposited using any desired method. Step 1005 includes doping polysilicon layer 904A with an n-type or p-type dopant (eg, B or N), such as by ion implantation.

[0084]工程1010において、図9Cに示すように、第1のGI層206が堆積される。幾つかの実施形態では、第1のGI層は、ポリシリコン層904Aの少なくとも一部の上に堆積される。工程1010は、工程340と同様に実施され得る。 [0084] At step 1010, a first GI layer 206 is deposited, as shown in FIG. 9C. In some embodiments, a first GI layer is deposited over at least a portion of polysilicon layer 904A. Step 1010 may be performed similarly to step 340.

[0085]工程1020において、図9Dに示すように、第1のゲート電極208A及びシールド金属908Bが形成される。幾つかの実施形態では、第1のゲート電極208A及びシールド金属908Bは、第1のGI層206の上に形成される。第1のゲート電極208Aは、ポリシリコン層904Aの上に形成される。幾つかの実施形態では、第1の副工程において金属層が堆積され、第2の副工程において第1のゲート電極208A及びシールド金属908Bを形成するために、金属層の1又は複数の残留部分が除去される。工程1020は、工程350と同様に実施され得る。 [0085] In step 1020, a first gate electrode 208A and shield metal 908B are formed, as shown in FIG. 9D. In some embodiments, first gate electrode 208A and shield metal 908B are formed over first GI layer 206. First gate electrode 208A is formed on polysilicon layer 904A. In some embodiments, a metal layer is deposited in a first sub-step and one or more remaining portions of the metal layer are deposited in a second sub-step to form the first gate electrode 208A and shield metal 908B. is removed. Step 1020 may be performed similarly to step 350.

[0086]工程1025において、図9Eに示すように、二次ILD層910が形成される。幾つかの実施形態では、二次ILD層910は、第1のゲート電極208A及びシールド金属908Bの上に形成される。二次ILD層910は、ILD層210の材料のうちのいずれかを含む。工程1025は、工程370と同様に実施され得る。 [0086] At step 1025, a secondary ILD layer 910 is formed, as shown in FIG. 9E. In some embodiments, a secondary ILD layer 910 is formed over the first gate electrode 208A and shield metal 908B. Secondary ILD layer 910 includes any of the materials of ILD layer 210. Step 1025 may be performed similarly to step 370.

[0087]シーケンス1030の結果、図9Fに示すように、二次ILD層910に、二次ソース電極912A、二次ドレイン電極914A、二次ソース電極ビア916A、及び二次ドレイン電極ビア918Aが形成される。シーケンス1030は、当技術分野で用いられるゲート及びドレイン電極構造を形成する任意の従来の方法を含み得る。幾つかの実施形態では、第1の工程でポリシリコン層904Aの一部が露出するように、二次ILD層910の一部がエッチングされる。二次ILD層910の一部は、二次ソース電極912A、二次ドレイン電極914A、二次ソース電極ビア916A、及び二次ドレイン電極ビア918Aを形成するために、第2の工程において導電性材料で充填される。二次ソース電極912A、二次ドレイン電極914A、二次ソース電極ビア916A、及び二次ドレイン電極ビア918Aは、第1のソース電極212A、第1のドレイン電極214A、第1のソース電極ビア216A、及び第1のドレイン電極ビア218Aに含まれる材料のいずれかを含む。シーケンス1030は、シーケンス380と同様に実施され得る。 [0087] As a result of sequence 1030, a secondary source electrode 912A, a secondary drain electrode 914A, a secondary source electrode via 916A, and a secondary drain electrode via 918A are formed in the secondary ILD layer 910, as shown in FIG. 9F. be done. Sequence 1030 may include any conventional method of forming gate and drain electrode structures used in the art. In some embodiments, a portion of the secondary ILD layer 910 is etched in the first step such that a portion of the polysilicon layer 904A is exposed. A portion of the secondary ILD layer 910 is made of a conductive material in a second step to form a secondary source electrode 912A, a secondary drain electrode 914A, a secondary source electrode via 916A, and a secondary drain electrode via 918A. filled with. The secondary source electrode 912A, the secondary drain electrode 914A, the secondary source electrode via 916A, and the secondary drain electrode via 918A are the first source electrode 212A, the first drain electrode 214A, the first source electrode via 216A, and any of the materials contained in the first drain electrode via 218A. Sequence 1030 may be implemented similarly to sequence 380.

[0088]工程1035において、図9Gに示すように、二次緩衝層203が形成される。幾つかの実施形態では、二次緩衝層203は、二次ソース電極912A、二次ドレイン電極914A、二次ソース電極ビア916A、及び二次ドレイン電極ビア918Aの上に堆積される。二次緩衝層203は、HDP-CVD又はCCPを用いたCVDプロセスを用いて堆積させることができる。 [0088] In step 1035, a secondary buffer layer 203 is formed, as shown in FIG. 9G. In some embodiments, secondary buffer layer 203 is deposited over secondary source electrode 912A, secondary drain electrode 914A, secondary source electrode via 916A, and secondary drain electrode via 918A. Secondary buffer layer 203 can be deposited using HDP-CVD or CVD processes using CCP.

[0089]工程1040において、図9Hに示すように、第2の金属酸化物層204Bが形成される。幾つかの実施形態では、第2の金属酸化物層204Bは、二次緩衝層203の上に形成される。工程1040は、工程510と同様に実施され得る。 [0089] In step 1040, a second metal oxide layer 204B is formed, as shown in FIG. 9H. In some embodiments, a second metal oxide layer 204B is formed over the secondary buffer layer 203. Step 1040 may be performed similarly to step 510.

[0090]工程1050において、図9Iに示すように、二次GI層406が堆積される。二次GI層406は、第2の金属酸化物層204Bの上に堆積される。二次GI層406は、第2の金属酸化物層204Bと直接接触する。工程1050は、工程555と同様に実施され得る。 [0090] At step 1050, a secondary GI layer 406 is deposited, as shown in FIG. 9I. A secondary GI layer 406 is deposited over the second metal oxide layer 204B. Secondary GI layer 406 is in direct contact with second metal oxide layer 204B. Step 1050 may be performed similarly to step 555.

[0091]工程1060において、図9Jに示すように、第2のゲート電極208Bが形成される。幾つかの実施形態では、第2のゲート電極208Bは、二次GI層406の上に形成される。第2のゲート電極208Bは、第2の金属酸化物層204Bの上に形成される。工程1060は、工程350と同様に実施され得る。 [0091] In step 1060, a second gate electrode 208B is formed, as shown in FIG. 9J. In some embodiments, second gate electrode 208B is formed over secondary GI layer 406. A second gate electrode 208B is formed over the second metal oxide layer 204B. Step 1060 may be performed similarly to step 350.

[0092]工程1065において、図9Kに示すように、二次GI層406(図9J)の1又は複数の残留部分406がエッチングされる。幾つかの実施形態では、第2のゲート電極208Bは、二次GI層406を所望のサイズ及び形状にエッチングするためのマスクとして機能する。工程1065は、工程360と同様に実施され得る。 [0092] At step 1065, the one or more remaining portions 406 * of the secondary GI layer 406 (FIG. 9J) are etched, as shown in FIG. 9K. In some embodiments, second gate electrode 208B acts as a mask for etching secondary GI layer 406 to the desired size and shape. Step 1065 may be performed similarly to step 360.

[0093]工程1070において、図9Lに示すように、ILD層210が形成される。幾つかの実施形態では、ILD層210は、第2のゲート電極208B及び金属酸化物層206Bの上に形成される。工程1070は、工程370と同様に実施され得る。 [0093] In step 1070, an ILD layer 210 is formed, as shown in FIG. 9L. In some embodiments, ILD layer 210 is formed over second gate electrode 208B and metal oxide layer 206B. Step 1070 may be performed similarly to step 370.

[0094]シーケンス1075の結果、図9Mに示すように、ILD層210にソース電極212A、212B、ドレイン電極214A、214B、ソース電極ビア216A、216B、及びドレイン電極ビア218A、218Bが形成される。シーケンス1075は、当技術分野で用いられるゲート及びドレイン電極構造を形成する任意の従来の方法を含み得る。第1のソース電極ビア216A及び第1のドレイン電極ビア218Aは、それぞれ二次ソース電極912A及び二次ドレイン電極914Aに電気的に接触する。シーケンス1075は、シーケンス380と同様に実施され得る。 [0094] As a result of sequence 1075, source electrodes 212A, 212B, drain electrodes 214A, 214B, source electrode vias 216A, 216B, and drain electrode vias 218A, 218B are formed in ILD layer 210, as shown in FIG. 9M. Sequence 1075 may include any conventional method of forming gate and drain electrode structures used in the art. First source electrode via 216A and first drain electrode via 218A electrically contact secondary source electrode 912A and secondary drain electrode 914A, respectively. Sequence 1075 may be implemented similarly to sequence 380.

[0095]工程1080において、図9Nに示すように、パッシベーション層220が形成される。幾つかの実施形態では、パッシベーション層220は、ILD層210、ソース電極212A、212B、及びドレイン電極214A、214Bの上に堆積される。工程1080は、工程390と同様に実施され得る。このように、2つのトランジスタ構造400に第1のTFT(代替的にポリシリコンTFTと呼ばれる)901A及び第2のTFT(代替的に金属酸化物(MOx)TFTと呼ばれる)901Bが形成される。 [0095] At step 1080, a passivation layer 220 is formed, as shown in FIG. 9N. In some embodiments, passivation layer 220 is deposited over ILD layer 210, source electrodes 212A, 212B, and drain electrodes 214A, 214B. Step 1080 may be performed similarly to step 390. Thus, two transistor structures 400 are formed with a first TFT (alternatively referred to as a polysilicon TFT) 901A and a second TFT (alternatively referred to as a metal oxide (MOx) TFT) 901B.

[0096]幾つかの実施形態では、シールド金属908Bは、二次ILD層910の上に形成される。これらの実施形態では、工程1020は2つの副工程に分離され、シールド金属908Bが形成される副工程は、工程1025の後に実行される。 [0096] In some embodiments, shield metal 908B is formed over secondary ILD layer 910. In these embodiments, step 1020 is separated into two sub-steps, with the sub-step in which shield metal 908B is formed being performed after step 1025.

[0097]幾つかの実施形態では、シーケンス1030は実行されず、従って、二次ソース電極、二次ソース電極ビア、二次ドレイン電極、及び二次ドレイン電極ビアは形成されない。これらの実施形態では、第1のソース電極ビア216A及び第1のドレイン電極ビア216Aは更に、二次ILD層910及び二次緩衝層203に配置される。したがって、第1のソース電極ビア216A及び第1のドレイン電極ビア218Aは、ポリシリコン層904Aと直接電気的に接触する。 [0097] In some embodiments, sequence 1030 is not performed, and thus the secondary source electrode, secondary source electrode via, secondary drain electrode, and secondary drain electrode via are not formed. In these embodiments, first source electrode via 216A and first drain electrode via 216A are further disposed in secondary ILD layer 910 and secondary buffer layer 203. Therefore, first source electrode via 216A and first drain electrode via 218A are in direct electrical contact with polysilicon layer 904A.

[0098]幾つかの実施形態では、ポリシリコン層904はP型ドープ(例えば、Bで)され、金属酸化物層204BはN型ドープ(例えば、Nで)される。 [0098] In some embodiments, polysilicon layer 904 is P-doped (eg, with B) and metal oxide layer 204B is N-doped (eg, with N).

[0099]2つのTFT901A、901Bは、直列又は並列に接続され得る。また、2つのTFT901A、901Bは、同じ入力電圧信号又は異なる電圧信号を受信し得る。 [0099] The two TFTs 901A and 901B may be connected in series or in parallel. Also, the two TFTs 901A, 901B may receive the same input voltage signal or different voltage signals.

[0100]図11は、一実施形態に係る2つのトランジスタ構造1100を示す図である。方法300は、以下により詳細に説明するように、2つのトランジスタ構造1100を形成するために使用することも可能である。 [0100] FIG. 11 is a diagram illustrating a two transistor structure 1100, according to one embodiment. Method 300 can also be used to form two transistor structures 1100, as described in more detail below.

[0101]図示したように、2つのトランジスタ構造1100は、第1のTFT1101A及び第2のTFT1101Bを含む。第1のTFT1101Aは、TFT200(図2I)と同様であり得る。しかし、第1の金属層の代わりに、ポリシリコン層904Aが含まれる。したがって、工程310は、第2の金属酸化物層904Bのみを形成する。また、工程1005が含まれる。第2のTFT1101Bは、TFT200(図2I)と同様である。2つのトランジスタ構造1100は、基板102の上及びILD層210の下に配置された緩衝層202を更に含む。2つのトランジスタ構造1100は、シールド金属908Bを更に含む。シールド金属908Bは、基板102の上、緩衝層202内、及び金属酸化物層904Bの下に配置される。GI層206Aは、GI層206Aの下にあるポリシリコン層904Aの移動度を増加させない。 [0101] As shown, the two transistor structure 1100 includes a first TFT 1101A and a second TFT 1101B. First TFT 1101A may be similar to TFT 200 (FIG. 2I). However, instead of the first metal layer, a polysilicon layer 904A is included. Therefore, step 310 forms only the second metal oxide layer 904B. Further, step 1005 is included. The second TFT 1101B is similar to TFT 200 (FIG. 2I). The two transistor structure 1100 further includes a buffer layer 202 disposed above the substrate 102 and below the ILD layer 210. Two transistor structure 1100 further includes shield metal 908B. Shield metal 908B is disposed over substrate 102, within buffer layer 202, and under metal oxide layer 904B. GI layer 206A does not increase the mobility of polysilicon layer 904A underlying GI layer 206A.

[0102]幾つかの実施形態では、GI層206は、工程360において、ポリシリコン層904Aの表面904S全体の上と金属酸化物層204Bの上の両方にGI層が存在するように、エッチングされる。 [0102] In some embodiments, the GI layer 206 is etched in step 360 such that the GI layer is present both over the entire surface 904S of the polysilicon layer 904A and over the metal oxide layer 204B. Ru.

[0103]幾つかの実施形態では、GI層206はエッチングされず、したがって、GI層206は、ポリシリコン層904A及び金属酸化物層204Bの両方の上に1つの層として配置される。 [0103] In some embodiments, GI layer 206 is not etched, and thus GI layer 206 is disposed as one layer over both polysilicon layer 904A and metal oxide layer 204B.

[0104]2つのTFT1101A、1101Bは、直列又は並列に接続され得る。また、2つのTFT1101A、1101Bは、同じ入力電圧信号又は異なる電圧信号を受信し得る。 [0104] The two TFTs 1101A and 1101B may be connected in series or in parallel. Also, the two TFTs 1101A, 1101B may receive the same input voltage signal or different voltage signals.

[0105]上述した2つのトランジスタ構造(例えば、2つのトランジスタ構造400、600、700、800、900、1100)のそれぞれにおける2つのTFTは、液晶ディスプレイ(LCD)又は有機発光ダイオード(OLED)ディスプレイピクセル回路、又はパネル(GIP)回路におけるゲートドライバとして使用される。例えば、2つのトランジスタ構造における各TFTは、OLEDピクセル回路におけるスイッチングTFT又は駆動TFTとして使用され得る。2つのトランジスタ構造は各々、第2のTFT(例えば、TFT401B、601B、701B、801B、901A、1101A)よりも高い移動度を有する第1のTFT(例えば、TFT401A、601A、701A、801A、901B、1101B)を有するTFTを含む。第1のTFTは、第1のTFTにおいて金属酸化物層の上にGI層が堆積されているため、第2のTFTよりも高い移動度を有し、GI層はHDP-CVDにより堆積される。金属酸化物層と直接接触するGI層、HDP-CVDによって堆積されたGI層は、下位の金属酸化物層の移動度を増加させ、これは、方法300の説明において上に詳細に記載している。一実施形態によれば、第1のTFTは約30cm2/Vsを上回る移動度を有し、第2のTFTは約30cm2/Vsを下回る移動度を有する。 [0105] The two TFTs in each of the two transistor structures described above (e.g., two transistor structures 400, 600, 700, 800, 900, 1100) are liquid crystal display (LCD) or organic light emitting diode (OLED) display pixels. It is used as a gate driver in circuits or panel (GIP) circuits. For example, each TFT in a two-transistor structure can be used as a switching TFT or a driving TFT in an OLED pixel circuit. The two transistor structures each include a first TFT (e.g., TFT401A, 601A, 701A, 801A, 901B, 1101B). The first TFT has a higher mobility than the second TFT due to the GI layer deposited on top of the metal oxide layer in the first TFT, and the GI layer is deposited by HDP-CVD. . A GI layer in direct contact with a metal oxide layer, a GI layer deposited by HDP-CVD, increases the mobility of the underlying metal oxide layer, as described in detail above in the description of method 300. There is. According to one embodiment, the first TFT has a mobility greater than about 30 cm2/Vs and the second TFT has a mobility less than about 30 cm2/Vs.

[0106]上述した方法300、500、1000のいずれかにおいて、1又は複数のオプション工程が含まれ得る。オプションとして、開示された金属酸化物層のいずれかが前処理され得る。前処理は、亜酸化窒素(NO)を含むガスを約0.40sccm/cmから約0.60sccm/cmの流量で、アルゴンガス(Ar)含むガスを約0sccm/cm(すなわち、Arの並行流なし)から約0.60sccm/cmの流量で、約1mTorrから約300mTorrのチャンバ圧力、約25℃から約400℃の温度で、約1秒から約600秒の間流すことを含む。一例では、前処理は、亜酸化窒素(NO)を含むガスを約0.40sccm/cmから約0.60sccm/cmの流量で、アルゴンガス(Ar)を含むガスを約0sccm/cm(すなわち、Arの並行流なし)から約0.60sccm/cmの流量で、約10mTorrから約150mTorrのチャンバ圧力、約50℃から約300℃の温度で、約1秒から約45秒の間流すことを含む。幾つかの実施形態では、二酸化窒素(NO)、ネオンガス(Ne)、ヘリウムガス(He)、又は上記の混合物も並行に流され得る。前処理により、前処理された金属酸化物層の移動度が高くなり得る。前処理は、静的なチャンバで実行され得る、又は上述したチャンバ100等の動的なチャンバで線源により実行され得る。 [0106] In any of the methods 300, 500, 1000 described above, one or more optional steps may be included. Optionally, any of the disclosed metal oxide layers may be pretreated. The pretreatment was performed using a gas containing nitrous oxide (N 2 O) at a flow rate of about 0.40 sccm/cm 2 to about 0.60 sccm/cm 2 and a gas containing argon gas (Ar) at a flow rate of about 0 sccm/cm 2 (i.e. , no parallel flow of Ar) at a flow rate of about 0.60 sccm/cm 2 , a chamber pressure of about 1 mTorr to about 300 mTorr, and a temperature of about 25° C. to about 400° C., for a period of about 1 second to about 600 seconds. including. In one example, the pretreatment includes a gas containing nitrous oxide (N 2 O) at a flow rate of about 0.40 sccm/cm 2 to about 0.60 sccm/cm 2 and a gas containing argon gas (Ar) at a flow rate of about 0 sccm/cm 2 . cm 2 (i.e., without parallel flow of Ar) to a flow rate of about 0.60 sccm/cm 2 , a chamber pressure of about 10 mTorr to about 150 mTorr, and a temperature of about 50° C. to about 300° C., for about 1 second to about 45 seconds. Including flowing for a period of time. In some embodiments, nitrogen dioxide ( NO2 ), neon gas (Ne), helium gas (He), or mixtures of the above may also be flowed in parallel. Pretreatment can increase the mobility of the pretreated metal oxide layer. Pretreatment may be performed in a static chamber or may be performed with a source in a dynamic chamber, such as chamber 100 described above.

[0107]オプションとして、本明細書に開示される金属酸化物層のいずれかの上にシード層が堆積され得る。シード層は、金属酸化物層の少なくとも一部の上に堆積される。シード層は、その上に堆積された層(例えば、GI層)の接着を改善する。シード層は、約1nmから約100nmの厚さを有し得る。シード層の堆積は、CCPを用いたCVDプロセスを含み得る。例えば、シード層の堆積は、CCPを用いたCVDプロセスを含んでいてよく、次いで、界面シード層の上にGI層が堆積され、GI層は、HDP-CVDプロセスによって堆積される。シード層が薄いために、シード層の下の金属酸化物層は依然としてHDP-CVDプロセスの影響を受け、金属酸化物層の移動度が有利に高くなる。上記実施形態のいずれかにおいて、シードGI層の1又は複数の残留部分の除去も行われ得る。 [0107] Optionally, a seed layer may be deposited on any of the metal oxide layers disclosed herein. A seed layer is deposited on at least a portion of the metal oxide layer. The seed layer improves the adhesion of layers deposited thereon (eg, the GI layer). The seed layer may have a thickness of about 1 nm to about 100 nm. Deposition of the seed layer may include a CVD process using CCP. For example, seed layer deposition may include a CVD process using CCP, then a GI layer is deposited over the interfacial seed layer, and the GI layer is deposited by an HDP-CVD process. Due to the thinness of the seed layer, the metal oxide layer below the seed layer is still affected by the HDP-CVD process and the mobility of the metal oxide layer is advantageously high. In any of the above embodiments, removal of one or more remaining portions of the seed GI layer may also occur.

[0108]金属酸化物層の形成、オプションの金属酸化物層の前処理、オプションのシード層の堆積、及びGI層の堆積(以下、MO/GI工程と総称する)は、真空ブレークなしで単一のチャンバ(例えば、チャンバ100)内で実行され得る。別の実施形態では、MO/GI工程は、複数のチャンバを有する統合システムで真空ブレークなしで実行可能であり、各MO/GI工程は、いずれかのチャンバで実行され得る。あるいは、MO/GI工程のいずれかは、任意の数のチャンバで実行可能であり、真空ブレークは、MO/GI工程の間に含まれ得る。 [0108] Metal oxide layer formation, optional metal oxide layer pretreatment, optional seed layer deposition, and GI layer deposition (hereinafter collectively referred to as the MO/GI process) can be performed simply without a vacuum break. The method may be performed within one chamber (eg, chamber 100). In another embodiment, MO/GI steps can be performed without a vacuum break in an integrated system with multiple chambers, and each MO/GI step can be performed in either chamber. Alternatively, any of the MO/GI steps can be performed in any number of chambers, and vacuum breaks can be included between MO/GI steps.

[0109]一例では、金属酸化物層の形成は第1のチャンバで行われ、基板は真空下で第2のチャンバに移送され、第2のチャンバでGI層が堆積される。別の例では、金属酸化物層の形成は第1のチャンバで行われ、基板は第2のチャンバに真空ブレークで移送され、第2のチャンバでGI層が堆積される。 [0109] In one example, the formation of the metal oxide layer is performed in a first chamber, the substrate is transferred under vacuum to a second chamber, and the GI layer is deposited in the second chamber. In another example, the formation of the metal oxide layer is performed in a first chamber, the substrate is transferred with a vacuum break to a second chamber, and the GI layer is deposited in the second chamber.

[0110]上述したように、TFTを形成する方法及び2つのトランジスタ構造を形成する方法が提供される。本方法は、1又は複数の金属酸化物層及び/又はポリシリコン層を堆積させることを含む。GI層は、1又は複数の金属酸化物層の上にHDP-CVDプロセスを用いて堆積される。 [0110] As described above, methods of forming a TFT and methods of forming a two-transistor structure are provided. The method includes depositing one or more metal oxide layers and/or polysilicon layers. The GI layer is deposited over the metal oxide layer or layers using an HDP-CVD process.

[0111]HDP-CVDを用いてGI層を堆積させると、その上に堆積される金属酸化物層及び/又はポリシリコン層の移動度が予想以上に高くなる。GI層を選択的に配置することにより、GI層がHDP-CVDで堆積されるか、あるいはCCPを用いたCVDプロセスで堆積されるかによって、下層の移動度が制御される。GI層を堆積させることにより、層堆積後に下層の移動度を制御することが可能になる、すなわち、堆積中に加えて、堆積後に移動度が向上し得る。 [0111] Depositing the GI layer using HDP-CVD results in unexpectedly high mobilities of the metal oxide and/or polysilicon layers deposited thereon. By selectively placing the GI layer, the mobility of the underlying layer is controlled depending on whether the GI layer is deposited by HDP-CVD or by a CVD process using CCP. Depositing a GI layer makes it possible to control the mobility of the underlying layer after layer deposition, ie, the mobility can be enhanced after deposition as well as during deposition.

[0112]前述の内容は本開示の実施例を対象としているが、以下の特許請求の範囲によって決定されるその基本的な範囲から逸脱することなく、本開示の他のさらなる実施例を考案することが可能である。 [0112] While the foregoing is directed to embodiments of the disclosure, it is contemplated that other and further embodiments of the disclosure may be made without departing from its essential scope as determined by the following claims. Is possible.

Claims (20)

薄膜トランジスタを形成する方法であって、
基板の第1の部分の上に金属酸化物層を形成することと、
前記金属酸化物層の上にゲート絶縁体(GI)層を堆積させることであって、誘導結合プラズマ(ICP)を用いた高密度プラズマ化学気相堆積(HDP-CVD)プロセスでシリコン含有層を堆積させることを含み、前記HDP-CVDプロセスは、
約2.3W/cmから約5.3W/cmのICP電力密度と、
約2MHzから約13.56MHzのICP周波数と
を有する、前記金属酸化物層の上にゲート絶縁体(GI)層を堆積させることと、
前記GI層の上にゲート電極を形成することと、
前記GI層の1又は複数の残留部分をエッチングすることと
を含む方法。
A method of forming a thin film transistor, the method comprising:
forming a metal oxide layer on the first portion of the substrate;
depositing a gate insulator (GI) layer on the metal oxide layer, the silicon-containing layer being deposited by a high-density plasma chemical vapor deposition (HDP-CVD) process using inductively coupled plasma (ICP); The HDP-CVD process includes depositing:
an ICP power density of about 2.3 W/cm 2 to about 5.3 W/cm 2 ;
depositing a gate insulator (GI) layer over the metal oxide layer, having an ICP frequency of about 2 MHz to about 13.56 MHz;
forming a gate electrode on the GI layer;
etching one or more remaining portions of the GI layer.
前記GI層を堆積させる前に、前記金属酸化物層を前処理することであって、前記金属酸化物層を前処理ICPに曝露することを含む、前記GI層を堆積させる前に、前記金属酸化物層を前処理すること
を更に含む、請求項1に記載の方法。
pretreating the metal oxide layer before depositing the GI layer, the method comprising: exposing the metal oxide layer to a pretreatment ICP; The method of claim 1, further comprising pretreating the oxide layer.
前記前処理ICPが、亜酸化窒素(NO)、アルゴン(Ar)、又はそれらの組み合わせから形成される、請求項2に記載の方法。 3. The method of claim 2, wherein the pretreatment ICP is formed from nitrous oxide ( N2O ), argon (Ar), or a combination thereof. 前記GI層の上にバルクGI層を堆積させることであって、容量結合プラズマ(CCP)を用いた化学気相堆積(CVD)プロセスを含む、前記GI層の上にバルクGI層を堆積させること
を更に含む、請求項1に記載の方法。
depositing a bulk GI layer on the GI layer, the method comprising depositing a bulk GI layer on the GI layer, the method comprising: a chemical vapor deposition (CVD) process using capacitively coupled plasma (CCP); 2. The method of claim 1, further comprising:
前記GI層を堆積させる前に、前記金属酸化物層の上にシード層を堆積させることであって、CCPを用いたCVDプロセスを含み、前記シード層は約100nm未満の厚さを有する、前記GI層を堆積させる前に、前記金属酸化物層の上にシード層を堆積させること
を更に含む、請求項1に記載の方法。
depositing a seed layer on the metal oxide layer before depositing the GI layer, the method comprising: a CVD process using CCP, the seed layer having a thickness of less than about 100 nm; 2. The method of claim 1, further comprising depositing a seed layer over the metal oxide layer before depositing a GI layer.
前記GI層を堆積させることは、前記基板を約70℃から約350℃の温度に加熱することを含む、請求項1に記載の方法。 2. The method of claim 1, wherein depositing the GI layer includes heating the substrate to a temperature of about 70<0>C to about 350<0>C. 前記ゲート電極の上に層間誘電体(ILD)層を形成することと、
前記ILD層に、ソース電極、ソース電極ビア、ドレイン電極、及びドレイン電極ビアを形成することと、
前記ソース電極、前記ドレイン電極、及び前記ILD層の上にパッシベーション層を形成することと
を更に含む、請求項1に記載の方法。
forming an interlayer dielectric (ILD) layer on the gate electrode;
forming a source electrode, a source electrode via, a drain electrode, and a drain electrode via in the ILD layer;
2. The method of claim 1, further comprising forming a passivation layer over the source electrode, the drain electrode, and the ILD layer.
前記基板の第2の部分の上に、ポリシリコン層又は追加の金属酸化物層を形成すること
を更に含む、請求項1に記載の方法。
2. The method of claim 1, further comprising forming a polysilicon layer or an additional metal oxide layer over the second portion of the substrate.
薄膜トランジスタデバイスを形成する方法であって、
基板の第1の部分の上に第1の金属酸化物層を形成することであって、前記基板の前記第1の部分は第1の薄膜トランジスタ(TFT)に対応する、基板の第1の部分の上に第1の金属酸化物層を形成することと、
前記基板の前記第1の部分の上の前記第1の金属酸化物層と接触させて前記第1のTFTの界面ゲート絶縁体(GI)層を堆積することと、
前記基板の第2の部分の上に下側層を形成することであって、前記基板の前記第2の部分は第2のTFTに対応し、前記下側層は前記第2のTFTの第2の金属酸化物層の底面に接触し、前記界面GI層及び前記下側層を形成することは、
前記第1の部分及び前記第2の部分の上に第1のシリコン含有層を堆積させることであって、前記第1のシリコン含有層は、誘導結合プラズマ(ICP)を用いた高密度プラズマ化学気相堆積(HDP-CVD)プロセスで堆積され、前記HDP-CVDプロセスは、
約5.3W/cmのICP電力密度と、
約2MHzから約13.56MHzのICP周波数と
を有する、前記第1の部分及び前記第2の部分の上に第1のシリコン含有層を堆積させること
を含む、前記基板の前記第1の部分の上の前記第1の金属酸化物層と接触させて前記第1のTFTの界面ゲート絶縁体(GI)層を堆積させ、前記基板の第2の部分の上に下側層を形成することと、
前記底面を前記下側層と接触させて前記第2のTFTの前記第2の金属酸化物層を形成することと、
前記界面GI層に接触させて前記第1のTFTのバルクGI層を堆積させ、前記第2の金属酸化物層の上面に接触させて前記第2のTFTのGI層を形成することであって、前記バルクGI層及び前記GI層を形成することは、容量結合プラズマ(CCP)を用いた化学堆積プロセス(CVD)で前記第1の部分及び前記第2の部分の上に第2のシリコン含有層を堆積させることを含む、前記界面GI層に接触させて前記第1のTFTのバルクGI層を堆積させ、前記第2の金属酸化物層の上面に接触させて前記第2のTFTのGI層を形成することと、
前記第1の部分の上に前記第1のTFTの第1のゲート電極を形成し、前記第2の部分の上に前記第2のTFTの第2のゲート電極を形成することと、
前記第1のTFTの前記界面GI層、前記第1のTFTの前記バルクGI層、前記第2のTFTの前記GI層、及び前記第2のTFTの前記下側層を形成するために、前記第1の部分及び前記第2の部分から前記第2のシリコン含有層の1又は複数の残留部分を除去することと、
前記基板の上に層間誘電体(ILD)層を形成することと
を含む方法。
A method of forming a thin film transistor device, the method comprising:
forming a first metal oxide layer on a first portion of the substrate, the first portion of the substrate corresponding to a first thin film transistor (TFT); forming a first metal oxide layer over the;
depositing an interfacial gate insulator (GI) layer of the first TFT in contact with the first metal oxide layer on the first portion of the substrate;
forming a lower layer on a second portion of the substrate, wherein the second portion of the substrate corresponds to a second TFT, and the lower layer corresponds to a second portion of the second TFT; forming the interfacial GI layer and the lower layer;
depositing a first silicon-containing layer over the first portion and the second portion, the first silicon-containing layer being deposited using high-density plasma chemistry using inductively coupled plasma (ICP); deposited by a vapor phase deposition (HDP-CVD) process, the HDP-CVD process comprising:
ICP power density of about 5.3 W/cm 2 ;
depositing a first silicon-containing layer over the first portion and the second portion, the first portion having an ICP frequency of about 2 MHz to about 13.56 MHz. depositing an interfacial gate insulator (GI) layer of the first TFT in contact with the first metal oxide layer above to form a lower layer over the second portion of the substrate; ,
forming the second metal oxide layer of the second TFT with the bottom surface in contact with the lower layer;
depositing a bulk GI layer of the first TFT in contact with the interfacial GI layer and forming a GI layer of the second TFT in contact with a top surface of the second metal oxide layer; , forming the bulk GI layer and the GI layer includes depositing a second silicon-containing layer on the first portion and the second portion by a chemical deposition process (CVD) using capacitively coupled plasma (CCP). depositing a bulk GI layer of the first TFT in contact with the interfacial GI layer, and depositing a bulk GI layer of the second TFT in contact with a top surface of the second metal oxide layer. forming a layer;
forming a first gate electrode of the first TFT on the first portion, and forming a second gate electrode of the second TFT on the second portion;
to form the interfacial GI layer of the first TFT, the bulk GI layer of the first TFT, the GI layer of the second TFT, and the lower layer of the second TFT; removing one or more remaining portions of the second silicon-containing layer from the first portion and the second portion;
forming an interlayer dielectric (ILD) layer over the substrate.
前記界面GI層を堆積させることと、前記下側層を形成することとは、同じ工程に含まれる、請求項9に記載の方法。 10. The method of claim 9, wherein depositing the interfacial GI layer and forming the underlayer are included in the same step. 前記第1のゲート電極及び前記第2のゲート電極は、モリブデン(Mo)、クロム(Cr)、銅(Cu)、チタン(Ti)、タンタル(Ta)、タングステン(W)、又はそれらの合金を含む、請求項9に記載の方法。 The first gate electrode and the second gate electrode are made of molybdenum (Mo), chromium (Cr), copper (Cu), titanium (Ti), tantalum (Ta), tungsten (W), or an alloy thereof. 10. The method of claim 9, comprising: 前記バルクGI層は酸化ケイ素(Si)を含み、前記第1の金属酸化物層はIn-Ga-Zn-Oを含む、請求項9に記載の方法。 10. The method of claim 9, wherein the bulk GI layer comprises silicon oxide (SixOy ) and the first metal oxide layer comprises In-Ga-Zn-O. 前記バルクGI層は、前記界面GI層よりも高い原子濃度のインジウム(In)原子を有する、請求項9に記載の方法。 10. The method of claim 9, wherein the bulk GI layer has a higher atomic concentration of indium (In) atoms than the interfacial GI layer. 薄膜トランジスタデバイスを形成する方法であって、
基板の第1の部分の上にポリシリコン層を形成することであって、前記基板の前記第1の部分はポリシリコン薄膜トランジスタ(TFT)に対応する、基板の第1の部分の上にポリシリコン層を形成することと、
前記基板の前記第1の部分の前記ポリシリコン層の上及び第2の部分の上に第1のゲート絶縁体(GI)層を堆積させることであって、前記基板の前記第2の部分は金属酸化物(MOx)TFTに対応する、前記基板の前記第1の部分の前記ポリシリコン層の上及び第2の部分の上に第1のゲート絶縁体(GI)層を堆積させることと、
前記ポリシリコンTFTの前記第1のGI層の上に第1のゲート電極を形成し、前記MOx TFTのシールド金属を形成することと、
前記第1のGI層、前記第1のゲート電極、及び前記シールド金属の上に第1の層間誘電体(ILD)層を形成することと、
前記基板の前記第2の部分の前記第1のILD層の上に前記MOx TFTの金属酸化物層を形成することと、
前記金属酸化物層に第2のGI層を形成することであって、誘導結合プラズマ(ICP)を用いた高密度プラズマ化学気相堆積(HDP-CVD)プロセスでシリコン含有層を堆積させることを含み、前記HDP-CVDプロセスは、
約2.3W/cmから約5.3W/cmのICP電力密度と、
約2MHzから約13.56MHzのICP周波数と
を有する、前記金属酸化物層に第2のGI層を形成することと、
前記第2のGI層の上に第2のゲート電極を形成することと、
前記第1のILD層、前記金属酸化物層、及び前記第2のゲート電極の上に第2のILD層を形成することと
を含む方法。
A method of forming a thin film transistor device, the method comprising:
forming a polysilicon layer on a first portion of the substrate, the first portion of the substrate corresponding to a polysilicon thin film transistor (TFT); forming a layer;
depositing a first gate insulator (GI) layer over the polysilicon layer of the first portion of the substrate and over a second portion of the substrate, the second portion of the substrate comprising: depositing a first gate insulator (GI) layer over the polysilicon layer of the first portion and over a second portion of the substrate, corresponding to a metal oxide (MOx) TFT;
forming a first gate electrode on the first GI layer of the polysilicon TFT and forming a shield metal of the MOx TFT;
forming a first interlayer dielectric (ILD) layer over the first GI layer, the first gate electrode, and the shield metal;
forming a metal oxide layer of the MOx TFT over the first ILD layer of the second portion of the substrate;
forming a second GI layer on the metal oxide layer, the silicon-containing layer being deposited by a high-density plasma chemical vapor deposition (HDP-CVD) process using inductively coupled plasma (ICP); The HDP-CVD process includes:
an ICP power density of about 2.3 W/cm 2 to about 5.3 W/cm 2 ;
forming a second GI layer on the metal oxide layer having an ICP frequency of about 2 MHz to about 13.56 MHz;
forming a second gate electrode on the second GI layer;
forming a second ILD layer over the first ILD layer, the metal oxide layer, and the second gate electrode.
前記シールド金属は、モリブデン(Mo)、クロム(Cr)、銅(Cu)、チタン(Ti)、タンタル(Ta)、タングステン(W)、又はそれらの合金を含む、請求項14に記載の方法。 15. The method of claim 14, wherein the shield metal comprises molybdenum (Mo), chromium (Cr), copper (Cu), titanium (Ti), tantalum (Ta), tungsten (W), or an alloy thereof. 前記第1のILD層を形成することは、HDP-CVDプロセスを含む、請求項14に記載の方法。 15. The method of claim 14, wherein forming the first ILD layer includes an HDP-CVD process. 前記第2のILD層の上にパッシベーション層を形成することを更に含む、請求項14に記載の方法。 15. The method of claim 14, further comprising forming a passivation layer over the second ILD layer. 前記第1のILD層の上に緩衝層を形成することを更に含む、請求項14に記載の方法。 15. The method of claim 14, further comprising forming a buffer layer over the first ILD layer. 前記MOx TFTは、30cm/Vsを上回る移動度を有する、請求項14に記載の方法。 15. The method of claim 14, wherein the MOx TFT has a mobility greater than 30 cm2 /Vs. 前記第1のGI層を堆積させることは、前記HDP-CVDプロセスを含む、請求項14に記載の方法。 15. The method of claim 14, wherein depositing the first GI layer comprises the HDP-CVD process.
JP2023200496A 2019-06-17 2023-11-28 How to form thin film transistors Pending JP2024028772A (en)

Applications Claiming Priority (6)

Application Number Priority Date Filing Date Title
US201962862475P 2019-06-17 2019-06-17
US201962862541P 2019-06-17 2019-06-17
US62/862,475 2019-06-17
US62/862,541 2019-06-17
PCT/US2020/038202 WO2020257324A1 (en) 2019-06-17 2020-06-17 Method of forming thin film transistors
JP2021574256A JP7394887B2 (en) 2019-06-17 2020-06-17 How to form thin film transistors

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
JP2021574256A Division JP7394887B2 (en) 2019-06-17 2020-06-17 How to form thin film transistors

Publications (1)

Publication Number Publication Date
JP2024028772A true JP2024028772A (en) 2024-03-05

Family

ID=74040899

Family Applications (2)

Application Number Title Priority Date Filing Date
JP2021574256A Active JP7394887B2 (en) 2019-06-17 2020-06-17 How to form thin film transistors
JP2023200496A Pending JP2024028772A (en) 2019-06-17 2023-11-28 How to form thin film transistors

Family Applications Before (1)

Application Number Title Priority Date Filing Date
JP2021574256A Active JP7394887B2 (en) 2019-06-17 2020-06-17 How to form thin film transistors

Country Status (4)

Country Link
JP (2) JP7394887B2 (en)
KR (3) KR20230169244A (en)
CN (2) CN114008743A (en)
WO (2) WO2020257324A1 (en)

Family Cites Families (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7122487B2 (en) * 2002-11-14 2006-10-17 Sharp Laboratories Of America, Inc. Method for forming an oxide with improved oxygen bonding
US7087537B2 (en) * 2004-03-15 2006-08-08 Sharp Laboratories Of America, Inc. Method for fabricating oxide thin films
US7381595B2 (en) * 2004-03-15 2008-06-03 Sharp Laboratories Of America, Inc. High-density plasma oxidation for enhanced gate oxide performance
US7271110B2 (en) * 2005-01-05 2007-09-18 Chartered Semiconductor Manufacturing, Ltd. High density plasma and bias RF power process to make stable FSG with less free F and SiN with less H to enhance the FSG/SiN integration reliability
JP4870403B2 (en) * 2005-09-02 2012-02-08 財団法人高知県産業振興センター Thin film transistor manufacturing method
WO2007080672A1 (en) * 2006-01-12 2007-07-19 Sharp Kabushiki Kaisha Semiconductor device and display device
US20070254399A1 (en) * 2006-04-27 2007-11-01 Industrial Technology Research Institute Low temperature direct deposited polycrystalline silicon thin film transistor structure and method for manufacturing the same
KR100922802B1 (en) * 2006-12-29 2009-10-21 엘지디스플레이 주식회사 Thin Film Transistor Array Substrate And Method For Fabricating The Same
TWI474400B (en) * 2010-11-29 2015-02-21 Univ Nat Taiwan Science Tech Methods of forming hydrophobic silicon dioxide layer and forming organic thin film transistor
WO2013108630A1 (en) * 2012-01-18 2013-07-25 出光興産株式会社 Field-effect transistor
JP5454727B1 (en) * 2013-07-10 2014-03-26 日新電機株式会社 Method for manufacturing thin film transistor
JP2015198223A (en) * 2014-04-03 2015-11-09 株式会社ジャパンディスプレイ Display device and method for manufacturing the same
CN104952792B (en) * 2015-07-13 2017-12-29 深圳市华星光电技术有限公司 The preparation method of TFT substrate structure
KR102401579B1 (en) * 2016-02-12 2022-05-24 삼성전자주식회사 Semiconductor devices and method of manufacturing the same
JP6689140B2 (en) * 2016-06-17 2020-04-28 東京エレクトロン株式会社 Film forming method and TFT manufacturing method
CN106158978B (en) * 2016-07-08 2019-05-21 武汉华星光电技术有限公司 Thin film transistor (TFT), array substrate and preparation method thereof

Also Published As

Publication number Publication date
JP7394887B2 (en) 2023-12-08
CN113994458A (en) 2022-01-28
KR20220020379A (en) 2022-02-18
KR102601596B1 (en) 2023-11-10
WO2020257314A1 (en) 2020-12-24
KR102624643B1 (en) 2024-01-11
WO2020257324A1 (en) 2020-12-24
KR20230169244A (en) 2023-12-15
KR20220020380A (en) 2022-02-18
JP2022537958A (en) 2022-08-31
CN114008743A (en) 2022-02-01

Similar Documents

Publication Publication Date Title
TWI514475B (en) Methods for forming a hydrogen free silicon containing dielectric film
US8525170B2 (en) Thin film transistor and manufacturing method thereof
KR20240031281A (en) Semiconductor device
JP6204917B2 (en) Method for depositing silicon-containing layers by argon gas dilution
KR101670425B1 (en) Capping layers for metal oxynitride tfts
US8420462B2 (en) Display device and manufacturing method thereof
US7998801B2 (en) Manufacturing method of thin film transistor having altered semiconductor layer
WO1997006565A1 (en) Process for preparing thin-film transistor, process for preparing active matrix substrate, and liquid crystal display
TW200913080A (en) Method for manufacturing semiconductor device
US20090212287A1 (en) Thin film transistor and method for forming the same
US8828859B2 (en) Method for forming semiconductor film and method for manufacturing semiconductor device
JP5897828B2 (en) Semiconductor device
JP5775322B2 (en) Semiconductor device and manufacturing method thereof
US8053294B2 (en) Manufacturing method of thin film transistor by controlling generation of crystal nuclei of microcrystalline semiconductor film
US10170569B2 (en) Thin film transistor fabrication utlizing an interface layer on a metal electrode layer
JP7394887B2 (en) How to form thin film transistors
US20170092492A1 (en) Methods for forming a silicon containing dielectric film using a gas mixture with ar gas dilusion
JP2002198364A (en) Manufacturing method of semiconductor device
US10748759B2 (en) Methods for improved silicon nitride passivation films
WO2023224792A1 (en) Regeneration anneal of metal oxide thin-film transistors

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20231227

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20231227