JP2023549654A - マスク合成のための確率論を意識したリソグラフィモデル - Google Patents

マスク合成のための確率論を意識したリソグラフィモデル Download PDF

Info

Publication number
JP2023549654A
JP2023549654A JP2023524169A JP2023524169A JP2023549654A JP 2023549654 A JP2023549654 A JP 2023549654A JP 2023524169 A JP2023524169 A JP 2023524169A JP 2023524169 A JP2023524169 A JP 2023524169A JP 2023549654 A JP2023549654 A JP 2023549654A
Authority
JP
Japan
Prior art keywords
model
pattern
mask pattern
features
mask
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2023524169A
Other languages
English (en)
Inventor
ケビン ディーン ルーカス
ユディシュティール プラサド カンデル
ウルリッチ ウェリング
ウルリッチ カール クロスターマン
ザカリー アダム レビンソン
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Synopsys Inc
Original Assignee
Synopsys Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Synopsys Inc filed Critical Synopsys Inc
Publication of JP2023549654A publication Critical patent/JP2023549654A/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • G06F30/398Design verification or optimisation, e.g. using design rule check [DRC], layout versus schematics [LVS] or finite element methods [FEM]
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • G03F7/705Modelling or simulating from physical phenomena up to complete wafer processes or whole workflow in wafer productions
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/36Masks having proximity correction features; Preparation thereof, e.g. optical proximity correction [OPC] design processes
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/68Preparation processes not covered by groups G03F1/20 - G03F1/50
    • G03F1/70Adapting basic layout or design of masks to lithographic process requirements, e.g., second iteration correction of mask patterns for imaging
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70425Imaging strategies, e.g. for increasing throughput or resolution, printing product fields larger than the image field or compensating lithography- or non-lithography errors, e.g. proximity correction, mix-and-match, stitching or double patterning
    • G03F7/70433Layout for increasing efficiency or for compensating imaging errors, e.g. layout of exposure fields for reducing focus errors; Use of mask features for increasing efficiency or for compensating imaging errors
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70425Imaging strategies, e.g. for increasing throughput or resolution, printing product fields larger than the image field or compensating lithography- or non-lithography errors, e.g. proximity correction, mix-and-match, stitching or double patterning
    • G03F7/70433Layout for increasing efficiency or for compensating imaging errors, e.g. layout of exposure fields for reducing focus errors; Use of mask features for increasing efficiency or for compensating imaging errors
    • G03F7/70441Optical proximity correction [OPC]
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70625Dimensions, e.g. line width, critical dimension [CD], profile, sidewall angle or edge roughness

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Computer Hardware Design (AREA)
  • Theoretical Computer Science (AREA)
  • Evolutionary Computation (AREA)
  • Geometry (AREA)
  • General Engineering & Computer Science (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Preparing Plates And Mask In Photomechanical Process (AREA)
  • Design And Manufacture Of Integrated Circuits (AREA)

Abstract

いくつかの態様では、マスクパターンはアクセスされる。マスクパターンは、ウェハ上にパターンをプリントするリソグラフィプロセスに用いるためのものである。マスクパターンは、プリントされたパターンの特徴を予測するためにリソグラフィプロセスの決定論的モデルに入力として適用される。決定論的モデルは、決定論的であるが、それは、プリントされたパターンにおける特徴の局所的な確率論的ばらつきを考慮する。

Description

関連出願
本出願は、米国特許法第119条(e)の下で、2020年11月12日に出願した米国仮特許出願第63/112,733号「Stochastic-Aware Lithographic Models For Mask Synthesis(マスク合成のための確率論を意識したリソグラフィモデル)」、および2021年11月9日に出願した米国特許出願第17/522,574号「Stochastic-Aware Lithographic Models For Mask Synthesis(マスク合成のための確率論を意識したリソグラフィモデル)」の優先権を主張する。前述のもの全ての主題は、参照により全体として本明細書に組み込まれる。
本開示は、極端紫外線(EUV)のためにマスクを含む、リソグラフィモデリングおよびマスク合成に関する。
半導体ウェハの製造中の1ステップは、リソグラフィを含む。典型的なリソグラフィプロセスでは、光源は、リソグラフィマスクを照明するために集光/照明光学系によって集められ方向付けられる光を生成する。投影光学系は、照明されたマスクによってウェハ上へ生成されたパターンを中継し、照明パターンに従ってウェハ上のレジストを露光する。次いで、パターン付けされたレジストは、ウェハ上に構造を製造するためにプロセス中で使用される。
様々な技術が、リソグラフィマスクの設計を含むリソグラフィプロセスを改善するように向けられている。これらの技術の多くでは、リソグラフィマスク設計は、いくつかのプロセスモデルの入力として使用され、そして、これは、いくつかのプロセスの結果を予測する。この結果は、リソグラフィマスクの設計を修正するために使用され得る。多くの場合には、プロセスモデルは、実際の製造実からのデータに対して回帰分析され得る。テストパターンを含む異なるリソグラフィマスクパターンが、リソグラフィプロセスによって実行される。結果として得られる構造は、測定され、プロセスモデルを較正するために使用される。
現在のプロセスモデルは、典型的には、リソグラフィプロセス自体における確率論的ばらつきにより生じ得るばらつきに直接対処しない。むしろ、リソグラフィマスクパターンは、リソグラフィプロセスを完全に決定論的なプロセスとしてモデル化するモデルへの入力として使用される。しかしながら、リソグラフィが、約13.3~13.7nmにおけるより短い波長範囲(例えば、極端紫外線(EUV))、およびより小さいジオメトリ(例えば、約20nm、14nm、およびより小さい最小フィーチャサイズに関して10nm、7nm,およびより小さいテクノロジーノード)へ移るにつれて、比較的小さいエリア内の確率論的ばらつき(局所的な確率論的ばらつき)は、より重要になり、従来の手法は、最適以下のマスク設計という結果になる。
いくつかの態様では、マスクパターンがアクセスされる。マスクパターンは、ウェハ上にパターンをプリントするリソグラフィプロセスに用いるためのものである。マスクパターンは、プリントされたパターンの特徴を予測するためにリソグラフィプロセスの決定論的モデルへ入力として適用される。決定論的モデルは、(例えば、モンテカルロシミュレーションでない)決定論的であるが、それは、プリントされたパターンにおける特徴の局所的な確率論的ばらつきを考慮する。
いくつかの実施形態では、決定論的モデルは、マスクパターンの関数として、およびさらに露光量、フォーカス、および確率論的モデルプロパティの関数としてプリントされたパターンにおけるホットスポットを予測するコンパクトモデルであり、確率論的モデルプロパティは、予測された特徴の局所的な確率論的ばらつきと相関する。確率論的なモデルプロパティの例は、拡散光学像信号(diffused aerial image signal)、酸濃度または酸密度、消光剤濃度または消光剤密度、抑制剤濃度または抑制剤密度、光学像、酸、消光剤、または抑制剤信号の勾配、マスクパターン密度、二次電子濃度、リガンド濃度、およびレジスト表面張力を含み得る。コンパクトモデルは、予測された特徴の局所的な確率論的ばらつきを含む経験的データに対して回帰分析される。経験的データの例は、特定の基準よりも小さいまたはそれよりも大きいCDに関するラインエッジラフネス、限界寸法(CD)のばらつき、最悪ケースのCD、および経験的測定の回数を含み得る。
他の態様は、コンポーネント、デバイス、システム、改善、方法、プロセス、アプリケーション、コンピュータ可読媒体、および上記のもののいずれかに関連した他の技術を含む。
本開示は、以下に与えられる詳細な説明および本開示の実施形態の添付図面からより完全に理解されよう。図面は、本開示の実施形態の知識および理解を与えるために使用され、これらの特定の実施形態に本開示の範囲を限定するものではない。さらに、図面は、必ずしも原寸に比例しない。
本開示の実施形態と共に使用するのに適したEUVリソグラフィプロセスを示す図である。 リソグラフィプロセスのシミュレーションのためのフローチャートを示す図である。 局所的な確率論的ばらつきを考慮するコンパクトモデルを用いたリソグラフィプロセスのシミュレーションのためのフローチャートを示す図である。 局所的な確率論的ばらつきを考慮しないコンパクトモデルに確率論的なモデルプロパティを加えるためのフローチャートを示す図である。 リソグラフィプロセスのシミュレーションを示す図である。 リソグラフィプロセスのシミュレーションを示す図である。 プロセスウィンドウ軸図、および図3のプロセスからシミュレートされた画像を示す図である。 本開示のいくつかの実施形態による集積回路の設計および製造中に使用される様々なプロセスのフローチャートを示す図である。 本開示の実施形態が動作し得る例示的なコンピュータシステムの概要図である。
本開示の態様は、マスク合成のための「確率論を意識した」リソグラフィモデルに関する。特に、より短い波長およびより高い光子エネルギーで、リソグラフィプロセス自体における局所的な確率論的影響は、より重要になる。局所的な確率論的ばらつきは、局所的なレベルでいくらかのランダム性を有するプロセスから生じるばらつきである。例えば、EUV波長でより高い光子エネルギーは、より長い波長と比較して、所与のエネルギー曝露を実現するのにより必要な光子がより少ないことを意味する。光子イベントは、いくらかのランダム性(すなわち、確率分布)を有し、これは、総光子数が少ない場合により顕著になる。結果として、ウェハ上の任意の特定の位置における光子数のばらつきは、光子がより多くなるにつれて以前は無視できたまたは存在しなかった確率論的な欠陥機構という結果になり得る。局所的な確率論的ばらつきを有し得るリソグラフィプロセスの他のコンポーネントは、光子分布、二次電子分布、光酸発生剤(PAG)分布、消光剤分布、および抑制剤分布を含む。これらの確率論的影響は、局所的であり、それらが、比較的小さいエリアにわたって、例えば、プリントされたパターンの10μm×10μm以下のエリア内で、生じ得ることを意味する。
本開示の様々な実施形態では、リソグラフィプロセスの決定論的モデルは、リソグラフィプロセスにおけるこれらの局所的な確率論的ばらつきを考慮する。一手法では、モデルは、マスク合成のために使用され得るコンパクトモデルである。コンパクトモデルは、パラメータ化されたモデルである。パラメータは、モデルプロパティと呼ばれ得る。モデルプロパティの値は、経験的データに対する回帰によって決定され得る。モデルプロパティは、リソグラフィプロセスにおける局所的な確率論的ばらつきと相関する少なくとも1つの確率論的モデルプロパティを含む。あり得る確率論的なモデルプロパティの例には、光子密度、パターン密度、光信号強度、光信号勾配、二次電子密度、二次電子勾配、光酸濃度、レジスト消光剤濃度、レジスト抑制剤濃度、レジスト抑制剤勾配、金属レジストリガンド濃度などが含まれる。モデルは確率論的ばらつきを考慮するが、モデル自体は決定論的である。すなわち、任意の所与の入力について、モデルによって予測される出力は、常に同じであるが(モデルの出力に確率論的ばらつきはない)が、出力は、リソグラフィプロセスにおける確率論的ばらつきを説明するために調整されている。
本開示の利点は、以下のものを含むが、それに限定されない。局所的な確率論的ばらつきによることで、確率論的影響が無視されないので、より正確な予測をもたらす。加えて、決定論的モデルを使用してそれをすることにより、モデルの実行時間を減少させることができる。他の手法では、確率論的ばらつきは、統計的に変動する条件(例えば、モンテカルロシミュレーション)を使用して同じシミュレーションが何度も実行される確率論的なモデルによってモデル化され得る。しかしながら、その手法は、異なる実行からの異なる予測に基づく結果を得るために、多くの実行を必要とするのに対して、決定論的モデルは、単一の実行で予測された結果をもたらす。経験的データに対するパラメータ化されたモデルの回帰は、より正確な予測をやはりもたらす。それは、モデルの形態にいくらかの柔軟性も許可する。モデルの正確さは経験的データに対して較正することによって実現され得るので、このモデルに使用される定数およびパラメータは、精密な精度で計算される必要はなく、行っている物理的プロセスも、精密な精度でモデル化される必要ない。
図1は、本開示の実施形態と共に使用するのに適したEUVリソグラフィプロセスを示す。このシステムでは、光源110は、マスク130を照明するために集光/照明光学系120によって集められ方向付けられるEUV光を生成する。投影光学系140は、照明されたマスクによってウェハ150上へ生成されたパターンを中継し、照明パターンに従ってウェハ上のレジストを露光する。次いで、露光されたレジストは、現像され、ウェハ上にパターン付けされたレジストを生成する。このプリントされたパターンは、例えば、堆積、ドーピング、エッチング、または他のプロセスによって、ウェハ上に構造を製造するために使用される。
図1では、光は、EUV波長範囲内、約13.5nm、または13.3~13.7nmの範囲内である。これらの波長において、コンポーネントは、典型的には、透過性ではなく反射性である。マスク130は、反射マスクであり、光学系120、140も、反射性であり、軸ずれである。これは、ほんの一例である。他の波長において、透過性のマスクおよび/または光学系を使用すること、およびポジレジストまたはネガレジストを使用することを含む他のタイプのリソグラフィシステムを使用することもできる。
図2Aは、リソグラフィプロセスのシミュレーションを示す。照明モデル222は、図1の光源110および照明光学系120をモデル化する。これらは、マスクを照明する光パターン225を予測するために使用される。モデル242は、レジストを露光する照明245を予測するためにリソグラフィマスク130および投影光学系140の影響を考慮する。これは、光学像245と呼ばれる場合もある。レジストモデル252は、化学な現像、エッチング、または他のプロセスによるかに関わらず、光学像からのレジストの露光、二次電子生成、化学反応、および後続の除去などの影響を含むことができる。レジストの除去は、プリントされたパターンとも呼ばれるウェハを覆うパターン付けされたレジスト254の層を残す。次いで、ウェハファブモデル256は、それに続く処理、例えば、エッチング、堆積、ドーピング、注入などをモデル化し、ウェハ上のデバイス構造258という結果になる。
便宜のために、物理的コンポーネントまたはプロセスに対応する別々のボックスが図2Aに示されるが、モデルは、このように実施される必要はない。例えば、これらの効果の全ては、図2Bに示されるようにフルチップ評価(full chip evaluation)のために使用され得る単一のコンパクトモデルに組み合わされてもよい。そのようなモデルは、結果として得られるパターン付けされたレジスト、またはマスクパターン230からの(図2B中のプリントされたパターン234と呼ばれる)均等な光学像を予測する。そのような単一のモデルは、光源、光学系、およびレジストからの影響を含み、本明細書中に記載された実施形態では、リソグラフィプロセスにおいて局所的な確率論的ばらつきも考慮する。コンパクトモデル232は、迅速なやり方でダイ全体に対するマスクパターンを処理するように設計され得る。マイクロプロセッサなどのデバイス上でフルチップ補正を完成するために1018回以上ものシミュレーションが必要であり得る。
コンパクトモデル232は、モデルプロパティとも呼ばれるモデルパラメータの関数としてプリントされたパターン234および/またはプリントされたパターンの特徴を予測するパラメータ化された決定論的モデルであり得る。例えば、コンパクトモデルは、マスクパターン230、露光量、およびリソグラフィシステムのフォーカスの関数として、およびまた、局所的な確率論的ばらつきと相関するあるモデルプロパティの関数として、ホットスポット(プリントされたパターン中の欠陥)、またはパターン中の故障率を予測することができる。これらのモデルプロパティは、確率論的なモデルプロパティと呼ばれる。
コンパクトモデルは、経験的データに対して回帰分析され得る。測定されたデータが、様々なマスクパターンについて集められる。ウェハ上に製造された結果として得られるパターンが測定される。これらは、典型的には、フォトレジストおよび/または各構造の測長用走査電子顕微鏡(Critical Dimension Scanning Electron Microscop,CDSEM)の測定である。原子間力顕微鏡(AFM)などの他の測定も使用され得る。
パターン付けされたレジスト234の品質の尺度の1つは、限界寸法(CD)である。CDは、パターン付けされたレジスト中の重要なフィーチャの寸法、またはパターン付けされたレジスト中のフィーチャ間の重要な間隔の寸法である。典型的には、最小CDは、レジストにプリントされた最小の線幅またはスペース幅である。したがって、それは、レジストおよびリソグラフィプロセスの解像度の尺度である。図2Aおよび図2Bを再び参照すると、これらの図におけるシミュレーション結果254、234は、CDの予測を含み得る。別の共通の出力は、予測された光学像、または光学像の一定の強度の輪郭である。
コンパクトモデルは、マスク合成またはマスク補正のために使用され得る。マスク補正は、光近接効果補正、解像限界以下の補助フィーチャ(sub-resolution assist features)、位相シフトマスク、逆リソグラフィ技法、および他のタイプの解像度向上技術を含む。光近接効果補正(OPC)では、マスクパターンの幾何学的形状は、予測された結果に基づいて乱される。解像限界以下の補助フィーチャでは、サブ波長フィーチャが、有益な回折および散乱を導入するために、マスクパターンに追加される、またはマスクパターンから除去される。位相シフトマスクでは、結果として得られる照明パターンに有益な干渉を導入するために、異なるマスク形状が、異なる量の位相シフトを導入する。
図3は、確率論的なモデルプロパティを局所的な確率論的ばらつきを考慮しないコンパクトモデルに加えるためのフローチャートを示す。このフローは、図4および図5に示された例を用いて説明される。コンパクトモデル310は、ウェハ上のホットスポット(例えば、欠陥)の位置を予測するが、局所的な確率論的ばらつきによる故障率の増加を考慮しない。例えば、この「確率論を意識しない」コンパクトモデル310は、露光量、フォーカス、およびマスクパターンの関数としてパターン付けされたレジストCDを予測することができる。それは、故障率を予測することもでき、またはこれらのパラメータの範囲によって定められるプロセスウィンドウ(PW)についてのホットスポットを識別することもできる。コンパクトモデル310は、経験的データに対して回帰分析されるパラメータ化されたモデルであってもよい。
コンパクトモデル310は、露光量、フォーカス、およびマスクバイアスなどの観察可能なPWマスクまたはモデルにおけるスキャナパラメータを含み得る。これらは、実験的なリソグラフィ露光中に設定された物理的に測定可能な量に対応するように設定される。コンパクトモデル310は、化学的ぼけ(chemical blur)、抑制剤濃度、または機械的ストレス等など、非物理的なモデルパラメータ、またはマスクまたはスキャナ上の観察可能でないモデル中のパラメータを含むこともできる。これらは、リソグラフィ露光中に直接観察可能なやり方で変えることができず、そのパラメータ係数および重みは、異なるレジストまたはエッチングされたパターンについての観察されたウェハ測定値の平均にフィットされる。
図4Aおよび図4Bは、そのようなコンパクトモデル310を用いるリソグラフィプロセスのシミュレーションを示す。図4Aでは、図4Aの右側の楕円形のプロセスウィンドウ図によって示されるとき、直線形状はマスクパターンであり、曲線は、異なる露光量およびフォーカスにおけるウェハ上の結果として得られるプリントされたレジスト形状を示す。この例では、プロセスウィンドウは、+/-50nmの焦点ぼけ、および+/-3%の露光量である。図4Aの曲線は、PW図の点に対応する。
モデル310は、図4Bに示されるような経験的データに対して回帰分析され得る。図4Bは、2つの異なるケースを示す。左のケースは、最適なプロセスウィンドウ条件に関して、理想からフォーカスまたは露光量の変化がない。図4Bの左側は、何ら欠陥を有さないウェハ上の実際のプリントされた構造を示す。右のケースは、プロセスウィンドウのエッジ上の条件についてである。このケースでは、実際のプリントされた構造は、プリントされた線中に破断が存在する欠陥を有する。モデル310によって予測される結果は、測定結果の比較によって改善され得る。
図4のモデル310は、露光量およびフォーカスのばらつきを考慮するが、局所的な確率論的ばらつきを考慮しない。図3に戻ると、この確率論を意識しないモデルは、局所的な確率論的ばらつきを考慮するように修正される320、330、340。ひとまとめに確率論的なモデルプロパティ(SMP)と呼ばれるいくつかのモデル形態またはパラメータが、コンパクトモデルへ加えられる320。局所的な確率論的ばらつきの影響を反映する経験的データが、集められる330。SMPは、局所的な確率論的ばらつきを有する経験的データに対して回帰される340。ウェハ上のある種の統計的局所的なばらつきは、回帰340のために使用される。これは、最悪ケースのCD、CDばらつき評価指標、または欠陥位置のラインエッジラフネスもしくは特徴などであり得る。
確率論を意識しないモデル310は、スキャナ露光量、フォーカス、またはマスク上の特徴CDなどのいくつかの観察可能なプロセスパラメータであるものを定める。そのモデル310は、スキャナ露光量が公称(nominal)よりもわずかに多いもしくは少ないかのように、またはわずかに焦点ぼけがあるかのように、または特徴CDが公称よりもわずかに大きいもしくは小さいかのように、観察可能なプロセスパラメータのばらつきを考慮することができる。確率論を意識しないコンパクトモデル310は、それらのタイプのばらつきを考慮することができる。
図3では、確率論を意識しないモデル310は、局所的に、例えば単一のCDSEM画像のビュー内で生じる確率論的ばらつきを考慮し、修正される。コンパクトモデル310は、これらの局所的な確率論的ばらつきを含むように修正され、それは、これらの追加のSMPを調整することによって修正される。これは、新しい「確率論を意識した」モデル350の形態が決定論的ばらつきのみならず、局所的な確率論的ばらつきも予測するようにモデル形態を調整している。例には、ウェハの局所的なエリア内の線にわたってばらつくCD、または複数の繰り返しパターンにわたってばらつくCDが含まれる。
確率論を意識したモデル350は、二次電子密度、化学的な相互作用の範囲、抑制剤収量(inhibitor yield)、追加の化学的ぼけ、追加の機械的ストレス等など、「確率論を意識した」リソグラフィ的に非物理的なモデルパラメータ、またはマスクまたはスキャナで観察できないモデル中のパラメータを加えることができ、これは、リソグラフィ露光中に変えることができないが、そのパラメータ係数および重みは、複数の異なる個々のレジストまたはエッチングされたパターンごとに、繰り返される局所的なウェハ測定で観察される統計的なばらつきにフィットされる。
例えば、確率論を意識しないモデル310は、ウェハ上のラインエッジの位置を予測することができる。しかしながら、局所的な確率論的ばらつきのため、ラインエッジの位置に対する確率分布関数が実際に存在する。おそらく、分布は、予期される位置およびこの予期される位置からのある標準偏差を有するガウスである。確率論を意識しないモデル310は、予期される位置を予測し得るが、確率分布を無視する。確率論を意識したモデル350は、確率分布があることを考慮に入れる。それは、分布があることを明確に予測できないが、それは、分布の影響を考慮に入れる。例えば、それは、予期される位置から離れるような1つの標準偏差に基づいてラインエッジ位置を予測することができる。
「確率論を意識した」モデル350は、それ自体が確率論的である必要はないことに留意されたい。それは、いくらかのランダム要素を有する予測ではなく、同じ入力に対して同じ予測を常にもたらす決定論的モデルであり得るが、予測は、局所的な確率論的影響を考慮する。この例では、モデル350は、確率分布の標準偏差に基づいてラインエッジ位置を常に予測することによってガウス分布を考慮する。
これらのばらつきは局所的なばらつきであり、ばらつきは、CDSEM画像の視野内などのとても局所的なエリアにわたって生じ、それは、典型的には、一辺当たり0.5から10μmの間の寸法を有することに留意されたい。場合によっては、10×10のパターン配列、または20×20のパターン配列、あるいは1×40の1次元パターン配列にわたって目に見えるばらつきが存在し得る。反例として、露光量および焦点ぼけも変動し得るが、それらは、これらのばらつきはずっとより大きいエリアに影響を与えるので、例えば、一辺当たり1000μm、またはさらにウェハ上の露光場全体に影響を与えるので、局所的なばらつきではない。
換言すれば、「確率論的な」ホットスポットは、伝統的な「確率論を意識しない」ホットスポット検出方法によって予測されるものよりもかなり頻繁に故障するようなウェハ上で観察されるパターンとして定義され得る。確率論的モデルプロパティ(SMP)は、確率論的なホットスポットの故障と相関するまたは確率論的なホットスポットの故障を予測するモデルプロパティである。
図5は、予測される信号強度画像を用いる図3のプロセスを示す。これらの信号強度画像は、光学像であり得る、またはレジストにおける化学特性、例えば光酸濃度であり得る。この例では、左列510は、確率論を意識しないコンパクトモデル310を表し、中央列540は、局所的な確率論的ばらつきのための補正であり、右列550は、結果として得られる確率論を意識したモデル350を表す。下の行は、予測される信号強度画像を示し、上の行の楕円形は、プロセスウィンドウ内で考慮されるパラメータを表す。
510では、コンパクトモデル310は、露光量およびフォーカスのばらつきを伴ってプロセスウィンドウについて発展させるが、局所的な確率論的ばらつきを考慮しない。540では、SMP(上の行における図中の確率論的なparam1)が、局所的な確率論的なプロセスのばらつきを考慮するためにモデルへ加えられる。それらは、補正因子を信号へ加える。結果として得られる信号画像は、550に示される。この例では、
修正された画像信号=元の画像信号+係数*光酸勾配信号 (1)
光酸勾配は、露光後のレジスト内の光酸濃度の勾配であり、係数は、経験的データとの比較に基づいて決定される負または正のパラメータである。他の例は、修正された画像信号を作り出すために元の画像信号とSMPの非線形結合を使用することができる。
この例では、光酸勾配は、SMPである。他のSMPを使用することもできる。例は、
・光子密度または拡散光学像信号
・非線形フィルタ処理された光学像信号
・酸濃度または酸密度
・消光剤濃度または消光剤密度
・抑制剤濃度または抑制剤密度
・二次電子濃度または密度
・リガンド濃度または密度
・光学像の勾配信号
・酸、消光剤、または抑制剤信号の勾配
・光学像、酸、消光剤、または抑制剤信号の勾配のLog
・光学像、酸、消光剤、または抑制剤信号の勾配の平方
・マスクパターン密度
・レジスト表面張力
を含み得る。
図6は、集積回路を表す設計データおよび命令を変換および検証するために集積回路などの製品の設計、検証、および製造中に使用される例示的なプロセスセット600を示す。これらのプロセスの各々は、複数のモジュールまたは動作として構造化され、使用可能にされ得る。『EDA』という用語は、『電子設計自動化』という用語を表す。これらのプロセスは、設計者によって与えられる情報を用いた製品アイデア610の生成で開始し、この情報は、EDAプロセス612のセットを使用する製品を生成するために変換される。設計が完成したとき、設計はテープアウトされ634、それは、集積回路についてのアートワーク(例えば、幾何学的なパターン)がマスクセットを製造するために製造施設へ送られるときであり、次いで、それは、集積回路を製造するために使用される。テープアウト後、半導体ダイは製造された636、また、パケージングプロセスおよび組立プロセス638が実行されて、完成した集積回路640を生産する。
回路または電子構造についての仕様は、低レベルトランジスタ材料レイアウトから高レベル記述言語まで及び得る。高レベルの抽象概念が、VHDL、ヴェリログ(Verilog)、システムヴェリログ、システムC、MyHDL、またはOpenVeraなどのハードウェア記述言語(『HDL』)を用いて回路およびシステムを設計するのに使用され得る。HDL記述は、論理レベルのレジスタ転送レベル(『RTL』)記述、ゲートレベル記述、レイアウトレベル記述、またはマスクレベル記述へ変換され得る。抽象性の低い記述である各低抽象レベルは、より役立つ詳細を設計記述、例えば、記述を含むモジュールについてのより詳細に加える。抽象性の低い記述である低抽象レベルは、コンピュータによって生成され得る、設計ライブラリから引き出され得る、または別の設計自動化プロセスによって生成され得る。より詳細な説明を指定する低抽象レベル言語における仕様言語の一例は、SPICEであり、SPICEは、多くのアナログコンポーネントを有する回路の詳細な説明のために使用される。各抽象レベルにおける説明は、層の対応するツール(例えば、フォーマル検証ツール)によって使用されるために使用可能にされる。設計プロセスは、図6に示されたシーケンスを使用することができる。記述されたプロセスは、EDAプロダクト(またはツール)によって使用可能にされる。
システム設計614中、製造される集積回路の機能性が規定される。設計は、消費電力、性能、エリア(物理的および/またはコードの線)、および費用削減等などの所望の特徴について最適化され得る。設計を異なるタイプのモジュールまたはコンポーネントの分割は、この段階で行われ得る。
論理設計および機能検証616中、回路中のモジュールまたはコンポーネントは、1つまたは複数の記述言語で指定され、仕様は、機能的な正確さについてチェックされる。例えば、回路のコンポーネントは、設計されている回路またはシステムの仕様の要件に適合する出力を生成するために検証され得る。機能的な検証は、テストベンチジェネレータ、スタティックHDLチェッカ、およびフォーマルベリファイアなどのシミュレータおよび他のプログラムを使用することができる。いくつかの実施形態では、『エミュレータ』または『プロトタイピングシステム』と呼ばれるコンポーネントの特別のシステムが使用されて、機能検証を速度アップする。
テストのための合成および設計618中、HDLコードは、ネットリストへ変換される。いくつかの実施形態では、ネットリストは、グラフ構造であってもよく、グラフ構造のエッジは、回路のコンポーネントを表し、グラフ構造のノードは、コンポーネントの相互接続の仕方を表す。HDLコードとネットリストとの両方は、製造時に集積回路が特定の設計に従って実行されることを検証するためにEDA製品によって使用され得る階層的な製品である。ネットリストは、ターゲットの半導体製造技術に最適化され得る。さらに、完成した集積回路は、集積回路が仕様の要件を満足することを検証するためにテストされ得る。
ネットリスト検証620中、ネットリストは、タイミング制約の順守、およびHDLコードとの対応についてチェックされる。設計計画622中、集積回路についての全体的なフロアプランが構築され、タイミングおよびトップレベルルーティングについて解析される。
レイアウトまたは物理的実装624中、物理的配置(トランジスタまたはコンデンサなどの回路コンポーネントの位置決め)およびルーティング(複数の導線による回路コンポーネントの接続)が行われ、特定の論理機能を可能にするためにライブラリからセルを選択することが行われ得る。本明細書中で使用されるとき、『セル』という用語は、トランジスタのセット、他のコンポーネント、およびブール論理機能(例えば、AND、OR、NOT、XOR)、または記憶機能(例えば、フリップフロップまたはラッチ)を与える相互接続を指定することができる。本明細書中で使用されるとき、回路『ブロック』は、2つ以上のセルを指し得る。セルと回路ブロックの両方は、モジュールまたはコンポーネントと呼ばれる場合があり、物理的構造としておよびシミュレーション中でどちらも使用可能にされる。パラメータは、サイズなどの(『標準的なセル』に基づいて)選択されたセルについて指定され、EDA製品による使用のためにデータベースにアクセス可能にされる。
解析および抽出626中、回路機能は、レイアウトレベルで検証され、これによりレイアウト設計の洗練を可能にする。物理的検証628中、レイアウト設計は、DRC制約、電気的制約、リソグラフィの制約などの製造上の制約が正しいとともに、回路機能がHDL設計仕様に適合することを確実にするためにチェックされる。解像度向上630中、レイアウトのジオメトリは、回路設計の製造の仕方を改善するために変換される。
テープアウト中、データは、(必要に応じて、リソグラフィ強化が適用された後に)リソグラフィマスクの生産のために使用されるように生成される。マスクデータ用意632中、『テープアウト』データは、リソグラフィマスクを生産するために使用され、リソグラフィマスクは、完成した集積回路を生産するために使用される。
(図7のコンピュータシステム700などの)コンピュータシステムの記憶サブシステムは、本明細書中に記載されたEDA製品の一部または全部によって使用されるプログラムおよびデータ構造、ならびにライブラリのためのおよびこのライブラリを使用する物理的および論理的な設計のためのセルの発展に使用される製品を記憶するために使用され得る。
図7は、本明細書中で説明される方法論のいずれか1つまたは複数を機械に実行させる命令セットが実行され得るコンピュータシステム700の例示的な機械を示す。代替の実施では、機械は、LAN、イントラネット、エキストラネット、および/またはインターネット内の他の機械に接続され(例えば、ネットワーク接続され)得る。機械は、クライアント-サーバネットワーク環境中のサーバまたはクライアント機械の容量内で、ピアツーピア(または分散された)ネットワーク環境中のピア機械として、またはクラウドコンピューティングインフラまたは環境中のサーバまたはクライアント機械として、動作することができる。
機械は、パーソナルコンピュータ(PC)、タブレットPC、セットアップボックス(STB)、携帯情報端末(PDA)、携帯電話、webアプライアンス、サーバ、ネットワークルータ、スイッチもしくはブリッジ、またはその機械によってとられるアクションを指定する(順次的なまたは他のやり方の)命令セットを実行することができる任意の機械であり得る。さらに、単一の機械が示されているが、「機械」という用語は、本明細書中で説明された方法論の任意の1つまたは複数を実行するために命令の一セット(または複数のセット)を個々にまたは共同で実行する任意の機械の集団を含むことも取られ得るものとする。
例示的なコンピュータシステム700は、処理デバイス702、メインメモリ704(例えば、リードオンリメモリ(ROM)、フラッシュメモリ、シンクロナスDRAM(SDRAM)などのダイナミックランダムアクセスメモリ(DRAM)、スタティックメモリ706(例えば、フラッシュメモリ、スタティックランダムアクセスメモリ(SRAM)など)、およびデータ記憶デバイス718を含み、これらは、バス730を介して互いに通信する。
処理デバイス702は、マイクロプロセッサ、中央処理装置等などの1つまたは複数のプロセッサを表す。より詳細には、処理デバイスは、複合命令セットコンピューティング(CISC)マイクロプロセッサ、縮小命令セットコンピューティング(RISC)マイクロプロセッサ、超長命令語(VLIW)マイクロプロセッサ、または他の命令セットを実施するプロセッサ、もしくは命令セットの組合せを実施するプロセッサであり得る。処理デバイス702は、特定用途向け集積回路(ASIC)、フィールドプログラマブルゲートアレイ(FPGA)、デジタルシグナルプロセッサ(DSP)、ネットワークプロセッサ等などの1つまたは複数の特定目的処理デバイスであることもできる。処理デバイス702は、本明細書中に記載された動作およびステップを実行する命令726を実行するように構成され得る。
コンピュータシステム700は、ネットワーク720を介して通信するネットワークインタフェースデバイス708をさらに含むことができる。コンピュータシステム700は、ビデオディスプレイユニット710(例えば、液晶ディスプレイ(LCD)、またはブラウン管(CRT))、文字数字入力デバイス712(例えば、キーボード)、カーソル制御デバイス714(例えば、マウス)、グラフィックスプロセッシングユニット722、信号生成デバイス716(例えば、スピーカ)、グラフィックスプロセッシングユニット722、ビデオ処理ユニット728、および音声処理ユニット732を含むこともできる。
データ記憶デバイス718は、本明細書中に記載された方法論または機能のうちのいずれか1つまたは複数を具体化する1つまたは複数の命令セット726またはソフトウェアが記憶される機械可読記憶媒体724(非一時的なコンピュータ可読媒体とも呼ばれる)を含むことができる。命令726は、コンピュータシステム700、メインメモリ704、および機械可読記憶媒体をやはり構成する処理デバイス702によるその実行中に、メインメモリ704内におよび/または処理デバイス702内に完全にまたは少なくとも一部存在することもできる。
いくつかの実施では、命令726は、本開示に対応する機能を実施するように命令を含む。機械可読記憶媒体724が、単一の媒体であるように例示的な実施に示されているが、「機械可読記憶媒体」という用語は、1つまたは複数の命令セットを記憶する単一の媒体または複数の媒体(例えば、集中型データベースまたは分散型データベース、および/または関連したキャッシュおよびサーバ)を含むとみなされるべきである。「機械可読記憶媒体」という用語は、機械による実行のために命令セットを記憶またはエンコードすることができ、機械および処理デバイス702に本開示の方法論のうちのいずれか1つまたは複数を実行させる任意の媒体をやはり含むとみなされるべきである。したがって、「機械可読記憶媒体」は、限定するものではないが、ソリッドステートメモリ、光学媒体、および磁気媒体を含むとみなされるべきである。
前述の詳細な説明のいくつかの部分は、コンピュータメモリ内のデータビット上の動作のアルゴリズムおよび記号表現の観点で示されている。これらのアルゴリズムの説明および表現は、その研究の内容を他の当業者に最も効率よく伝えるためにデータ処理の当業者によって使用されるやり方である。アルゴリズムは、所望の結果をもたらす動作のシーケンスであり得る。動作は、物理量の物理的操作を必要とするものである。そのような量は、記憶、合成、比較、および他の方法で操作することができる電気信号または磁気信号の形態をとることができる。そのような信号は、ビット、値、要素、シンボル、文字、用語、数字などとして参照され得る。
しかしながら、これらおよび同様の用語の全ては、適切な物理量に関連しているべきであり、これらの量に適用される単に都合のよいラベルであることに留意されたい。本開示から明らかであるように、別段特に述べられない限り、説明全体にわたって、ある種の用語は、コンピュータシステムのレジスタおよびメモリ内の物理(電子的な)量として表されるデータを、コンピュータシステムのメモリまたはレジスタあるいは他のそのような情報記憶デバイス内の物理量として同様に表される他のデータに操作および変換するコンピュータシステムまたは類似の電子コンピューティングデバイスのアクションおよびプロセスを指すことが理解されよう。
本開示は、本明細書中の動作を実行する装置にも関する。この装置は、意図した目的のために特別に構築されてもよく、またはこの装置は、コンピュータに記憶されたコンピュータプログラムによって選択的に起動または再構成されるコンピュータを含んでもよい。そのようなコンピュータプログラムは、コンピュータ可読記憶媒体に記憶されてもよく、例えば、限定するものではないが、コンピュータシステムバスにそれぞれ接続された、フロッピーディスク、光ディスク、CD-ROM、および光磁気ディスクを含む任意のタイプのディスク、リードオンリメモリ(ROM)、ランダムアクセスメモリ(RAM)、EPROM、EEPROM、磁気もしくは光カード、または電子命令を記憶するのに適した任意のタイプの媒体に記憶されてもよい。
本明細書中に提示されるアルゴリズムおよび表示は、任意の特定のコンピュータまたは他の装置に本質的に関連していない。様々な他のシステムが、本明細書中の教示に従って、プログラムと共に使用されてもよく、またはそれは、この方法を実行するより特殊な装置を構築するのに好都合であることを証明することができる。さらに、本開示は、いずれかの特定のプログラミング言語に関して説明されていない。本明細書中に記載されたような本開示の教示を実施するために、種々のプログラミング言語が使用されてもよいことが理解されよう。
本開示は、本開示によるプロセスを実行するためにコンピュータシステム(または他の電子デバイス)をプログラムするために使用され得る命令をそこに記憶させた機械可読媒体を含み得るコンピュータプログラム製品またはソフトウェアとして提供されてもよい。機械可読媒体は、機械(例えば、コンピュータ)によって読むことができる形態で情報を記憶するための任意のメカニズムを含む。例えば、機械可読(例えば、コンピュータ可読)媒体は、リードオンリメモリ(「ROM」)、ランダムアクセスメモリ(「RAM」)、磁気ディスク記憶媒体、光記憶媒体、フラッシュメモリデバイス等などの機械(例えば、コンピュータ)可読記憶媒体を含む。
前述の開示では、本開示の実施は、本開示の特定の例示的な実施を参照して説明されてきた。様々な修正が、以下の特許請求の範囲に記載された本開示の実施のより幅広い趣旨および範囲から逸脱することなく、そこになされてもよいことは明らかであろう。本開示が単数形で一部の要素を指す場合、2つ以上の要素が図において示され得、同じ要素は、同じ番号で名付けられる。したがって、本開示および図面は、限定的な意味ではなく例示的な意味でみなされるべきである。

Claims (20)

  1. ウェハ上にパターンをプリントするリソグラフィプロセスに用いるためのマスクパターンにアクセスするステップと、
    プロセッサによって、前記プリントされたパターンの特徴を予測するために前記マスクパターンを前記リソグラフィプロセスの決定論的モデルに適用するステップと、
    を含む方法であって、前記適用するステップは、
    前記マスクパターンを前記プリントされたパターンの前記特徴を予測するコンパクトモデルに適用することと、
    前記コンパクトモデルからの前記予測された特徴に補正を適用することと、を含み、前記補正は、前記プリントされたパターンにおける前記特徴の局所的な確率論的ばらつきを考慮する、方法。
  2. 前記コンパクトモデルは、前記特徴の局所的な確率論的ばらつきを考慮しない、請求項1に記載の方法。
  3. 前記コンパクトモデルからの前記予測された特徴に前記補正を適用することは、(a)前記コンパクトモデルからの前記予測された特徴と(b)前記補正との線形結合を含み、前記補正は、前記予測された特徴の前記局所的な確率論的ばらつきと相関する確率論的モデルプロパティの関数である、請求項1に記載の方法。
  4. 前記コンパクトモデルからの前記予測された特徴に前記補正を適用することは、(a)前記コンパクトモデルからの前記予測された特徴と(b)前記補正との非線形結合を含み、前記補正は、前記予測された特徴の前記局所的な確率論的ばらつきと相関する確率論的モデルプロパティの関数である、請求項1に記載の方法。
  5. 前記コンパクトモデルは、露光量、フォーカス、および前記マスクパターンの関数として前記プリントされたパターンの前記特徴を予測する、請求項1に記載の方法。
  6. 前記コンパクトモデルは、第1の経験的データに対して回帰分析され、前記補正は、前記予測された特徴の局所的な確率論的ばらつきを含む第2の経験的データに対して回帰分析される、請求項1に記載の方法。
  7. 命令を記憶するメモリと、
    前記メモリに結合され、前記命令を実行するプロセッサと、を備えるシステムであって、前記命令は、実行時に、
    ウェハ上にパターンをプリントするリソグラフィプロセスに用いるためのマスクパターンにアクセスすることと、
    前記プリントされたパターンの特徴を予測するために前記マスクパターンを前記リソグラフィプロセスの決定論的モデルに適用することと、
    を含む動作を前記プロセッサに実行させ、前記決定論的モデルは、前記プリントされたパターンにおける前記特徴の局所的な確率論的ばらつきを考慮する、システム。
  8. 前記プリントされたパターンの前記予測された特徴は、マスク合成および/またはマスク補正のために使用される、請求項7に記載のシステム。
  9. 前記マスクパターンは、ダイ全体に対するマスクパターンを含む、請求項8に記載のシステム。
  10. 前記局所的な確率論的ばらつきは、前記プリントされたパターンの10μm×10μmのエリア内の確率論的ばらつきを含む、請求項7に記載のシステム。
  11. 前記決定論的モデルは、露光量、フォーカス、前記マスクパターン、および確率論的モデルプロパティの関数として前記プリントされたパターンの前記特徴を予測するコンパクトモデルを含み、前記確率論的モデルプロパティは、前記予測された特徴の局所的な確率論的ばらつきと相関する、請求項7に記載のシステム。
  12. 前記動作は、前記確率論的モデルプロパティの測定を含まない経験的データに対して前記コンパクトモデルを回帰分析することをさらに含む、請求項11に記載のシステム。
  13. 少なくとも1つの前記確率論的モデルプロパティは、拡散光学像信号、酸濃度または酸密度、消光剤濃度または消光剤密度、抑制剤濃度または抑制剤密度、光学像、酸、消光剤、または抑制剤信号の勾配、マスクパターン密度、二次電子濃度、リガンド濃度、およびレジスト表面張力のうちの1つであり、
    前記コンパクトモデルは、前記マスクパターンの関数として前記プリントされたパターンにおけるホットスポットを予測する、
    請求項11に記載のシステム。
  14. 記憶された命令を含む非一時的なコンピュータ可読媒体であって、前記記憶された命令は、プロセッサによって実行されたとき、
    ウェハ上にパターンをプリントするリソグラフィプロセスに用いるためのマスクパターンにアクセスすることと、
    前記プリントされたパターンの特徴を予測するために前記マスクパターンを前記リソグラフィプロセスの決定論的モデルを適用することと、
    を含む動作を前記プロセッサに実行させ、前記決定論的モデルは、前記予測された特徴の局所的な確率論的ばらつきと相関する少なくとも1つの確率論的モデルプロパティを含むモデルプロパティの関数として前記プリントされたパターンの前記特徴を予測する、非一時的なコンピュータ可読媒体。
  15. 前記少なくとも1つの確率論的モデルプロパティは、拡散光学像信号、酸濃度または酸密度、消光剤濃度または消光剤密度、抑制剤濃度または抑制剤密度、光学像、酸、消光剤、または抑制剤信号の勾配、マスクパターン密度、二次電子濃度、リガンド濃度、およびレジスト表面張力のうちの1つである、請求項14に記載の非一時的なコンピュータ可読媒体。
  16. 前記決定論的モデルは、前記予測された特徴の局所的な確率論的ばらつきを含む経験的データに対して回帰分析される、請求項14に記載の非一時的なコンピュータ可読媒体。
  17. 前記経験的データは、ラインエッジラフネス、限界寸法(CD)のばらつき、および最悪ケースのCDのうちの1つを含む、請求項16に記載の非一時的なコンピュータ可読媒体。
  18. 前記決定論的モデルは、前記マスクパターンの関数として前記プリントされたパターンにおけるホットスポットを予測する、請求項14に記載の非一時的なコンピュータ可読媒体。
  19. 前記決定論的モデルは、前記マスクパターンの関数として前記プリントされたパターンにおける故障率を予測する、請求項14に記載の非一時的なコンピュータ可読媒体。
  20. 前記決定論的モデルは、露光量、フォーカス、前記マスクパターン、および前記確率論的モデルプロパティの関数として前記プリントされたパターンの前記特徴を予測するコンパクトモデルを含む、請求項14に記載の非一時的なコンピュータ可読媒体。
JP2023524169A 2020-11-12 2021-11-10 マスク合成のための確率論を意識したリソグラフィモデル Pending JP2023549654A (ja)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US202063112733P 2020-11-12 2020-11-12
US63/112,733 2020-11-12
US17/522,574 2021-11-09
US17/522,574 US11900042B2 (en) 2020-11-12 2021-11-09 Stochastic-aware lithographic models for mask synthesis
PCT/US2021/058834 WO2022103874A1 (en) 2020-11-12 2021-11-10 Stochastic-aware lithographic models for mask synthesis

Publications (1)

Publication Number Publication Date
JP2023549654A true JP2023549654A (ja) 2023-11-29

Family

ID=81453417

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2023524169A Pending JP2023549654A (ja) 2020-11-12 2021-11-10 マスク合成のための確率論を意識したリソグラフィモデル

Country Status (6)

Country Link
US (1) US11900042B2 (ja)
JP (1) JP2023549654A (ja)
KR (1) KR20230098783A (ja)
CN (1) CN116324617A (ja)
TW (1) TW202235999A (ja)
WO (1) WO2022103874A1 (ja)

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11380516B2 (en) 2017-04-13 2022-07-05 Fractilia, Llc System and method for generating and analyzing roughness measurements and their use for process monitoring and control
US10176966B1 (en) 2017-04-13 2019-01-08 Fractilia, Llc Edge detection system
US10522322B2 (en) 2017-04-13 2019-12-31 Fractilia, Llc System and method for generating and analyzing roughness measurements

Family Cites Families (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2015121127A1 (en) 2014-02-11 2015-08-20 Asml Netherlands B.V. Model for calculating a stochastic variation in an arbitrary pattern
US10656532B2 (en) 2017-04-13 2020-05-19 Fractilia, Llc Edge detection system and its use for optical proximity correction
US10120963B1 (en) 2017-05-05 2018-11-06 Globalfoundries Inc. Figurative models calibrated to correct errors in process models
US20220179321A1 (en) * 2019-03-25 2022-06-09 Asml Netherlands B.V. Method for determining pattern in a patterning process
WO2021062040A1 (en) 2019-09-25 2021-04-01 Synopsys, Inc. Lithography improvement based on defect probability distributions and critical dimension variations
EP4055444A1 (en) 2019-11-04 2022-09-14 Synopsys, Inc. Using mask fabrication models in correction of lithographic masks

Also Published As

Publication number Publication date
KR20230098783A (ko) 2023-07-04
WO2022103874A1 (en) 2022-05-19
TW202235999A (zh) 2022-09-16
US11900042B2 (en) 2024-02-13
US20220146945A1 (en) 2022-05-12
CN116324617A (zh) 2023-06-23

Similar Documents

Publication Publication Date Title
US7886262B2 (en) System and method of maximizing integrated circuit manufacturing yield with fabrication process simulation driven layout optimization
US11900042B2 (en) Stochastic-aware lithographic models for mask synthesis
US7194725B1 (en) System and method for design rule creation and selection
TWI767340B (zh) 基於缺陷機率分佈和臨界尺寸變異的微影技術改進
US11126782B2 (en) Applying reticle enhancement technique recipes based on failure modes predicted by an artificial neural network
US20210264091A1 (en) Source mask optimization by process defects prediction
US11468222B2 (en) Stochastic signal prediction in compact modeling
US11556052B2 (en) Using mask fabrication models in correction of lithographic masks
US20220082932A1 (en) Three-dimensional mask simulations based on feature images
JP7443501B2 (ja) 欠陥確率分布および限界寸法変動に基づくリソグラフィ改良
TWI795687B (zh) 用於改良微影光罩之設計之方法、系統及非暫時性電腦可讀媒體
CN114556226A (zh) 基于光刻模型参数预测缺陷率
US20230152683A1 (en) Mask Synthesis Integrating Mask Fabrication Effects and Wafer Lithography Effects
US11657207B2 (en) Wafer sensitivity determination and communication
US20220382144A1 (en) Machine learning for selecting initial source shapes for source mask optimization
US20220392191A1 (en) Large scale computational lithography using machine learning models
US20230104510A1 (en) Mask fabrication effects in three-dimensional mask simulations using feature images