JP2023542898A - プラズマエッチングのためのパッシベーション化学物質 - Google Patents

プラズマエッチングのためのパッシベーション化学物質 Download PDF

Info

Publication number
JP2023542898A
JP2023542898A JP2023517844A JP2023517844A JP2023542898A JP 2023542898 A JP2023542898 A JP 2023542898A JP 2023517844 A JP2023517844 A JP 2023517844A JP 2023517844 A JP2023517844 A JP 2023517844A JP 2023542898 A JP2023542898 A JP 2023542898A
Authority
JP
Japan
Prior art keywords
etching
passivation
substrate
passivation component
plasma
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2023517844A
Other languages
English (en)
Inventor
ハドソン・エリック・エイ.
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of JP2023542898A publication Critical patent/JP2023542898A/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32568Relative arrangement or disposition of electrodes; moving means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32091Radio frequency generated discharge the radio frequency energy being capacitively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32853Hygiene
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32853Hygiene
    • H01J37/32871Means for trapping or directing unwanted particles
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/20Positioning, supporting, modifying or maintaining the physical state of objects being observed or treated
    • H01J2237/2001Maintaining constant desired temperature
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Inorganic Chemistry (AREA)
  • Health & Medical Sciences (AREA)
  • Epidemiology (AREA)
  • Public Health (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

【解決手段】本明細書の様々な実施形態は、基板上の材料に凹型フィーチャをエッチングするための方法及び装置に関する。例えば、方法は、(a)ガス混合物を処理チャンバ内に流すことであって、ガス混合物がエッチング成分とパッシベーション成分とを含み、パッシベーション成分が、特定の元素及び/又は種を含み、かつ/又は特定の条件下で提供される、ことと、(b)処理チャンバ内のガス混合物からプラズマを生成することと、(c)基板をプラズマに曝露し、基板上の材料に凹型フィーチャをエッチングすることとを含んでもよい。多くの場合、基板上でエッチングされている材料は、誘電体材料及び/又は導電性材料を含む。【選択図】図2

Description

参照による援用
PCT出願願書が、本出願の一部として、本明細書と同時に提出されている。同時に提出されたPCT出願願書に特定され、本出願がその利益又は優先権を主張する各出願は、その全体が全ての目的のために、参照により本明細書に組み込まれる。
本開示に記載される実施形態は、基板上に凹型フィーチャをエッチングする間に使用され得る化学物質、並びにそのようなエッチングを行うための方法、装置、及びシステムに関する。
半導体デバイスの寸法が縮小し続けるにつれて、そのようなデバイスの製造はますます困難になっている。半導体製造に一般的に関与するプロセスの1つは、半導体基板上への凹型フィーチャの形成である。多くの場合、フィーチャは、誘電体材料、及び/又は誘電体材料を含むスタックに形成される。
ここで提供される背景技術の説明は、本開示の文脈を大まかに提示することを目的とする。現時点で名前を挙げられている発明者らによる研究は、この背景技術の欄で説明される範囲内において、出願時に先行技術として別途みなされ得ない説明の態様と同様に、明示又は暗示を問わず、本開示に対抗する先行技術として認められない。
本明細書における様々な実施形態は、半導体基板上の材料に凹型フィーチャをエッチングするための方法、装置、及びシステムに関する。本明細書における実施形態の一態様において、処理チャンバ内で基板上の材料に凹型フィーチャをエッチングする方法が提供され、方法は、(a)処理チャンバ内にガス混合物を流すことであって、ガス混合物が、エッチング成分とパッシベーション成分とを含み、(i)パッシベーション成分が、レニウム、鉛、ニッケル、亜鉛、ガリウム、バナジウム、ゲルマニウム、ヒ素、アンチモン、テルル、セレン、及びそれらの組み合わせからなる群より選択される元素を含むこと、(ii)パッシベーション成分が、Sn(CH34及び/又はSnH4を含むこと、(iii)パッシベーション成分が、MoF6及び/又はMoCl22を含むこと、(iv)パッシベーション成分が、WOCl4、ビス(t-ブチルイミド)ビス(ジメチルアミノ)タングステン(VI)(((CH33CN)2W(N(CH322)、メシチレンタングステントリカルボニル(C63(CH33W(CO)3)、WOF4、WO22、及び/又はWO2Cl2を含むこと、及び/又は(v)基板が、エッチング中に基板支持体上に配置され、基板支持体が、エッチング中に約-10℃又はより暖かい温度に維持され、かつパッシベーション成分が、モリブデン、スズ、チタン、タンタル、及びそれらの組み合わせからなる群より選択される元素を含むこと、の1つ又は複数の条件が満たされる、ことと、(b)処理チャンバ内のガス混合物からプラズマを生成することと、(c)基板をプラズマに曝露し、基板上の材料に凹型フィーチャをエッチングすることとを含む。
いくつかの実施形態において、パッシベーション成分は、ガス又は液化ガスとして保管される。他の実施形態において、パッシベーション成分は、液体として保管され、方法は、ガス混合物を処理チャンバ内に流す前にパッシベーション成分を気化させることをさらに含む。様々な場合において、エッチング成分及びパッシベーション成分は、処理チャンバへの送達前に共に混合される。
多くの異なる化学種が、パッシベーション成分に利用可能であり、それらは特定の用途のために所望のように、別々に又は一緒に提供されてもよい。多くの実施形態において、パッシベーション成分は、1つ又は複数の特定の元素及び/又は化学種を含む。元素は、本明細書で言及される1つ又は複数の化学種の形態で、或いは関連する元素を含む他の種で提供されてもよい。例えば、場合によっては、パッシベーション成分は、レニウムを含む。いくつかのそのような場合では、パッシベーション成分は、ReF6を含んでもよい。場合によっては、パッシベーション成分は、鉛を含む。いくつかのそのような場合では、パッシベーション成分は、PbH4及び/又はPb(C254を含む。場合によっては、パッシベーション成分は、ニッケルを含む。いくつかのそのような場合では、パッシベーション成分は、Ni(CO)4を含む。場合によっては、パッシベーション成分は、亜鉛を含む。いくつかのそのような場合では、パッシベーション成分は、Zn(CH32を含む。場合によっては、パッシベーション成分は、ガリウムを含む。いくつかのそのような場合では、パッシベーション成分は、Ga(CH33を含む。場合によっては、パッシベーション成分は、バナジウムを含む。いくつかのそのような場合では、パッシベーション成分は、VF5及び/又はVOCl3を含む。場合によっては、パッシベーション成分は、ゲルマニウムを含む。いくつかのそのような場合では、パッシベーション成分は、GeH4、GeF4、Ge(CH34、及び/又はGeCl4を含む。場合によっては、パッシベーション成分は、ヒ素を含む。いくつかのそのような場合では、パッシベーション成分は、As(CH33、AsH3、及び/又はAsCl3を含む。
場合によっては、パッシベーション成分は、アンチモンを含む。いくつかのそのような場合では、パッシベーション成分は、SbH3、SbCl3、及び/又はSbCl5を含む。場合によっては、パッシベーション成分は、テルルを含む。いくつかのそのような場合では、パッシベーション成分は、H2Teを含む。場合によっては、パッシベーション成分は、セレンを含む。いくつかのそのような場合では、パッシベーション成分は、Se(CH32、SeF6、及び/又はSe2Cl2を含む。場合によっては、パッシベーション成分は、MoF6及び/又はMoCl22を含む。場合によっては、パッシベーション成分は、Sn(CH34及び/又はSnH4を含む。場合によっては、パッシベーション成分は、WOCl4、ビス(t-ブチルイミド)ビス(ジメチルアミノ)タングステン(VI)(((CH33CN)2W(N(CH322)、メシチレンタングステントリカルボニル(C63(CH33W(CO)3)、WOF4、WO22、及び/又はWO2Cl2を含む。
場合によっては、特定の反応条件が制御されてもよい。例えば、場合によっては、基板支持体は、エッチング中に約-10℃又はより暖かい温度に維持され、かつパッシベーション成分は、モリブデン、スズ、チタン、タンタル、及びそれらの組み合わせからなる群より選択される元素を含む。例えば、場合によっては、パッシベーション成分は、スズを含む。いくつかのそのような場合では、パッシベーション成分は、SnH4、SnCl4、及び/又はSn(CH34を含む。場合によっては、パッシベーション成分は、モリブデンを含む。例えば、場合によっては、パッシベーション成分は、MoF6及び/又はMoCl22を含む。場合によっては、パッシベーション成分は、チタンを含む。例えば、場合によっては、パッシベーション成分は、TiCl4を含む。場合によっては、パッシベーション成分は、タンタルを含む。例えば、場合によっては、パッシベーション成分は、TaF5を含む。
様々な実施形態において、基板上の材料は、誘電体材料を含み、かつ(c)は、凹型フィーチャを誘電体材料にエッチングすることを含む。これら及び他の実施形態において、基板上の材料は、導電性材料を含み、かつ(c)は、凹型フィーチャを導電性材料にエッチングすることを含んでもよい。
開示される実施形態のさらなる態様において、基板をエッチングするための装置が提供され、装置は、処理チャンバと、プラズマ発生器と、請求される方法又は別途本明細書に記載される方法のいずれかを引き起こすように構成されたコントローラとを含む。
これら及び他の特徴は、関連する図面を参照して後述される。
図1Aは、マスクとエッチングされている材料の間の選択性が不十分である場合にエッチング中に生じ得る問題を例示する。 図1Bは、マスクとエッチングされている材料の間の選択性が不十分である場合にエッチング中に生じ得る問題を例示する。 図1Cは、マスクとエッチングされている材料の間の選択性が不十分である場合にエッチング中に生じ得る問題を例示する。
図2は、様々な実施形態に係る基板をエッチングする方法を説明するフローチャートである。
図3Aは、様々な実施形態に係るプラズマエッチングのための装置を描く。 図3Bは、様々な実施形態に係るプラズマエッチングのための装置を描く。 図3Cは、様々な実施形態に係るプラズマエッチングのための装置を描く。
以下の説明では、提示された実施形態の徹底的な理解を提供するために、多数の具体的詳細が記載される。開示された実施形態は、これらの具体的詳細の一部又は全てがなくとも、実施され得る。他の例では、周知のプロセス動作は、開示された実施形態を不必要に曖昧にすることのないように、詳細には説明されない。開示された実施形態は、特定の実施形態と関連して説明されるが、開示された実施形態を限定することを意図していないことが理解されよう。
I.高アスペクト比フィーチャをエッチングするための技術
特定の半導体デバイスの製造は、基板上に設けられた1つ又は複数の材料にフィーチャをエッチングすることを含む。材料は、材料の単層、材料のスタック、又は材料のスタック内の1つ又は複数の層であってもよい。場合によっては、スタックは、誘電体材料(例えば、窒化ケイ素と酸化ケイ素)の交互層、又は誘電体材料と第2の材料(例えば、酸化ケイ素とポリシリコン)の交互層を含む。場合によっては、エッチングされる材料は導電性である。エッチングされたフィーチャの一例は、円筒であり、これは高アスペクト比を有する場合がある。エッチングされたフィーチャの別の例は、トレンチであり、これも同様に高アスペクト比を有する場合がある。このようなフィーチャのアスペクト比が増加し続けると、フィーチャを関連する基板材料にエッチングすることがますます困難になる。
基板上に高アスペクト比フィーチャをエッチングするために、基板は、まず、特定の用途にために所望のように調製される。これは、基板上に誘電体材料の1つ又は複数の層を堆積することを含む場合がある。このような誘電体層(複数可)は、フィーチャがエッチングされる層(複数可)を含む。誘電体材料が基板上に堆積された後、マスク層が堆積され、その後基板上にパターニングされる。パターニングされたマスク層は、基板上にフィーチャがエッチングされる場所を画定する役割を果たす。とりわけ、フィーチャは、マスク層が除去された領域にエッチングされる。対照的に、マスクが残っている領域は、エッチング中に保護される。場合によっては、本明細書に記載されるエッチング方法は、マスクがパターニング/エッチングされた後に使用され、それによってマスクの下に位置する材料をエッチングしてもよい。場合によっては、本明細書に記載されるエッチング方法は、マスクオープン動作で使用されて、マスク自体をエッチングしてもよい。
図1A~1Cは、高アスペクト比フィーチャのエッチング中に生じ得る1つの課題を共に例示する。図1Aは、エッチング前の基板100を描いている。基板100は、その上に誘電体材料102とマスク104を有する。誘電体材料102は、酸化ケイ素及び窒化ケイ素の1つ又は複数の層を含んでもよい。特定の例では、誘電体材料102は、酸化ケイ素と窒化ケイ素の交互層を含む。場合によっては、他の層及び/又は構造も存在してよい。マスク104は、非晶質炭素などの灰化可能なハードマスク材料であってもよい。他の種類のマスク材料が、特定の用途のために適宜使用されてもよい。図1Aに示すように、マスク104はパターニングされている。図1Bは、フィーチャ106が誘電体材料102にエッチングされている基板100を例示する。上述したように、フィーチャ106は、マスク104が存在しない領域で形成される。しかしながら、マスク104は、エッチング条件の厳しさにより、エッチング中に実質的に浸食される。そのため、図1Bのマスク104は、図1Aのマスク104よりもかなり薄い。図1Cは、エッチング後の(又は図1Bと比較してエッチングのさらに進んだ)基板100を例示する。ここでは、マスク104は完全に侵食されてなくなっている。この時点で、マスク104が侵食されてなくなった後、誘電体材料102の上部がエッチング条件に曝露されるため、フィーチャ106をこれ以上深くエッチングすることは困難であるか不可能である。このように、さらなるエッチングは、フィーチャ106の底部と誘電体材料102の上部分の両方を侵食し、フィーチャ106がこれ以上深くなることを防ぐ。
図1A~1Cに示された問題は、エッチング選択性に関する。エッチング選択性は、ある材料が他の材料よりも速くエッチングされるという事実に関連している。図1A~1Cの文脈では、誘電体材料102がマスク104と比較して選択的にエッチングされることが望ましい。言い換えれば、誘電体材料102がマスク104よりも速くエッチングされることが望ましい。
特定のエッチングプロセス及び材料のセットに対するエッチング選択性は、数値的に定義することができ、すなわち、(材料Aを通してエッチングされた厚さ)/(材料Bを通してエッチングされた厚さ)である。例えば、2μmの誘電体材料及び0.5μmのマスクをエッチングすることになるエッチングプロセスは、エッチング選択性4(例えば、2μm/0.5μm=4)を有すると理解され、これは、4:1のエッチング選択性としても表されることがある。エッチング選択性が十分に高くない場合、フィーチャが所望の最終深さに達する前に、マスク層が浸食されてなくなる。
高アスペクト比フィーチャのエッチング中に生じる別の問題は、不均一なエッチングプロファイルである。言い換えれば、フィーチャは、まっすぐ下向きに、又は垂直方向にエッチングされない。代わりに、フィーチャの側壁はしばしば弓状になり、エッチングされたフィーチャの中間部分がフィーチャの上部分及び/又は底部分よりも広くなる(すなわち、さらにエッチングされる)。このようにフィーチャの中間部分付近が過剰にエッチングされると、残りの材料の構造的及び/又は電子的完全性が損なわれ得る。外側に弓状に曲がったフィーチャの部分は、全フィーチャ深さの比較的小さな部分、又は比較的大きな部分を占めることもある。外側に弓状に曲がったフィーチャの部分は、フィーチャの限界寸法が最大となる部分である。一般に、フィーチャの最大CDは、フィーチャの他の部分、例えばフィーチャの底部又はその近傍のCDとほぼ同じであることが望ましい。残念ながら、アスペクト比が約5と低い場合でも、弓型形状の形成が見られる。
これら及び他の制限により、従来のエッチング法は、実際には、比較的低いアスペクト比のフィーチャに限定されている。しかし、いくつかの最近の用途では、従来の技術で実現できるものよりも高いアスペクト比を有する円筒又は他の凹型フィーチャが求められる。
より高いアスペクト比のフィーチャを形成するための1つの戦略は、フィーチャ内にライナーを堆積させることである。ライナーは、堆積のみのステップで形成される側壁パッシベーション膜である。堆積のみのステップは、フィーチャが部分的にエッチングされた後に行われてもよく、かつエッチングステップと周期を成してもよい。言い換えれば、ライナーは、フィーチャが活発にエッチングされている間は形成されない。ライナーは、マスクと同様に、後続のエッチングステップ中の過剰なエッチングからフィーチャの側壁を保護する働きをする。残念ながら、ライナーは、各ライナーの底部のすぐ下に不連続なエッチングプロファイルを生成することが多く、多くの場合、凹型フィーチャ内にストリエーション(例えば、縦溝)の形成をもたらす。
より高いアスペクト比のフィーチャを形成するための別の戦略は、フィーチャが活発にエッチングされている間、マスク及び/又はフィーチャの側壁を不動態化することである。単純な形態では、この不動態化は、フルオロカーボン系ポリマー材料で達成することができ、フルオロカーボン系ポリマー材料は、エッチング中にフィーチャの側壁に蓄積されてもよい。フルオロカーボン系ポリマー材料は、基板材料とエッチング化学物質(例えば、CH22、並びに他の同様のフッ素及び炭素含有エッチング液などのフッ素及び炭素含有エッチング化学物質)との間の相互作用の結果として形成され得る。しかしながら、既存のエッチング化学物質から形成されるそのようなフルオロカーボン系ポリマーは、所望の垂直エッチングプロファイル及び他の所望の品質を有する高アスペクト比フィーチャを形成するには不十分であることが証明されている。
ごく最近では、WF6がエッチングプロセスへの添加剤として試験されている。WF6は、フィーチャの側壁及びマスク領域をさらに不動態化して、これらの領域での過剰なエッチングを防止する働きをする。WF6は、エッチング選択性及び弓型形状の形成の低減という点で、ある程度の改善をもたらすが、いくつかの問題も提示する。例えば、WF6の送達は、他の一般的な処理ガスの送達よりも複雑である。WF6の残留水分への曝露、又は水分の残留WF6への曝露は、ガス送達ライン内での酸化フッ化タングステン固形物の望ましからざる堆積を引き起こす可能性がある。これは、ガス送達ラインの目詰まり、触媒効果などの問題を引き起こす可能性がある。WF6の別の問題は、フッ素の量が比較的多く、プラズマ中で非常に効率的に解離して比較的高濃度のフッ素ラジカルを生成することである。フッ素は、ケイ素、ポリマー、酸化物(例えば、酸化ケイ素)、窒化物(例えば、窒化ケイ素)などに対して強いエッチング液であるため、これは、エッチングプロセスにおいて望ましくないトレードオフを生じさせる可能性がある。WF6はまた、実際には達成/制御が困難な非常に低い流量制限を有するため、問題となる可能性がある。さらに、特定のプロセス体制では、WF6は、フィーチャ側壁上への望ましくないほど高いタングステンの蓄積、及び/又はエッチングされたフィーチャ内でのストリエーション(例えば、望ましくない縦溝)の形成をもたらす可能性がある。SF6はまた、フルオロカーボン系のエッチング化学物質の文脈において、フィーチャ側壁及びマスク領域にある程度のさらなる不動態化を提供することが示されている。しかし、SF6は、部分的には、炭素含有化合物との硫黄の高い反応性のために、炭素系マスクを攻撃する傾向がある。
これらの問題は、代替的なパッシベーション化学物質を使用することによって克服され得る。場合によっては、パッシベーション化学物質は、タングステン以外の金属又は半金属(又はセレン)を含んでもよい。場合によっては、パッシベーション化学物質は、タングステンを含み、かつWF6よりも少ないフッ素を含む(例えば、6:1よりも低いF:W比を有する)。場合によっては、パッシベーション化学物質は、タングステンを含み、かつWF6よりも揮発性が低い。
本明細書に記載される新規な化学物質は、高度のエッチング選択性、強く垂直なプロファイル、低度のボーイング、及びエッチングされたフィーチャ間の低度の変動(例えば、高いホール間均一性)などの所望の品質を有する高アスペクト比フィーチャを形成するために使用され得る。例えば、本明細書に記載される化学物質は、エッチングプロセス中にマスク及びフィーチャの側壁を不動態化して、これらの領域における過剰なエッチングを防ぐように動作し得る。本明細書に記載される様々な化学物質は、WF6及びSF6などの他のパッシベーション化学物質と比較して、優れたパッシベーション性能を提供し得る。同様に、本明細書に記載される様々な化学物質は、上述のようなWF6及び/又はSF6パッシベーションに関連する問題を回避し得る。
II.文脈及び応用
本明細書の様々な実施形態では、フィーチャは、表面上に誘電体材料を有する基板(典型的には半導体ウェハ)にエッチングされ、ここでフィーチャは誘電体材料にエッチングされる。ただし、本明細書の実施形態は、主に誘電体エッチングの文脈で提示されているが、開示された技術は、導電性材料のエッチングなどの他のエッチング用途でも使用され得る。一例では、本明細書の技術は、高アスペクト比のマスクオープン動作において使用され得る。エッチングされる材料に関係なく、エッチングプロセスは、一般にプラズマベースのエッチングプロセスである。
フィーチャは、基板表面の凹部である。フィーチャは、限定されないが、円筒、楕円、長方形、正方形、その他の多角形の凹部、トレンチなどを含む多くの異なる形状を有することができる。
アスペクト比とは、あるフィーチャの深さとそのフィーチャの限界寸法(通常は幅又は直径)を比較したものである。例えば、深さ2μm、幅50nmの円筒のアスペクト比は40:1であり、より単純に40と表記されることが多い。フィーチャは、フィーチャの深さにわたって不均一な限界寸法を有し得るため、アスペクト比は、測定場所に応じて変化し得る。例えば、エッチングされた円筒は、時には、上部分及び底部分よりも広い中間部分を有することもある。このより広い中間部は、弓型形状と呼ばれることもある。円筒の上部(すなわち、ネック)での限界寸法に基づいて測定されたアスペクト比は、円筒のより広い中間部/弓型形状での限界寸法に基づいて測定されたアスペクト比より高くなる。本明細書で使用される場合、特に明記しない限り、アスペクト比は、フィーチャの開口部近くの限界寸法に基づいて測定される。
本開示の方法を通じて形成されるフィーチャは、高アスペクト比フィーチャであってもよい。いくつかの応用において、高アスペクト比フィーチャは、少なくとも約5、少なくとも約10、少なくとも約20、少なくとも約30、少なくとも約40、少なくとも約50、少なくとも約60、少なくとも約80、又は少なくとも約100のアスペクト比を有するものである。本開示の方法を通じて形成されるフィーチャの限界寸法は、約200nm以下、例えば、約100nm以下、約50nm以下、又は約20nm以下であってもよい。本明細書に記載された技術は、高アスペクト比フィーチャを形成するために特に有用であるが、そのような技術は、低アスペクト比のフィーチャを形成するためにも使用されてよい。
フィーチャがエッチングされる材料は、様々な場合において誘電体材料であってもよい。材料の例として、限定されないが、酸化ケイ素、窒化ケイ素、炭化ケイ素、炭窒化ケイ素、及びこれらの材料の任意の組み合わせからの積層物が挙げられる。特定の材料例として、SiO2、SiN、SiC、SiCNなどの定比及び不定比配合物が挙げられる。エッチングされている1つ又は複数の材料はまた、他の元素、例えば、様々な場合において水素を含んでもよい。いくつかの実施形態では、エッチングされている窒化物材料及び/又は酸化物材料は、水素を含む組成を有する。本明細書で使用される場合、酸化ケイ素材料、窒化ケイ素材料などは、そのような材料の定比バージョンと不定比バージョンの両方を含み、そのような材料は、上記のように、他の元素を含んでもよいことが理解される。
開示される方法の1つの応用は、DRAMデバイスの形成の文脈におけるものである。この場合、フィーチャは、主に酸化ケイ素にエッチングされてもよい。基板はまた、例えば、1層、2層、又はそれ以上の窒化ケイ素層を含んでもよい。一例では、基板は、2つの窒化ケイ素層の間に挟まれた酸化ケイ素層を含み、酸化ケイ素層の厚さは、約800~1800nmであり、窒化ケイ素層の1つ又は複数は、約20~600nmの厚さである。エッチングされたフィーチャは、約1~3μmの間、例えば約1.5~2μmの最終深さを有する円筒であってもよい。円筒は、約10~50nmの間、例えば約15~30nmの幅を有してもよい。円筒がエッチングされた後、その中にキャパシタメモリセルを形成できる。
開示される方法の別の応用は、垂直NAND(VNAND、3D NANDとも呼ばれる)デバイスの形成の文脈におけるものである。この場合、フィーチャがエッチングされる材料は、反復層構造を有してもよい。例えば、材料は、酸化物(例えば、SiO2)と窒化物(例えば、SiN)の交互層、又は酸化物(例えば、SiO2)とポリシリコンの交互層を含んでもよい。交互層は、材料のペアを形成する。場合によっては、ペアの数は、少なくとも約20、少なくとも約30、少なくとも約40、少なくとも約60、又は少なくとも約70であってもよい。様々な場合において、ペアの数は、約10~60(例えば、約20~120の個別層)であってもよい。現在のデバイス寸法に基づき、酸化物層は、約20~50nmの間、例えば約30~40nmの厚さであってもよい。窒化物層又はポリシリコン層は、約20~50nmの間、例えば約30~40nmの厚さであってもよい。デバイスの寸法が縮小し続けるにつれて、これらの層はより薄くなり、例えば、各層の厚さが10nm未満にさえ達することもある。交互層にエッチングされたフィーチャは、約2~8μmの間、例えば約3~5μmの深さを有してもよい。フィーチャは、約50~450nmの間、例えば約50~100nmの幅を有してもよい。
さらに他の応用では、フィーチャは、3つ以上の反復層を含む構造にエッチングされてもよい。例えば、構造は、酸化物(例えば、SiO2)、窒化物(例えば、SiN)、及びポリシリコンの交互層を含んでもよい。
上述のように、開示された方法の別の可能な応用は、マスクオープンステップを実行するという文脈におけるものであり、これは、高アスペクト比マスクオープンステップであってもよい。この場合、フィーチャがエッチングされる材料は、非晶質炭素、タングステンドープカーボン、タングステン、及び/又はポリシリコンなどのマスク材料である。マスク材料は、誘電体材料ではなく、導電性材料であってもよい。マスク材料にエッチングされたフィーチャは、約0.3~5μmの間の深さ、例えば約0.6~4μmの深さを有してもよい。フィーチャは、約15~450nmの間、例えば約20~80nmの幅を有してもよい。
高さ、アスペクト比、厚さ、幅、及び深さなどの、本明細書で提供される寸法/パラメータの詳細は、例示及び説明のためだけのものである。本明細書に記載された開示に基づき、種々の寸法/パラメータもまた適用可能であり、又は使用され得ることを理解されたい。
III.エッチングプロセス
様々な実施形態において、エッチングプロセスは、(しばしば、シャワーヘッドを介して)化学エッチング剤を反応チャンバに流すことと、特にエッチング剤及び関連するパッシベーション化学物質からプラズマを生成することと、基板をプラズマに曝露することとを含む反応性イオンエッチングプロセスである。プラズマは、エッチング剤化合物(複数可)を中性種とイオン種(例えば、CF、CF2、及びCF3などの帯電物質又は中性物質)とに解離する。プラズマは、多くの場合、容量結合プラズマであるが、他のタイプのプラズマ(例えば、誘導結合プラズマ、マイクロ波プラズマなど)を適宜使用してもよい。プラズマ中のイオンは基板に向けられ、衝撃又はイオン誘起化学反応によって誘電体材料をエッチング除去させる。
エッチングプロセスを行うのに使用され得る装置の例として、カリフォルニア州フリーモントのLam Research Corporationから入手可能な反応性イオンエッチングリアクタのFLEX(商標)及びVANTEX(商標)製品ファミリが挙げられる。適切な装置が、さらに以下で論じられる。
上述したように、エッチング選択性と弓型形状の形成に関する問題は、典型的には、凹型フィーチャをエッチングするときに達成可能な最大アスペクト比を制限する。しかしながら、本発明者らは、フィーチャの側壁及びマスク領域のパッシベーションを強化するためにエッチング中に使用され得る特定の新規な化学物質を特定した。これらの化学物質は、側壁及びマスク領域における過剰なエッチングを防止し、結果として、高品質の垂直エッチングプロファイル及び低いホール間変動を有する高アスペクト比フィーチャを形成し得る。
図2は、本明細書の様々な実施形態に係る高アスペクト比フィーチャをエッチングする方法を説明するフローチャートを例示する。方法は、動作201で始まり、ここで基板が反応チャンバに入れられる。反応チャンバの一例は、図3A~3Cを参照して後述される。基板は、場合によっては、静電チャックなどの基板支持体に搭載されてもよい。方法は動作203で続き、ここで反応混合物がチャンバ内に流される。反応混合物は、各々が1つ又は複数の目的を果たし得る様々な反応物を含んでもよい。反応混合物は、以下でさらに論じられるエッチング化学物質を含む。反応混合物はまた、同じく以下でさらに説明されるパッシベーション化学物質を含む。
次に、動作205において、プラズマがチャンバ内でストライクされる。プラズマは、典型的には容量結合プラズマであるが、他のタイプのプラズマも使用されてよい。基板は、動作205の間、プラズマに曝露されてもよい。動作207において、基板はエッチングされる。基板は、プラズマ中のイオン及び/又はラジカルを介してエッチングされてもよい。プラズマ中に存在するパッシベーション化学物質は、フィーチャの側壁及びマスク領域を不動態化するように動作し、したがって、フィーチャがその最終深さまでエッチングされる際にこれらの領域が過剰にエッチングされるのを防ぐ。次に、動作209において、プラズマが消され、基板がチャンバから取り出される。基板は、反応チャンバから取り出された後に、さらなる処理に供されてもよい。例えば、基板は、アッシングリアクタに搬送されてもよく、ここで、任意の残存するマスク材料が、灰化手順で基板から除去されてもよい。動作211において、反応チャンバは、任意選択で洗浄されてもよい。洗浄は、基板が存在しない間に行われてもよい。洗浄は、例えば、プラズマの形態で提供され得る洗浄化学物質にチャンバ表面を曝露することを含んでもよい。動作213において、処理すべき追加の基板があるかどうかが判定される。そうである場合、方法は、新しい基板上で動作201から繰り返される。そうでない場合、方法は完了する。
図2に示された動作は、必ずしも示された順序で発生するわけではない。いくつかの動作は時間的に重なってもよく、かついくつかの動作は、図に示されたものと比較して早い時間又は遅い時間に発生してもよい。
IV.パッシベーション化学物質
エッチング中に反応チャンバに提供される化学物質は、少なくともエッチング化学物質とパッシベーション化学物質とを含む。エッチング化学物質は、凹型フィーチャが形成されているときに、基板から材料を除去する働きをする。パッシベーション化学物質は、部分的にエッチングされたフィーチャの側壁及びマスク領域を不動態化して、フィーチャがその最終深さに達する前にこれらの領域が過度にエッチングされるのを防ぐ働きをする。様々な実施形態において、パッシベーション化学物質は(単独で、又は処理チャンバに存在する他の種と共に)、フィーチャ側壁及びマスク領域上にパッシベーション層を形成してもよい。パッシベーション層は、フィーチャ深さの一部にわたって、又はフィーチャ深さ全体にわたって形成されてもよい。パッシベーション層は、それが覆う領域を厳しいエッチング条件から保護するように動作してもよく、したがって、マスクを保存し(例えば、それによってエッチング選択性を高める)、かつフィーチャ内の弓型形状の形成を防止する。
様々な実施形態において、パッシベーション化学物質は、アンチモン、ヒ素、ガリウム、ゲルマニウム、ハフニウム、鉛、モリブデン、ニッケル、レニウム、セレン、タンタル、テルル、スズ、チタン、タングステン、バナジウム、及び亜鉛からなる群より選択される少なくとも1つの元素を含む化合物を含む。これらの元素は、金属(例えば、ガリウム、ハフニウム、鉛、モリブデン、ニッケル、レニウム、タンタル、スズ、チタン、タングステン、バナジウム、及び亜鉛)、半金属(例えば、アンチモン、ヒ素、ゲルマニウム、テルル)、及びその他(例えば、セレン)に分類できる。
アンチモン含有パッシベーション化学物質の例として、SbH3、SbCl3、及びSbCl5が挙げられるが、これらに限定されない。
ヒ素含有パッシベーション化学物質の例として、As(CH33、AsH3、AsCl3が挙げられるが、これらに限定されない。
ガリウム含有パッシベーション化学物質の例として、Ga(CH33が挙げられるが、これに限定されない。
ゲルマニウム含有パッシベーション化学物質の例として、GeH4、GeF4、Ge(CH34、及びGeCl4が挙げられるが、これらに限定されない。
鉛含有パッシベーション化学物質の例として、PbH4及びPb(C254が挙げられるが、これらに限定されない。
モリブデン含有パッシベーション化学物質の例として、MoF6及びMoCl22が挙げられるが、これらに限定されない。
ニッケル含有パッシベーション化学物質の例として、Ni(CO)4が挙げられるが、これに限定されない。
レニウム含有パッシベーション化学物質の例として、ReF6が挙げられるが、これに限定されない。
セレン含有パッシベーション化学物質の例として、Se(CH32、SeF6、及びSe2Cl2が挙げられるが、これらに限定されない。
タンタル含有パッシベーション化学物質の例として、TaF5が挙げられるが、これに限定されない。
テルル含有パッシベーション化学物質の例として、H2Teが挙げられるが、これに限定されない。
スズ含有パッシベーション化学物質の例として、SnH4、SnCl4、及びSn(CH34が挙げられるが、これらに限定されない。
チタン含有パッシベーション化学物質の例として、TiCl4が挙げられるが、これに限定されない。
タングステン含有パッシベーション化学物質の例として、WCl6、WBr6、WF5Cl、WOCl4、W(CO)6、ビス(t-ブチルイミド)ビス(ジメチルアミノ)タングステン(VI)(((CH33CN)2W(N(CH322)、メシチレンタングステントリカルボニル(C63(CH33W(CO)3)、WOF4、WO22、及びWO2Cl2が挙げられるが、これらに限定されない。様々な実施形態において、タングステン含有パッシベーション化学物質は、WF6、WCl6、WBr6、WF5Cl、及びW(CO)6以外のタングステン含有種を含む。
バナジウム含有パッシベーション化学物質の例として、VF5及びVOCl3が挙げられるが、これらに限定されない。
亜鉛含有パッシベーション化学物質の例として、Zn(CH32が挙げられるが、これに限定されない。
パッシベーション化学物質に存在する金属、半金属、又はセレンは、フィーチャ側壁及び/又はマスク領域上に形成されるパッシベーション層に組み込まれてもよい。さらに、本明細書に記載されるパッシベーション化学物質は、F、H、及び/又はOなどのエッチング化学物質に存在する他の元素との結合に基づいて、安定した揮発性分子を生成することが期待される。これにより、パッシベーション化学物質(及び他の化学種とのその相互作用)によって生じる残留物は、基板上及び処理チャンバ内で確実に管理できるため、ウェハ欠陥の問題や処理チャンバ条件におけるドリフトを回避できる。
一部のパッシベーション化学物質は比較的揮発性が高く、一部は比較的揮発性が低い。揮発性の低い種は、処理チャンバへの送達前に気化される必要がある場合がある。様々な例において、これらの揮発性の低い種は、約60℃を超える沸点を有する場合がある。比較的揮発性の低いパッシベーション化学物質が使用される多くの場合において、パッシベーション化学物質を処理チャンバに提供するために使用されるガス送達システムは、高温質量流量コントローラ、高温ガスライン及びバルブ、並びに/又は液体気化システム(例えば、バブラー、液体流コントローラ、気化器など)を含むこともある。比較的揮発性の低いパッシベーション化学物質は、例えば、アンチモン、ヒ素、ガリウム、ゲルマニウム、ハフニウム、鉛、モリブデン、ニッケル、レニウム、セレン、タンタル、テルル、スズ、チタン、バナジウム、又は亜鉛を含む様々な化合物を含む。特定の例として、MoCl22、SnCl4、Sn(CH34、SnH4、Pb(C254、TiCl4、TaF5、VOCl3、GeCl4、AsCl3、SbCl3、SbCl5、及びSe2Cl2が挙げられる。
以前は、パッシベーション化学物質は、比較的重い金属/半金属/他の元素を含むべきではないと考えられていた。なぜなら、そのような元素は、フィーチャ内にあまりにも多くの膜を蓄積し、フィーチャを目詰まりさせたり、望ましくないほど狭くしたり、又は他の歪みをもたらし得ると予測されたからである。
V.処理条件
1つ又は複数の処理条件が、エッチング中に制御されてもよい。例えば、反応混合物が制御されてもよい。反応混合物は、少なくともエッチング化学物質とパッシベーション化学物質とを含む。他の目的のために追加の種が提供されてもよい。エッチング化学物質は、CH3F、CH22、CHF3、CF4、C26、C38、C46、C48、C58などの1つ又は複数のフルオロカーボンエッチング液を含んでもよい。反応混合物は、HBr、Cl2、SiCl4、CF3Iなどの1つ又は複数の非フッ素ハロゲン源を含んでもよい。反応混合物は、1つ又は複数の不活性ガス(例えば、Ar、Kr、Heなど)を含んでもよい。反応混合物は、水素(例えば、H2)及び/又は酸素(例えば、O2)を含んでもよい。場合によっては、反応混合物は、N2、NO、NF3、SF6、及び/又はCH4などの1つ又は複数の他の添加剤を含んでもよい。プラズマは反応混合物から形成され、得られた種は、エッチングされる誘電体材料と相互作用する。
様々な実施形態において、反応混合物中の1つ又は複数の種の流量が、制御されてもよい。場合によっては、H2の流量は、約10~400sccmの間、又は約20~400sccmの間であってもよい。これら又は他の場合において、各フルオロカーボンエッチング液の流量は、独立して、約0~200sccmの間、又は約0~100sccmの間であってもよい。全てのフルオロカーボンエッチング液の総流量は、約10~200sccmの間であってもよい。これら又は他の場合において、N2の流量は、約0~250sccmの間であってもよい。これら又は他の場合において、NOの流量は、約0~100sccmの間であってもよい。場合によっては、NF3の流量は、約0~100sccmの間であってもよい。これら又は他の場合において、SF6の流量は、約0~20sccmの間であってもよい。これら又は他の場合において、CH4の流量は、約0~100sccmの間であってもよい。これら又は他の場合において、パッシベーション化学物質の流量は、約0.2~50sccmの間であってもよい。様々な実施形態において、パッシベーション化学物質の流量は、少なくとも約0.2sccm、少なくとも約0.5sccm、少なくとも約1sccm、少なくとも約5sccm、又は少なくとも約10sccmであってもよい。これら又は他の場合において、パッシベーション化学物質の流量は、約50sccm以下、約40sccm以下、約30sccm以下、約20sccm以下、約10sccm以下、約5sccm以下、又は約2sccm以下であってもよい。
本明細書に記載の反応物/添加剤の任意のいずれもが、少なくとも約0.2sccm、又は少なくとも約5sccm、又は少なくとも約10sccmの速度で流されてもよい。本明細書に記載の流量範囲の多くは、最小値0sccmを含むが、これらの種は反応混合物中に存在してもしなくてもよく、存在する場合には、少なくとも約0.2sccm、又は少なくとも約5sccm、又は少なくとも約10sccmの速度で流れてもよいことが理解される。
反応チャンバ内の圧力は、制御されてもよい。様々な実施形態において、圧力は、約5~80mTorrの間、又は約15~40mTorrの間であってもよい。反応チャンバ内で基板を支持するために使用される基板ホルダの温度は、制御されてもよい。そのような基板ホルダの温度は、エッチング中の基板の温度に影響を与えるが、基板の実際の温度は、プラズマ条件などの追加の要因によっても影響される。特定の実施態様では、基板ホルダは、プラズマへの曝露前及び/又は曝露中に低温に冷却されてもよい。この低温は、約0℃又はそれ以下であってもよい。場合によっては、この低温は、約-100℃と低くてもよい。いくつかの実施態様では、基板ホルダは、エッチング中に、少なくとも約-10℃、又は少なくとも約0℃、又は少なくとも約10℃の温度で維持されてもよい。これらの温度は、基板ホルダを冷却するために使用される冷却剤の温度を指す場合がある。これらの最低温度は、本明細書に記載されるパッシベーション化学物質のいずれかと共に使用されてもよい。いくつかの特定の場合では、これらの最低温度は、モリブデン、タンタル、スズ、及びチタンからなる群より選択される元素を有する化合物を含むパッシベーション化学物質と組み合わせて使用されてもよい。
多くの実施形態において、反応チャンバに提供される基板は、図1A~Cに関連して上述したように、誘電体材料とその上にあるマスクとを含む特定の構造を有する。様々な実施形態において、誘電体材料は、上述したDRAM又は3D NAND構造を含んでもよい。様々な実施形態において、マスクは、約100~5000nmの間の厚さを有してもよい。場合によっては、マスクは、少なくとも約100nmの厚さ、又は少なくとも約300nmの厚さ、又は少なくとも約500nmの厚さを有する。これら又は他の場合において、マスクは、約5000nm以下、又は約800nm以下、又は約300nm以下の厚さを有してもよい。このようなマスク厚は、少なくとも約3000nm、又は少なくとも約15000nmの最終深さ、及び/又は少なくとも約20、又は少なくとも約200のアスペクト比を有するフィーチャをエッチングするのに適切であり得る。
プラズマ発生条件は、基板表面で特定の条件を提供するように制御されてもよい。様々な実施形態において、基板における最大イオンエネルギーは、例えば約1~10kVの間など、比較的高くてもよい。最大イオンエネルギーは、電極サイズ、電極配置、及びチャンバの幾何形状の詳細と組み合わせて、適用されるRF電力によって決定される。様々な場合において、プラズマを生成するために2周波RF電力が使用される。したがって、RF電力は、第1の周波数成分(例えば、約400kHz)と第2の周波数成分(例えば、約60MHz)を含み得る。異なる電力が、各周波数成分で提供されてもよい。例えば、第1の周波数成分(例えば、約400kHz)は、約3~100kWの間、又は約3~30kWの間、例えば約5kWの電力で提供されてもよく、第2の周波数成分(例えば、約60MHz)は、異なる電力、例えば約0.5~20kWの間、例えば約4kWの電力で提供されてもよい。これらの電力レベルは、RF電力が単一の300mmウェハに送達されることを想定している。電力レベルは、追加の基板及び/又は他のサイズの基板のために、基板面積に基づいて線形にスケール可能である(それにより、基板に送達される均一な電力密度を維持する)。他の場合では、プラズマを生成するために3周波のRF電力が使用されてもよい。他の場合では、適用されるRF電力は、1~20000Hzの繰り返し率でパルス化されてもよい。RF電力は、2つの非ゼロ値間(例えば、より高い電力状態とより低い電力状態の間)、又はゼロと非ゼロ値の間(例えば、オフ状態とオン状態の間)でパルス化されてもよい。RF電力が2つの非ゼロ値間でパルス化される場合、上述の電力は、より高い電力状態に関連し、より低い電力状態は、約600W以下のRF電力に対応し得る。
タイミングは、異なる実施形態間で変動してもよい。典型的には、より深く、より高いアスペクト比を有するフィーチャは、より浅く、より低いアスペクト比を有するフィーチャに比べて、エッチングに時間がかかる。そのため、より深いフィーチャを有する基板は、より浅いフィーチャを有する基板と比較して、より長い時間プラズマに曝露され得る。様々な実施形態において、基板は、約10~120分の持続時間、プラズマに曝露され得る。同様に、全エッチング深さは、特定の用途に依存する。いくつかの場合(例えば、DRAM)、全エッチング深さは、約1.5~2μmの間であってもよい。他の場合(例えば、VNAND)、全エッチング深さは、少なくとも約3μm、例えば、少なくとも約4μmであってもよい。これら又は他の場合において、全エッチング深さは約15μm以下であってもよい。
VI.装置
本明細書に記載される方法は、任意の適切な装置によって実行されてもよい。様々な実施形態において、適切な装置は、プラズマ処理のために構成された処理チャンバと、本明細書に記載の方法のいずれかを実行するように構成されたコントローラとを含む。上述のように、本明細書に記載のエッチング処理を実行するために使用され得る装置の例として、カリフォルニア州フリーモントのLam Research Corporationから入手可能な反応性イオンエッチングリアクタのFLEX(商標)及びVANTEX(商標)製品ファミリが挙げられる。
図3A~3Cは、本明細書に記載のエッチング動作を実行するために使用され得る、調整可能なギャップ容量結合閉込めRFプラズマリアクタ300の一実施形態を例示する。描かれているように、真空チャンバ302は、下部電極306を収容する内部空間を取り囲むチャンバハウジング304を含む。チャンバ302の上部では、上部電極308が、下部電極306から垂直に間隔を空けて配置されている。上部電極308及び下部電極306の平らな表面は、実質的に平行であり、かつ電極間の垂直方向に対して直交している。好ましくは、上部電極308及び下部電極306は円形であり、かつ垂直軸と同軸である。上部電極308の下面は、下部電極306の上面に面している。間隔を空けて対向する電極表面は、その間に調整可能なギャップ310を画定する。動作中、下部電極306には、RF電源(マッチ)320によってRF電力が供給される。RF電力は、RF供給導管322、RFストラップ324、及びRF電力部材326を介して下部電極306に供給される。接地シールド336は、より均一なRFフィールドを下部電極306に提供するために、RF電力部材326を取り囲んでもよい。その全内容が参照により本明細書に組み込まれる共有の米国特許第7,732,728号に記載されているように、ウェハは、ウェハポート382から挿入されて、処理のために下部電極306のギャップ310内で支持され、プロセスガスがギャップ310に供給されて、RF電力によってプラズマ状態に励起される。上部電極308に電力を供給したり、或いは上部電極308を接地させることもできる。
プラズマリアクタ300に送達される1つ又は複数の種が液体として保管される場合、改質ガス送達システム(図示せず)が使用されてもよい。例えば、改質ガス送達システムは、液相種を気化させるためのハードウェア(例えば、バブラー、気化器など)、並びに反応物の送達を実施するための適切な配管(例えば、高温ガスライン及びバルブ)及び制御機器(例えば、高温質量流量コントローラ及び/又は液体流コントローラ)を含んでもよい。
図3A~3Cに示す実施形態では、下部電極306は、下部電極支持プレート316上で支持される。下部電極306と下部電極支持プレート316の間に介在する絶縁体リング314は、下部電極306を支持プレート316から絶縁する。
RFバイアスハウジング330は、下部電極306をRFバイアスハウジングボウル332上で支持する。ボウル332は、RFバイアスハウジング330のアーム334によって、チャンバ壁プレート318の開口部を介して導管支持プレート338に接続されている。好ましい実施形態では、RFバイアスハウジングボウル332及びRFバイアスハウジングアーム334は、1つのコンポーネントとして一体的に形成されるが、アーム334及びボウル332は、共にボルト止め又は接合された2つの別個のコンポーネントとすることもできる。
RFバイアスハウジングアーム334は、下部電極306の裏側の空間において、真空チャンバ302の外側から真空チャンバ302の内部に、気体冷却剤、液体冷却剤、RFエネルギー、リフトピン制御用ケーブル、電気的な監視及び動作信号などの、RF電力及び設備を通すための1つ又は複数の中空通路を含む。RF供給導管322は、RFバイアスハウジングアーム334から絶縁されており、RFバイアスハウジングアーム334は、RF電源320へのRF電力の戻り経路を提供する。設備導管340は、設備コンポーネントのための通路を提供する。設備コンポーネントのさらなる詳細は、米国特許第5,948,704号及び第7,732,728号に記載されており、説明を簡潔にするためにここでは示さない。ギャップ310は、好ましくは、閉込めリングアセンブリ又はシュラウド(図示せず)によって囲まれ、その詳細は、参照により本明細書に組み込まれる共有の公開された米国特許第7,740,736号に見出すことができる。真空チャンバ302の内部は、真空ポータル380を介した真空ポンプへの接続により、低圧に維持される。
導管支持プレート338は、作動機構342に取り付けられている。作動機構の詳細は、上記により本明細書に組み込まれた共有の米国特許第7,732,728号に記載されている。サーボ機械モータ、ステッピングモータなどの作動機構342は、例えば、ボールねじなどのねじ歯車346と、ボールねじを回転させるためのモータとによって、垂直リニアベアリング344に取り付けられている。ギャップ310の大きさを調整するための動作中、作動機構342は、垂直リニアベアリング344に沿って移動する。図3Aは、作動機構342がリニアベアリング344に対して高い位置にあり、その結果、ギャップ310aが小さくなっている配置を例示する。図3Bは、作動機構342がリニアベアリング344に対して中間位置にあるときの配置を例示する。示されるように、下部電極306、RFバイアスハウジング330、導管支持プレート338、RF電源320は全て、チャンバハウジング304及び上部電極308に対してより低く移動しており、その結果、ギャップ310bは中程度のサイズとなる。
図3Cは、作動機構342がリニアベアリングに対して低い位置にあるときの、大きなギャップ310cを例示する。好ましくは、上部電極308及び下部電極306は、ギャップ調整の間、同軸のままであり、ギャップを横切る上部電極及び下部電極の対向表面は、平行のままである。
この実施形態は、例えば、300mmウェハ又はフラットパネルディスプレイなどの大径基板にわたって均一なエッチングを維持するために、マルチステップのプロセスレシピ(BARC、HARC、及びSTRIPなど)中に、CCPチャンバ302内の下部電極306と上部電極308の間のギャップ310を調整することを可能にする。特に、このチャンバは、下部電極306と上部電極308の間に調整可能なギャップを提供するのに必要な線形運動を可能にする機械的配置に関係する。
図3Aは、近位端で導管支持プレート338に、遠位端でチャンバ壁プレート318の段付きフランジ328にシールされた、横方向にたわんだベローズ350を例示する。段付きフランジの内径は、RFバイアスハウジングアーム334が通過するチャンバ壁プレート318の開口部312を画定する。ベローズ350の遠位端は、クランプリング352によって固定される。
横方向にたわんだベローズ350は、真空シールを提供し、同時にRFバイアスハウジング330、導管支持プレート338、及び作動機構342の垂直移動を可能にする。RFバイアスハウジング330、導管支持プレート338、及び作動機構342は、カンチレバーアセンブリと呼ぶことができる。好ましくは、RF電源320は、カンチレバーアセンブリと共に移動し、導管支持プレート338に取り付け可能である。図3Bは、カンチレバーアセンブリが中間位置にあるときのニュートラル位置にあるベローズ350を示す。図3Cは、カンチレバーアセンブリが低位置にあるときに、横方向にたわんだベローズ350を示す。
ラビリンスシール348は、ベローズ350とプラズマ処理チャンバハウジング304の内部との間に粒子バリアを提供する。固定シールド356は、ラビリンス溝360(スロット)を提供するように、チャンバ壁プレート318においてチャンバハウジング304の内側内壁に不動に取り付けられており、可動シールドプレート358が、カンチレバーアセンブリの垂直移動に対応するためにラビリンス溝360(スロット)内を垂直に移動する。可動シールドプレート358の外側部分は、下部電極306の全ての垂直位置でスロット内に留まる。
示された実施形態では、ラビリンスシール348は、ラビリンス溝360を画定するチャンバ壁プレート318の開口部312の周縁で、チャンバ壁プレート318の内面に取り付けられた固定シールド356を含む。可動シールドプレート358は、RFバイアスハウジングアーム334に取り付けられ、かつそこから半径方向に延びており、アーム334は、チャンバ壁プレート318の開口部312を通過する。可動シールドプレート358は、固定シールド356から第1のギャップだけ離間し、かつチャンバ壁プレート318の内面から第2のギャップだけ離間しながら、ラビリンス溝360内に延び、カンチレバーアセンブリの垂直移動を可能にする。ラビリンスシール348は、ベローズ350から剥離された粒子の真空チャンバ内部305への移動を阻止し、かつプロセスガスプラズマからのラジカルがベローズ350に移動するのを阻止する。ベローズ350において、ラジカルは、その後に剥離される堆積物を形成する可能性がある。
図3Aは、カンチレバーアセンブリが高い位置にあるとき(小さなギャップ310a)に、RFバイアスハウジングアーム334の上方のラビリンス溝360内でより高い位置にある可動シールドプレート358を示す。図3Cは、カンチレバーアセンブリが低い位置にあるとき(大きなギャップ310c)に、RFバイアスハウジングアーム334の上方のラビリンス溝360内でより低い位置にある可動シールドプレート358を示す。図3Bは、カンチレバーアセンブリが中間位置にあるとき(中程度のギャップ310b)の、ラビリンス溝360内でニュートラル又は中間位置にある可動シールドプレート358を示す。ラビリンスシール348は、RFバイアスハウジングアーム334に対して対称であるように示されているが、他の実施形態では、ラビリンスシール348は、RFバイアスアーム334に対して非対称であってもよい。
図3A~3Cに示す装置は、本明細書に記載される方法を実行するように構成されるコントローラを含む。いくつかの実施態様では、コントローラはシステムの一部であり、システムは上述の例の一部であり得る。このようなシステムは、1つ又は複数の処理ツール、1つ又は複数のチャンバ、1つ又は複数の処理用プラットフォーム、及び/又は特定の処理コンポーネント(ウェハ台座、ガス流システムなど)を含む半導体処理装置を含むことができる。これらのシステムは、半導体ウェハ又は基板の処理前、処理中、及び処理後にそれらの動作を制御するための電子機器と統合されてもよい。電子機器は「コントローラ」と呼ばれることもあり、1つ又は複数のシステムの様々なコンポーネント又は子部品を制御してもよい。コントローラは、処理要件及び/又はシステムの種類に応じて、処理ガスの送達、温度設定(例えば、加熱及び/又は冷却)、圧力設定、真空設定、電力設定、高周波(RF)発生器設定、RF整合回路設定、周波数設定、流量設定、液体送達設定、位置及び動作設定、ツールへのウェハの搬入出、並びに、特定のシステムに接続又は連動する他の搬送ツール及び/又はロードロックへのウェハの搬入出を含む、本明細書に開示されるプロセスのいずれかを制御するようにプログラムされてもよい。
大まかに言えば、コントローラは、命令を受信し、命令を発行し、動作を制御し、洗浄動作を可能にし、エンドポイント測定を可能にするなどの、様々な集積回路、論理、メモリ、及び/又はソフトウェアを有する電子機器として定義され得る。集積回路は、プログラム命令を記憶するファームウェアの形態のチップ、デジタル信号プロセッサ(DSPs)、特定用途向け集積回路(ASICs)として定義されるチップ、及び/又はプログラム命令(例えば、ソフトウェア)を実行する1つ又は複数のマイクロプロセッサ若しくはマイクロコントローラを含んでもよい。プログラム命令は、半導体ウェハに対して、半導体ウェハのために、又はシステムに対して、特定のプロセスを実行するための動作パラメータを定義する、様々な個々の設定(又はプログラムファイル)の形態でコントローラに通信される命令であってもよい。動作パラメータは、いくつかの実施形態において、1つ又は複数の層、材料、金属、酸化物、ケイ素、二酸化ケイ素、表面、回路、及び/又はウェハのダイの製造中に1つ又は複数の処理ステップを達成するためにプロセスエンジニアによって定義されるレシピの一部であってもよい。
コントローラは、いくつかの実施態様において、システムに統合された、システムに接続された、そうでなければシステムにネットワーク接続された、又はそれらの組み合わせであるコンピュータの一部であってもよく、又はそのようなコンピュータに接続されていてもよい。例えば、コントローラは、「クラウド」、すなわちファブホストコンピュータシステムの全体又は一部であってもよく、これによりウェハ処理の遠隔アクセスが可能になる。コンピュータは、製造動作の現在の進行状況を監視し、過去の製造動作の履歴を調査し、複数の製造動作から傾向又は性能基準を調査し、現在の処理のパラメータを変更し、処理ステップを設定して現在の処理を追跡し、又は新たなプロセスを開始するために、システムへの遠隔アクセスを可能にしてもよい。いくつかの例では、遠隔コンピュータ(例えば、サーバ)は、ネットワークを介してシステムにプロセスレシピを提供でき、ネットワークはローカルネットワーク又はインターネットを含んでもよい。遠隔コンピュータは、パラメータ及び/又は設定の入力又はプログラミングを可能にするユーザインタフェースを含んでもよく、パラメータ及び/又は設定は次いで遠隔コンピュータからシステムへと伝達される。いくつかの例では、コントローラは、1つ又は複数の動作中に実施される処理ステップのそれぞれのパラメータを指定する、データの形式の命令を受け取る。パラメータは、実施されるプロセスの種類及びコントローラがインタフェース接続する又は制御するように構成されたツールの種類に特有のものであってもよいことを理解されたい。したがって、上述したように、コントローラは、共にネットワーク化され、本明細書に記載のプロセス及び制御などの共通の目的に向けて動作する1つ又は複数の個別のコントローラを含むことなどにより、分散されてもよい。そのような目的のための分散型コントローラの一例は、遠隔地に設置され(プラットフォームレベルで、又は遠隔コンピュータの一部としてなど)、チャンバでのプロセスを協同で制御する1つ又は複数の集積回路と通信するチャンバ上の1つ又は複数の集積回路である。
システムの例は、プラズマエッチングチャンバ又はモジュール、成膜チャンバ又はモジュール、スピンリンスチャンバ又はモジュール、金属メッキチャンバ又はモジュール、洗浄チャンバ又はモジュール、ベベルエッジエッチングチャンバ又はモジュール、物理蒸着(PVD)チャンバ又はモジュール、化学蒸着(CVD)チャンバ又はモジュール、原子層堆積(ALD)チャンバ又はモジュール、原子層エッチング(ALE)チャンバ又はモジュール、イオン注入チャンバ又はモジュール、トラックチャンバ又はモジュール、並びに半導体ウェハの製作及び/又は製造に関連し得る、又は使用し得る、任意の他の半導体処理システムを含んでもよいが、これらに限定されない。
上述のように、ツールによって実行される1つ又は複数のプロセスステップに応じて、コントローラは、他のツール回路又はモジュール、他のツールコンポーネント、クラスタツール、他のツールインタフェース、隣接ツール、近隣ツール、工場全体に配置されたツール、メインコンピュータ、別のコントローラ、又は半導体製造工場内のツール位置及び/又はロードポートへウェハの容器を搬入出する材料搬送に用いられるツールの、1つ又は複数と通信してもよい。
結論
前述の実施形態は、理解を明確にする目的である程度詳細に説明されたが、添付の特許請求の範囲の範囲内で特定の変更及び変形が実施されてもよいことは明らかであろう。本実施形態のプロセス、システム、及び装置を実施する多くの代替的な方法があることに留意されたい。したがって、本実施形態は、例示的なものであって制限的なものではないとみなされ、かつ本実施形態は、本明細書で与えられる詳細に限定されるものではない。

Claims (10)

  1. 処理チャンバ内で基板上の材料に凹型フィーチャをエッチングする方法であって、
    (a)前記処理チャンバ内にガス混合物を流すことであって、
    前記ガス混合物が、エッチング成分とパッシベーション成分とを含み、
    以下の1つ又は複数の条件が満たされる、ことと、
    (i)前記パッシベーション成分が、レニウム、鉛、ニッケル、亜鉛、ガリウム、バナジウム、ゲルマニウム、ヒ素、アンチモン、テルル、セレン、及びそれらの組み合わせからなる群より選択される元素を含むこと、
    (ii)前記パッシベーション成分が、Sn(CH34及び/又はSnH4を含むこと、
    (iii)前記パッシベーション成分が、MoF6及び/又はMoCl22を含むこと、
    (iv)前記パッシベーション成分が、WOCl4、ビス(t-ブチルイミド)ビス(ジメチルアミノ)タングステン(VI)(((CH33CN)2W(N(CH322)、メシチレンタングステントリカルボニル(C63(CH33W(CO)3)、WOF4、WO22、及び/又はWO2Cl2を含むこと、及び/又は
    (v)前記基板が、エッチング中に基板支持体上に配置され、前記基板支持体が、エッチング中に約-10℃又はより暖かい温度に維持され、かつ前記パッシベーション成分が、スズ、モリブデン、チタン、タンタル、及びそれらの組み合わせからなる群より選択される元素を含むこと、
    (b)前記処理チャンバ内の前記ガス混合物からプラズマを生成することと、
    (c)前記基板を前記プラズマに曝露し、前記基板上の前記材料に前記凹型フィーチャをエッチングすることと
    を含む、方法。
  2. 請求項1に記載の方法であって、前記パッシベーション成分が、ガス又は液化ガスとして保管される、方法。
  3. 請求項2に記載の方法であって、前記パッシベーション成分が、液体として保管され、前記方法が、前記ガス混合物を前記処理チャンバ内に流す前に前記パッシベーション成分を気化させることをさらに含む、方法。
  4. 請求項1に記載の方法であって、前記エッチング成分及び前記パッシベーション成分が、前記処理チャンバへの送達前に共に混合される、方法。
  5. 請求項1に記載の方法であって、前記パッシベーション成分が、レニウム、鉛、ニッケル、亜鉛、ガリウム、バナジウム、ゲルマニウム、ヒ素、アンチモン、テルル、及びセレンからなる群より選択される材料を含む、方法。
  6. 請求項1に記載の方法であって、前記パッシベーション成分が、Sn(CH34、SnH4、MoF6、MoCl22、WOCl4、ビス(t-ブチルイミド)ビス(ジメチルアミノ)タングステン(VI)(((CH33CN)2W(N(CH322)、メシチレンタングステントリカルボニル(C63(CH33W(CO)3)、WOF4、WO22、及び/又はWO2Cl2を含む、方法。
  7. 請求項1に記載の方法であって、前記基板支持体が、エッチング中に約-10℃又はより暖かい温度に維持され、かつ前記パッシベーション成分が、スズ、モリブデン、チタン、タンタル、及びそれらの組み合わせからなる群より選択される元素を含む、方法。
  8. 請求項1から7のいずれかに記載の方法であって、前記基板上の前記材料が、誘電体材料を含み、かつ(c)が、前記凹型フィーチャを前記誘電体材料にエッチングすることを含む、方法。
  9. 請求項1から7のいずれかに記載の方法であって、前記基板上の前記材料が、導電性材料を含み、かつ(c)が、前記凹型フィーチャを前記導電性材料にエッチングすることを含む、方法。
  10. 基板をエッチングするための装置であって、
    処理チャンバと、
    プラズマ発生器と、
    特許請求の範囲に記載される方法又は本願明細書に記載される方法のいずれかを引き起こすように構成されたコントローラと
    を含む、装置。
JP2023517844A 2020-09-18 2021-09-17 プラズマエッチングのためのパッシベーション化学物質 Pending JP2023542898A (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US202062706923P 2020-09-18 2020-09-18
US62/706,923 2020-09-18
PCT/US2021/050985 WO2022072160A2 (en) 2020-09-18 2021-09-17 Passivation chemistry for plasma etching

Publications (1)

Publication Number Publication Date
JP2023542898A true JP2023542898A (ja) 2023-10-12

Family

ID=80951945

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2023517844A Pending JP2023542898A (ja) 2020-09-18 2021-09-17 プラズマエッチングのためのパッシベーション化学物質

Country Status (4)

Country Link
US (1) US20230335378A1 (ja)
JP (1) JP2023542898A (ja)
KR (1) KR20230069877A (ja)
WO (1) WO2022072160A2 (ja)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN117810077A (zh) * 2022-09-29 2024-04-02 中微半导体设备(上海)股份有限公司 一种基片的刻蚀方法及其半导体器件
KR20240059375A (ko) * 2022-10-27 2024-05-07 피에스케이 주식회사 기판 처리 방법

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6071822A (en) * 1998-06-08 2000-06-06 Plasma-Therm, Inc. Etching process for producing substantially undercut free silicon on insulator structures
WO2016172740A2 (en) * 2015-11-10 2016-10-27 L'air Liquide, Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Etching reactants and plasma-free oxide etching processes using the same
WO2018106955A1 (en) * 2016-12-09 2018-06-14 Asm Ip Holding B.V. Thermal atomic layer etching processes
KR102560240B1 (ko) * 2018-05-01 2023-07-28 어플라이드 머티어리얼스, 인코포레이티드 선택적 식각 프로세스들을 위해 선택성을 증가시키는 방법들
US10840082B2 (en) * 2018-08-09 2020-11-17 Lam Research Corporation Method to clean SnO2 film from chamber

Also Published As

Publication number Publication date
US20230335378A1 (en) 2023-10-19
WO2022072160A3 (en) 2022-07-21
KR20230069877A (ko) 2023-05-19
WO2022072160A2 (en) 2022-04-07

Similar Documents

Publication Publication Date Title
US10096487B2 (en) Atomic layer etching of tungsten and other metals
KR102653066B1 (ko) 반도체 제조시 금속 도핑된 탄소계 하드마스크 제거
US10784086B2 (en) Cobalt etch back
US11742212B2 (en) Directional deposition in etch chamber
US11670516B2 (en) Metal-containing passivation for high aspect ratio etch
US11270890B2 (en) Etching carbon layer using doped carbon as a hard mask
US20100144140A1 (en) Methods for depositing tungsten films having low resistivity for gapfill applications
WO2016153987A1 (en) Methods for etching via atomic layer deposition (ald) cycles
JP2023542898A (ja) プラズマエッチングのためのパッシベーション化学物質
US20220282366A1 (en) High density, modulus, and hardness amorphous carbon films at low pressure
JP2023513771A (ja) 無限選択性を有する高アスペクト比エッチング
WO2020163200A1 (en) Methods and apparatus for filling a feature disposed in a substrate
US20230298896A1 (en) Metal-based liner protection for high aspect ratio plasma etch
JP2023523677A (ja) 高アスペクト比の3d nandエッチングのための側壁のノッチ低減
US20230260798A1 (en) Chemistry for high aspect ratio etch for 3d-nand
JP7507146B2 (ja) 高アスペクト比エッチングのための金属含有パシベーション
WO2023008025A1 (ja) エッチング方法、半導体装置の製造方法、エッチングプログラムおよびプラズマ処理装置
KR20240091325A (ko) 고 종횡비 플라즈마 에칭에서 금속 함유 표면들의 개질
WO2023081703A1 (en) Modification of metal-containing surfaces in high aspect ratio plasma etching
WO2024006088A1 (en) Integrated high aspect ratio etching