JP2023518201A - 基板処理システムにおいてプラズマを生成するために電力を供給する直接駆動システム用のrf基準測定回路 - Google Patents

基板処理システムにおいてプラズマを生成するために電力を供給する直接駆動システム用のrf基準測定回路 Download PDF

Info

Publication number
JP2023518201A
JP2023518201A JP2022555058A JP2022555058A JP2023518201A JP 2023518201 A JP2023518201 A JP 2023518201A JP 2022555058 A JP2022555058 A JP 2022555058A JP 2022555058 A JP2022555058 A JP 2022555058A JP 2023518201 A JP2023518201 A JP 2023518201A
Authority
JP
Japan
Prior art keywords
substrate processing
processing system
conductor
circuit
connector
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2022555058A
Other languages
English (en)
Other versions
JPWO2021188857A5 (ja
Inventor
ロング・マオリン
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of JP2023518201A publication Critical patent/JP2023518201A/ja
Publication of JPWO2021188857A5 publication Critical patent/JPWO2021188857A5/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge
    • H01J37/32183Matching circuits
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32935Monitoring and controlling tubes by information coming from the object and/or discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H03ELECTRONIC CIRCUITRY
    • H03HIMPEDANCE NETWORKS, e.g. RESONANT CIRCUITS; RESONATORS
    • H03H1/00Constructional details of impedance networks whose electrical mode of operation is not specified or applicable to more than one type of network
    • HELECTRICITY
    • H03ELECTRONIC CIRCUITRY
    • H03HIMPEDANCE NETWORKS, e.g. RESONANT CIRCUITS; RESONATORS
    • H03H7/00Multiple-port networks comprising only passive electrical elements as network components
    • H03H7/38Impedance-matching networks
    • HELECTRICITY
    • H03ELECTRONIC CIRCUITRY
    • H03HIMPEDANCE NETWORKS, e.g. RESONANT CIRCUITS; RESONATORS
    • H03H7/00Multiple-port networks comprising only passive electrical elements as network components
    • H03H7/38Impedance-matching networks
    • H03H7/40Automatic matching of load impedance to source impedance
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Plasma Technology (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

【解決手段】基板処理システムは、駆動回路と、RF基準測定回路と、メイクブレイクコネクタとを含む。駆動回路は、第1のRF周波数でRF駆動信号を生成する。RF基準測定回路は、入力インピーダンスと出力インピーダンスとを有するLC回路を含む。LC回路の出力は、RF電力計およびダミー負荷に接続される。メイクブレイクコネクタは、駆動回路をRF基準測定回路および基板処理システムの構成要素を含む処理チャンバ負荷のうちの1つに接続する。駆動回路の出力インピーダンスは,LC回路の入力インピーダンスのインピーダンスに整合する。駆動回路の出力インピーダンスは、RF電力計およびダミー負荷のインピーダンスに整合しない。LC回路は、駆動回路のインピーダンスをRF電力計およびダミー負荷に整合させる。【選択図】図3A

Description

関連出願の相互参照
本願は、2020年3月19日付で出願した、米国仮特許出願第62/991,960号の優先権の利益を主張する。上記関連出願は、参照によりその全体の開示が本明細書に組み込まれる。
本開示は、基板処理システムに関し、より具体的には、基板処理システムにRF電力を供給する直接駆動システムによって生成されるRF基準を測定するための無線周波数(RF)基準測定回路に関する。
本明細書で提供される背景技術の説明は、本開示の内容を概ね提示することを目的とする。ここに名前を挙げられている発明者らによる研究は、この背景技術の欄で説明される範囲内において、出願時に先行技術として見なされ得ない説明の態様と同様に、明示的にも黙示的にも本開示に対抗する先行技術として認められない。
基板処理システムは一般に、半導体ウエハなどの基板上の薄膜をエッチングするために使用される。エッチングは通常、湿式化学エッチングまたは乾式エッチングのいずれかを含む。乾式エッチングは、誘導結合プラズマ(ICP)によって生成されたプラズマを使用して行われる場合がある。処理チャンバの外側に誘電体窓に隣接して配置された1つまたは複数の誘導コイルによって磁場が発生する。処理チャンバ内を流れるプロセスガスは、磁場によって点火され、プラズマが生成される。また、いくつかの用途では、基板支持体内の電極にRFバイアス電力が供給される場合がある。
RFプラズマ電力またはRFバイアス電力の周波数は、追加のプロセス制御を提供するために変化させることができる。さらに、RFプラズマ電力またはRFバイアス電力の大きさもしくはレベルは、追加のプロセス制御を提供するために処理中に変化させることができる。RFプラズマ電力もしくはレベルの変化および/またはRFバイアス電力もしくはレベルの変化により、駆動回路によって見られるインピーダンスの変化が生じる可能性がある。負荷と駆動回路との間にインピーダンス不整合が生じると、電力が反射され、非効率である。
基板処理システムは、駆動回路と、RF基準測定回路と、メイクブレイクコネクタとを備える。駆動回路は、第1のRF周波数でRF駆動信号を生成するように構成される。RF基準測定回路は、入力インピーダンスと出力インピーダンスとを有するLC回路を含む。LC回路の出力は、RF電力計とダミー負荷とに接続されるように構成される。メイクブレイクコネクタは、駆動回路をRF基準測定回路および基板処理システムの構成要素を含む処理チャンバ負荷のうちの一方に接続するように構成される。駆動回路の出力インピーダンスは、LC回路の入力インピーダンスのインピーダンスに整合する。駆動回路の出力インピーダンスは、RF電力計およびダミー負荷のインピーダンスに整合しない。LC回路は、駆動回路のインピーダンスをRF電力計およびダミー負荷に整合させるように構成される。
他の特徴では、RF基準測定回路は、LC回路の出力に接続された第1の導体を含み、RF電力計は、第1の導体に接続される。
他の特徴では、RF基準測定回路は、RF電力計の出力に接続された第2の導体を含み、ダミー負荷は、第2の導体に接続される。
他の特徴では、駆動回路の出力インピーダンスおよびLC回路の入力インピーダンスは、0.1Ωから10Ωの範囲にある。
他の特徴では、駆動回路の出力インピーダンスおよびLC回路の入力インピーダンスは、0.5Ωから2Ωの範囲にある。
他の特徴では、LC回路の出力インピーダンスとRF電力計、ダミー負荷、第1の導体、および第2の導体のインピーダンスは、20Ωから100Ωの範囲にある。
他の特徴では、LC回路の出力インピーダンスとRF電力計、ダミー負荷、第1の導体、および第2の導体のインピーダンスは、45Ωから55Ωの範囲にある。
他の特徴では、LC回路は、メイクブレイクコネクタに接続された第1のコネクタと、第1のコネクタに一端が接続されたインダクタと、インダクタの反対端に直列接続された第1のキャパシタと、インダクタの反対端と第1のキャパシタとの間に並列接続された第2のキャパシタとを含む。
他の特徴では、第1のキャパシタは、第2のキャパシタに接続された第1の端子と第2のコネクタに接続された第2の端子とを有する1つまたは複数のセラミックキャパシタを含む。
別の特徴では、第2の導体は、同軸ケーブルを含む。
別の特徴では、第2のキャパシタは、1つまたは複数の真空キャパシタを含む。
他の特徴では、LC回路の入力インピーダンスは、0.5Ωから2Ωの範囲にあり、LC回路の出力インピーダンスは、45Ωから55Ωの範囲にある。
別の特徴では、第1のRF周波数は、1.8MHzから2.2MHzの範囲にある。
他の特徴では、LC回路は、メイクブレイクコネクタに接続された第1のコネクタと、第1のコネクタに一端が接続されたインダクタと、インダクタの反対端に直列接続された第1のキャパシタと、第1のキャパシタの反対端に並列接続された第2のキャパシタとを含む。
別の特徴では、第1のキャパシタおよび第2のキャパシタは、1つまたは複数の真空キャパシタを含む。
別の特徴では、第1のRF周波数は、12.35MHzから13.65MHzの範囲にある。
他の特徴では、メイクブレイクコネクタは、ブラケットと、ブラケットの一方の側から延びている第1の導体と、ブラケットの反対側から延びており、第1の導体に接続された第2の導体と、第2の導体に取り外し可能に取り付けられ、第2の導体をRF基準測定回路に接続し、駆動回路に接続しないように構成されたコネクタとを含む。コネクタは、T字型であり、第2の導体に接続された本体と、本体から延びており、キャビティを含む円筒部とを含む。円筒部のキャビティは、RF基準測定回路に接続するように構成される。
他の特徴では、メイクブレイクコネクタは、ブラケットと、ブラケットの一方の側から延びている第1の導体と、ブラケットの反対側から延びており、第1の導体に接続された第2の導体と、第2の導体に取り外し可能に取り付け、第2の導体を駆動回路に接続し、RF基準測定回路に接続しないように構成されたコネクタとを含む。コネクタは、本体と、本体内のボアと、本体を第2の導体に接続するためにボアを通って延びている留め具とを含む。
他の特徴では、メイクブレイクコネクタは、ブラケットと、ブラケットの一方の側から延びている第1の導体と、ブラケットの反対側から延びており、第1の導体に接続された第2の導体と、第2の導体に取り外し可能に取り付け、第2の導体をRF基準測定回路および駆動回路のうちの一方に接続するように構成されたコネクタとを含む。コネクタは、T字型コネクタを含む。
他の特徴では、T字型コネクタは、それぞれ、第1および第2のキャビティを含む第1の脚部と第2の脚部とを含む。第1の脚部および第2の脚部は、第2の導体上に摺動可能に受容される。T字型コネクタは、第1の脚部および第2の脚部に対して横断方向に配置され、RF基準測定回路に接続するように構成されたキャビティを含む第3の脚部を含む。
他の特徴では、T字型コネクタは、ボアを含む本体と、本体を第2の導体に接続するためにボアを通って延びている留め具と、本体から延びており、RF基準測定回路に接続するように構成されたキャビティを含む円筒部とを含む。
他の特徴では、円筒部は軸を有し、留め具は軸に対して横断方向に接続される。
他の特徴では、円筒部は軸を有し、留め具は軸に対して平行な方向に接続される。
本開示を適用可能なさらなる領域は、詳細な説明、特許請求の範囲および図面から明らかになるであろう。詳細な説明および特定の例は、例示のみを目的としており、本開示の範囲を限定することを意図するものではない。
本開示は、詳細な説明および添付の図面からより完全に理解されるであろう。
図1は、本開示による1つまたは複数のRF直接駆動回路を含む基板処理システムの一例の機能ブロック図である。
図2Aは、本開示によるRF直接駆動回路の例の機能ブロック図および電気回路図である。 図2Bは、本開示によるRF直接駆動回路の例の機能ブロック図および電気回路図である。
図3Aは、本開示による負荷に接続されたRF直接駆動回路の一例の機能ブロック図である。
図3Bは、本開示によるRF基準測定回路に接続されたRF直接駆動回路の機能ブロック図である。
図4は、本開示による第1および第2のRF範囲で動作するRF直接駆動回路と第1および第2のRF基準測定回路の一例の機能ブロック図である。
図5は、本開示による第1のRF基準用のLC回路の一例の電気回路図である。
図6は、本開示による第2のRF基準用のLC回路の一例の電気回路図である。
図7は、本開示による直接駆動システムの一例の透視図である。
図8Aは、本開示による直接駆動システム用の第1のRF基準測定回路の例の透視図である。 図8Bは、本開示による直接駆動システム用の第1のRF基準測定回路の例の透視図である。
図9Aは、本開示による直接駆動システム用の第2のRF基準測定回路の例の透視図である。 図9Bは、本開示による直接駆動システム用の第2のRF基準測定回路の例の透視図である。
図10Aは、本開示による直接駆動システム用のメイクブレイクコネクタの上部の例の透視図である。 図10Bは、本開示による直接駆動システム用のメイクブレイクコネクタの上部の例の透視図である。
図11は、本開示による直接駆動システムの用のメイクブレイクコネクタの例の透視図である。
図12Aは、本開示による直接駆動システム用のメイクブレイクコネクタの上部の例の透視図である。 図12Bは、本開示による直接駆動システム用のメイクブレイクコネクタの上部の例の透視図である。
図13は、本開示による直接駆動システム用のメイクブレイクコネクタの上部の別の例の透視図である。
図14は、本開示による直接駆動システム用のメイクブレイクコネクタの上部の別の例の透視図である。
図面において、参照番号は、類似の要素および/または同一の要素を特定するために再度利用される場合がある。
いくつかの用途では、ICPコイルおよび/または基板支持体内の電極に供給されるRF源電力またはRFバイアス電力のRF周波数は、2つ以上の周波数および/または2つ以上のパルスレベルの間で切り換えられる。複数の周波数および/または複数のレベルにおけるRFパルスは、「プラズマエッチングチャンバのための高速インピーダンス切り替えを備えた変圧器結合容量性同調回路」と題する同一出願人による米国特許第9,515,633号に示され、記載されており、その全体が参照により本明細書に組み込まれる。
これらのシステムでは、RF発生器のインピーダンスが負荷(誘導コイルとプラズマ、または電極とプラズマなど)に整合される。しかしながら、負荷のインピーダンスは、プラズマ状態が変化し、パルスレベルが変化し、かつ/あるいは様々な他の要因に起因して変化する。インピーダンス不整合が生じると、電力は、負荷により反射され、非効率である。可変キャパシタを使用する回路の調整は、周波数変化および/またはレベル間変化の間の切り替え期間に対して静電容量の値を変化させるのに必要な時間の量が原因で困難である。
前述の課題のいくつかを軽減するために、低出力インピーダンスを有するRF直接駆動回路を使用できる。いくつかの例では、RF直接駆動回路のインピーダンスは主に、抵抗性であり、比較的低抵抗(例えば、約1Ωなど)を有する。例えば、直接駆動回路およびハイブリッド直接駆動回路は、2018年6月13日に出願された、「基板処理システムのための直接駆動RF回路」と題する同一出願人による米国特許出願第16/007,481号に示されており、その全体が参照により本明細書に組み込まれる。直接駆動回路のさらなる例は、2019年1月3日に公開された、「抵抗性出力インピーダンスのための高利得共振増幅器」と題する米国特許公開第2019/0007004号に示され、説明されており、その全体が参照により本明細書に組み込まれる。
基板処理システムが所望の動作パラメータ(不均一性など)内で確実に動作できるように、直接駆動システムによって出力されるRF電力またはRFバイアスは、定期的に(またはイベントごとに)測定され、必要に応じて較正されるべきである。しかしながら、直接駆動回路の出力は、市販されているかつ/あるいはその他の既にファブルームに位置する既存の同軸ケーブル、RF電力計および/またはダミー負荷のインピーダンスと比較して比較的低インピーダンスであるため、測定することが困難である。ほとんどの既存の同軸ケーブル、RF電力計および/またはダミー負荷は、約50Ωのインピーダンスを有する。本明細書に記載のシステムおよび方法は、RF直接駆動システムによって生成されるRF電力および/またはRFバイアス信号の1つまたは複数のパラメータを測定するために使用される。
本開示によるシステムおよび方法は、直接駆動回路と処理チャンバ負荷(例えば、処理チャンバおよび/またはプラズマの構成要素)との間に配置されたメイクブレイクコネクタを含む。メイクブレイクコネクタは、直接駆動回路を、処理チャンバ負荷に、またはダミー負荷を含むRF基準測定回路に接続する。RF基準測定回路は、直接駆動回路のインピーダンスに整合する入力インピーダンスと、同軸ケーブル、RF電力計、およびダミー負荷のインピーダンスに整合する出力インピーダンスとを有するLC回路を含む。これにより、既存のRF電力計、同軸ケーブル、およびダミー負荷を用いて測定できるため、コストを削減できる。
ここで図1を参照すると、本開示による基板処理システム10の一例が示されている。基板処理システム10は、RF駆動回路12を含む。RF駆動回路12は、RF源および整合ネットワークまたは本明細書に記載の直接駆動回路を含んでもよい。
いくつかの例では、プレナム20をコイル16と誘電体窓24との間に配置して、誘電体窓24の温度を熱気流および/または冷気流で制御してもよい。誘電体窓24は、処理チャンバ28の一方の側に沿って配置される。処理チャンバ28は、基板支持体(または台座)32をさらに含む。基板支持体32は、静電チャック(ESC)、機械式チャック、または他の種類のチャックを含んでもよい。プロセスガスが処理チャンバ28に供給され、プラズマ40が処理チャンバ28内で生成される。プラズマ40は、基板34の露出面をエッチングする。
RF駆動回路52を使用して、動作中に基板支持体32内の電極にRFバイアスを提供してもよい。RF駆動回路52は、RF源および整合ネットワークまたは(本明細書に記載の)直接駆動回路を含んでもよい。RF駆動回路12および/または52のうち少なくとも1つは、RF直接駆動回路を含む。
ガス供給システム56を使用して、処理チャンバ28にプロセスガス混合物を供給してもよい。ガス供給システム56は、プロセスガスおよび不活性ガス源57と、バルブおよびマスフローコントローラなどのガス計量システム58と、マニホールド59とを含んでもよい。空気などのガスを使用して、コイル16および誘電体窓24を冷却してもよい。加熱器/冷却器64を使用して、基板支持体32を所定の温度に加熱/冷却してもよい。排気システム65は、パージまたは排気によって処理チャンバ28から反応物を除去するために、バルブ66とポンプ67とを含む。コントローラ54を使用して、エッチングプロセスを制御してもよい。コントローラ54は、システムパラメータを監視し、ガス混合物の供給、プラズマ衝突、プラズマ維持およびプラズマ消火、反応物の除去、冷却ガスの供給などを制御する。
ここで図2Aおよび図2Bを参照すると、RFバイアス電力またはRFプラズマ電力を供給するためのRF直接駆動回路200の一例が示されている。図2Aでは、RF直接駆動回路200は、1つまたは複数の選択されたRF周波数で動作するクロック220を含む。クロック220によって出力されるクロック信号は、ゲート駆動回路222に入力される。いくつかの例では、ゲート駆動回路222は、クロック220に接続されたそれぞれの入力を有する増幅器244と反転増幅器246とを含む。
ゲート駆動回路222の出力は、ハーフブリッジ回路238に入力される。いくつかの例では、ハーフブリッジ回路238は、第1のスイッチ240と第2のスイッチ242とを含む。いくつかの例では、第1のスイッチ240および第2のスイッチ242は、金属酸化膜半導体電界効果トランジスタ(MOSFETs)を含む。第1のスイッチ240および第2のスイッチ242は各々、制御端子と、第1および第2の端子とを含む。ゲート駆動回路222の増幅器244の出力は、第1のスイッチ240の制御端子に入力される。ゲート駆動回路222の反転増幅器246の出力は、第2のスイッチ242の制御端子に入力される。
出力ノード230は、第1のスイッチ240の第2の端子と第2のスイッチ242の第1の端子とに接続される。第1のスイッチ240の第1の端子は、第1のDC電源270に接続される。第2のスイッチ242の第2の端子は、接地などの基準電位に接続される。
出力ノード230は、インダクタ232によってカソード234に接続される。いくつかの例では、抵抗Rと直列の静電容量Cpを使用して、RF直接駆動回路200によって見られるインピーダンス(例えば、プラズマ静電容量および抵抗、基板支持体内の電極(または別の構成要素)の静電容量および抵抗、ならびに/あるいは他の浮遊または寄生静電容量および抵抗)をモデル化してもよい。
図2BのRF直接駆動回路は、DCバイアスを除去するために、それぞれ、+VDC/2および-VDC/2で動作する第1および第2のDC電源270、280を含む。いくつかの例では、同じ出力RF電力を得るために、第1および第2のDC電源270、280の両方は、図2Aの単一DC電源の半分の電圧で動作する。いくつかの例では、第1のDC電源270および第2のDC電源280は、ほぼ同じ大きさかつ異極性で動作する。本明細書で使用する場合、ほぼ同じとは、第2のDC電源280に対して第1のDC電源270によって出力されるDC電圧の大きさの差が、20%、5%または2%未満であることを指す。第1のDC電源270は、第1のスイッチ240の第1の端子に接続される。第2のDC電源280は、第2のスイッチ242の第2の端子に接続される。
いくつかの例では、電流センサ282および電圧センサ284は、出力ノード230において電流および電圧を感知する。位相オフセット計算機290は、感知された電流および電圧信号を受信し、クロック周波数調整装置292に出力される位相オフセット信号を生成する。クロック周波数調整装置292は、位相オフセット信号に基づいてクロック調整信号を生成する。他の特徴では、クロック周波数調整装置292は、電流が電圧をリードするとき、クロック220の周波数を増加させ、電圧が電流をリードするとき、クロック220の周波数を減少させる。
ここで図3Aおよび図3Bを参照すると、直接駆動回路310は、処理チャンバの構成要素などの負荷312に、または直接駆動回路310の動作を評価するために測定を実行するRF基準測定回路314に接続可能である。図3Aでは、メイクブレイクコネクタ316は、通常動作モード中に直接駆動回路310を負荷312に接続するように構成される。メイクブレイクコネクタ316は、適切な接続を行う、かつ/あるいは解除するために手動で取り付けられ、かつ/あるいは取り外される留め具、導体、および/または他のハードウェアを含んでもよい。通常動作モードで動作中、直接駆動回路310は、上記のように負荷312を駆動させる。
定期的に、またはイベントごとに、直接駆動回路の出力は、処理チャンバが正しく動作していることを確認するためにテストされる。しかしながら、ダミー負荷、RF電力計、および同軸ケーブルコネクタは、直接駆動回路の出力インピーダンスに整合しない、はるかに高いインピーダンスを有する。
図3Bでは、メイクブレイクコネクタ316は、RF基準測定モード中に直接駆動回路310をLC回路320に接続するように構成される。LC回路320の出力は、RF電力計324に接続される。導体326は、RF電力計324をダミー負荷328に接続する。いくつかの例では、導体326は、同軸ケーブルを含むが、他の導体も使用可能である。
直接駆動回路310の出力インピーダンスは、導体326のインピーダンス、RF電力計324のインピーダンス、およびダミー負荷のインピーダンスに整合されない。いくつかの例では、直接駆動回路310の出力インピーダンスは、0.1オーム(Ω)から5Ωの範囲にある。いくつかの例では、直接駆動回路310の出力インピーダンスは、0.5オーム(Ω)から2Ωの範囲にある。
いくつかの例では、導体326およびRF電力計324のインピーダンスは、直接駆動回路310の出力インピーダンスよりも大きい。例えば、導体326、RF電力計324、およびダミー負荷328のインピーダンスは、20オーム(Ω)から100Ωの範囲にあってもよい。例えば、導体320、RF電力計324、およびダミー負荷328のインピーダンスは、45オーム(Ω)から55Ωの範囲内にあってもよい。例えば、導体320およびRF電力計324のインピーダンスは、50Ωであってもよい。
ここで図4を参照すると、直接駆動回路410がRF基準測定モードで示されている。直接駆動回路410は、第1の周波数範囲ならびに第2の周波数範囲における第1および第2の出力を含む。いくつかの例では、第1の周波数範囲は1.8MHzから2.2MHzであるが、他の周波数範囲も使用可能である。いくつかの例では、第2の周波数範囲は、12.35MHzから13.65MHzであるが、他の周波数範囲も使用可能である。
メイクブレイクコネクタ414は、直接駆動回路410の第1の出力を、第1の基準測定モード中にLC回路420に、または第1の直接駆動モード中に負荷412に接続する。LC回路420は、導体426によってRF電力計424に接続される。導体426は、RF電力計424をダミー負荷428に接続する。いくつかの例では、導体426は、同軸ケーブルを含む。
メイクブレイクコネクタ434は、直接駆動回路410の第2の出力を、第2のRF基準測定モード中にLC回路440に、または第2の直接駆動モード中に処理チャンバの構成要素などの負荷432に接続する。いくつかの例では、負荷412および432は、同じ負荷または別個の負荷である。LC回路440は、導体446によってRF電力計444に接続される。導体446は、RF電力計444をダミー負荷448に接続する。いくつかの例では、導体446は、同軸ケーブルを含む。
ここで図5を参照すると、第1の周波数範囲のLC回路440の一例が示されている。LC回路420は、キャパシタC1と直列接続された(抵抗値RL1を有する)インダクタL1を含む。キャパシタC2は、インダクタL1とキャパシタC1との間に接続される。いくつかの例では、LC回路420は、直接駆動回路のインピーダンスに整合する入力インピーダンスと、コネクタ、RF電力計、およびダミー負荷のインピーダンスに整合する出力インピーダンスとを有する。
ここで図6を参照すると、第2の周波数範囲のLC回路420の一例が示されている。LC回路440は、キャパシタC3と直列接続された(抵抗RL2を有する)インダクタL2を含む。キャパシタC4は、キャパシタC3とLC回路440の出力との間に接続される。いくつかの例では、LC回路440は、直接駆動回路のインピーダンスに整合する入力インピーダンスと、コネクタ、RF電力計、および負荷のインピーダンスに整合する出力インピーダンスとを有する。
ここで図7を参照すると、直接駆動システム700の一例が示されている。直接駆動システム700は、上部レベル704、中間レベル706、および下部レベル708を画定する複数の積み重ねられた筐体を含む。いくつかの例では、直接駆動システム700は、処理チャンバの上方に配置されてもよい。いくつかの例では、側壁ならびに上面および下面は、アルミニウムなどの導電材料で作られる。
上部レベル704は、上述した直接駆動回路712を収容する筐体710を含む。いくつかの例では、中間レベル706は、複数の筐体を含む。筐体713-1は、第1のRF基準測定回路714-1を収容し、筐体713-1の外壁上に位置する(同軸コネクタなどの)コネクタ716-1を含む。中間レベル706は、第2のRF基準測定回路714-2を収容し、筐体713-2の外壁上に位置する(同軸コネクタなどの)コネクタ716-2を含む筐体713-2をさらに含む。
いくつかの例では、筐体713-1は、筐体713-2に対して平行かつ離間した関係で配置される。いくつかの例では、中間レベル706は、(筐体718などの)1つまたは複数の追加の筐体を含んでもよい。いくつかの例では、中間レベル706の1つまたは複数の筐体は、筐体内の空気を循環させるために1つまたは複数のファン720を含む。
下部レベル708は、第1のメイクブレイクコネクタ726-1を収容する筐体724-1を含む。第1のメイクブレイクコネクタ726-1は、直接駆動回路712の出力のうちの1つを第1のRF基準測定回路714-1または(基板支持体内の誘導コイルまたは電極などの)処理チャンバの構成要素に接続するか、あるいは直接駆動回路712を第1のRF基準測定回路714-1と処理チャンバの構成要素との両方から切断する。
下部レベル708は、直接駆動回路712の出力のうちの1つを第2のRF基準測定回路714-2または処理チャンバの構成要素に接続するために、あるいは直接駆動回路712を第2のRF基準測定回路714-2と処理チャンバの構成要素との両方から切断するために第2のメイクブレイクコネクタ726-2を収容する別の筐体724-2をさらに含む。
ここで図8Aおよび図8Bを参照すると、直接駆動システム用の第1のRF基準測定回路714-1が示されている。第1のRF基準測定回路714-1は、コネクタ716-1に電気的に接続されたプレート810を含む。プレート810は、プレート810に並列接続される1つまたは複数のキャパシタ816の第1の端子にも接続される。1つまたは複数のキャパシタ816の第2の端子は、プレート812に接続される。いくつかの例では、キャパシタ816は、セラミックキャパシタを含むが、他の種類のキャパシタも使用可能である。
1つまたは複数のキャパシタ820は、プレート812と基準電位との間に並列接続される。例えば、基準電位は、筐体の接地された下面によって提供されてもよい。いくつかの例では、キャパシタは、真空キャパシタを含む。プレート812の延長部824は、インダクタ830の一端に接続される。いくつかの例では、インダクタ830は、螺旋状コイルに巻かれた導電材料を含む。いくつかの例では、導電材料は、銅または銀(Ag)メッキ銅を含む。インダクタ830の反対端は、プレート842の一端に接続される。いくつかの例では、インダクタ830の巻線は、その間に延びている分離器834によって離間した関係で保持される。いくつかの例では、分離器834は、本体835と、本体835から離間した位置において延びている複数の突起836とを含む。複数の突起836の端部は、インダクタ830の巻線の間に位置する。いくつかの例では、分離器834は、プラスチックなどの非導電性材料で作られるが、他の材料も使用可能である。いくつかの例では、分離器834は、ポリエーテルエーテルケトン(PEEK)で作られる。プレート842の反対端は、コネクタ844に接続される。コネクタ844は、筐体を通ってコネクタ850まで延びる。いくつかの例では、コネクタ850は、円筒形の本体を有するオスコネクタを含む。
ここで図9Aおよび図9Bを参照すると、直接駆動システム用の第2のRF基準測定回路714-2が示されている。第2のRF基準測定回路714-2は、コネクタ716-1に接続されたプレート910を含む。1つまたは複数のキャパシタ912は、プレート910と基準電位(接地など。例えば、接地された筐体壁)との間に並列接続される。プレート910の延長部924は、インダクタ930の一端に接続される。インダクタ930の反対端は、プレート942の一端に接続される。いくつかの例では、インダクタ930の巻線は、分離器934によって分離される。
プレート942の反対端は、コネクタ944に接続される。コネクタ944は、筐体を通ってコネクタ950まで延びる。いくつかの例では、コネクタ950は、円筒形の導電部などのオスコネクタを含む。
ここで図10Aおよび図10Bを参照すると、直接駆動システム用のメイクブレイクコネクタ1010の上部が示されている。メイクブレイクコネクタ1010は、1つまたは複数のリング部分1022および1024とベース部分1024とによって囲まれた導体1020を含む。いくつかの例では、1つまたは複数のリング部分1022および1024は、直接駆動回路のメスコネクタに対するセンタリング機能を有する。いくつかの例では、導体1020は円筒形の導体部分を含むが、他の形状も使用可能である。
導体1028は、ベース部分1024から下方に延び、導体1020に電気的に接続される。いくつかの例では、導体1028は、円筒形状を有し、T字型コネクタ1040を受容するように構成された取り付け部1029を含む。いくつかの例では、取り付け部1029は、T字型コネクタ1040の本体1042の平坦面と嵌合するように平坦面を構成する。
T字型コネクタ1040は、本体1042から延びており、中央キャビティ1050を構成する円筒部1048を含む。いくつかの例では、中央キャビティ1050は円筒形であり、コネクタ850、950のうちの1つを受容するように構成される。いくつかの例では、T字型コネクタ1040は、T字型コネクタ1040を導体1028に取り付けるためにボア1030内に受容されるねじ付きボルトなどの1つまたは複数の留め具1044を含む。いくつかの例では、留め具1044は、中央キャビティ1050の軸に対して横断方向に延びる。メイクブレイクコネクタ1010に関する特定の構成が示されているが、他の構成も使用可能である。
ここで図11を参照すると、直接駆動システム用のメイクブレイクコネクタ1100が示されている。コネクタ1150は、直接駆動モード(接続)およびRF基準測定モード(切断)で示されている。いくつかの例では、コネクタ1150は、矩形本体と、矩形本体の反対側の側面に沿って延在する1つまたは複数のフランジ1155とを含む。1つまたは複数の留め具1154は、導体1028に対してコネクタ1150を接続し、位置決めする。
コネクタ1150は、ストラップ1120に取り付けられるか、または結合される。ストラップ1120は、支持ブラケット1125によって一端が(固定または回転可能に)支持されたキャパシタ1124に接続される。キャパシタ1124の反対端は、(ブラケット1170に接続された)ストラップ1227およびキャパシタ1128の一端に接続される。キャパシタの反対端は、支持ブラケット1125に接続される。いくつかの例では、ブラケット1170は、筐体壁に接続される。いくつかの例では、ストラップ1120は、取り付けブラケット1125に相対して回転可能である。導体1134は、導体1180、1182によって処理チャンバの構成要素に接続される。
ここで図12Aおよび図12Bを参照すると、直接駆動システム用のメイクブレイクコネクタ1200の別の例の上部が示されている。取り付け部1029を備えた導体1028を使用する代わりに、導体1210とT字型スライド式コネクタ1220とが使用される。いくつかの例では、導体1210は、円筒形状を有する。導体1210は、T字型スライド式コネクタ1220の導電性円筒内側キャビティによって摺動可能に受容される。T字型スライド式コネクタ1220は、軸方向に整列される第1の脚部1230と第2の脚部1232とを含む。第1の脚部1230は、導体1210に接続される。T字型スライド式コネクタ1220は、第1の脚部1230および第2の脚部1232に対して横断方向に配置される第3の脚部1234を含む。第3の脚部1234の導電性キャビティ1238は、RF基準測定モードで配置されるとき、コネクタ850、950のうちの1つに接続するように構成される。
図12Aでは、第2の脚部1232は、直接駆動モードで配置されるとき、(コネクタ1150の代わりに)ストラップ1120から延びている相手側コネクタ1242(図12Bにて最もよく見える)に接続するように示されている。第3の脚部1234は、コネクタ850、950から切断される。
図12Bでは、RF基準測定モードであるとき、第2の脚部1232は相手側コネクタ1242から切断され、第3の脚部1234はコネクタ850、950のうちの1つに接続される。理解できるように、T字型スライド式コネクタ1220により、直接駆動モードとRF基準測定モードとの間の迅速な再構成が可能である。
ここで図13を参照すると、別のメイクブレイクコネクタ1300の上部が、直接駆動モードで示されている。(1120と同様であるが、異なる端部構成を有する)ストラップ1304の上部も示されている。ストラップ1304は、第1の部分1322と第2の部分1324とを含む「L」字型の端部1320を含む。いくつかの例では、第1の部分1322は、第2の部分1324に対して横断方向にある。第1の部分1322は、それぞれ、1つまたは複数のネジ付き留め具1330を受容するための1つまたは複数のボア1334をさらに含む。図13では、ストラップ1304は、(平行である図11とは対照的に)ストラップ1304の最も広い部分を含む平面に対して横断方向である平面においてメイクブレイクコネクタ1300に接続される。
ここで図14を参照すると、メイクブレイクコネクタ1300の上部は、RF基準測定モードで構成されて示されている。ストラップ1304の上部は、切断される。いくつかの例では、ストラップ1304は、メイクブレイクコネクタ1300の上部から離れるように支持ブラケット1125の周りを回転する。T字型コネクタ1410は、第1の部分1422と第2の部分1424とを含む「L」字型の端部1420を含む。いくつかの例では、第1の部分1422は、第2の部分1424に対して横断方向にある。第1の部分1422は、それぞれ、1つまたは複数のネジ付き留め具1430を受容するための1つまたは複数のボア1434をさらに含む。T字型コネクタ1410は、コネクタ850または950のうちの1つを受容するための内側キャビティ1454を構成する円筒部1452を含む。この例では、留め具は、(横断方向に取り付けられる他のバージョンとは異なり)キャビティの軸に平行な方向に取り付ける。留め具の位置を調整することにより、T字型コネクタ1410がより簡単に取り付けられる。
前述の説明は、本質的に単に例示的であり、本開示、その適用、または使用を限定する意図は全くない。本開示の広範な教示は、様々な形態で実施可能である。したがって、本開示は具体的な例を含むが、図面、明細書、および以下の特許請求の範囲を検討すると他の変更が明白となるので、本開示の真の範囲は、そのような例に限定されるべきではない。方法内の1つまたは複数のステップは、本開示の原理を変更することなく、異なる順序で(または同時に)実行されてもよいことを理解されたい。さらに、実施形態の各々が特定の特徴を有するものとして上述されているが、本開示のいずれかの実施形態に関して説明したこれらの特徴のうちいずれか1つまたは複数を、他の実施形態において実施すること、および/または、他の実施形態のいずれかの特徴と組み合わせることが、たとえそのような組み合わせが明示的に説明されていなくても可能である。すなわち、説明した実施形態は相互に排他的ではなく、1つまたは複数の実施形態を互いに入れ替えることは本開示の範囲内に留まる。
要素間(例えば、モジュール間、回路要素間、半導体層間など)の空間的および機能的関係は、「接続された」、「係合された」、「結合された」、「隣接した」、「隣に」、「上に」、「上方に」、「下方に」、および「配置された」を含む、様々な用語を使用して説明される。上記開示において、第1の要素と第2の要素との間の関係が説明されるとき、「直接」であると明示的に説明されない限り、その関係は、第1の要素と第2の要素との間に他の介在要素が存在しない直接的な関係である可能性があるだけでなく、第1の要素と第2の要素との間に1つまたは複数の介在要素が(空間的または機能的に)存在する間接的な関係である可能性もある。本明細書で使用する場合、A、B、およびCのうち少なくとも1つという表現は、非排他的論理ORを使用する、論理(AまたはBまたはC)を意味するものと解釈されるべきであり、「Aの少なくとも1つ、Bの少なくとも1つ、およびCの少なくとも1つ」を意味すると解釈されるべきではない。
いくつかの実施態様では、コントローラは、システムの一部であり、上述した例の一部であってもよい。このようなシステムは、1つまたは複数の処理ツール、1つまたは複数のチャンバ、1つまたは複数の処理用プラットフォーム、および/または特定の処理構成要素(ウエハ台座、ガス流システムなど)を含む、半導体処理装置を備えることができる。これらのシステムは、半導体ウエハまたは基板の処理前、処理中、および処理後のシステム動作を制御するための電子機器と統合されてもよい。電子機器は、「コントローラ」と呼ばれる場合があり、1つまたは複数のシステムの様々な構成要素またはサブパーツを制御してもよい。コントローラは、処理要件および/またはシステムの種類に応じて、本明細書に開示のプロセスのいずれかを制御するようにプログラムされてもよい。そのようなプロセスとしては、処理ガスの供給、温度設定(例えば、加熱および/または冷却)、圧力設定、真空設定、電力設定、無線周波数(RF)発生器設定、RF整合回路設定、周波数設定、流量設定、流体供給設定、位置および動作設定、ツールへのウエハの搬入出、ならびに、特定のシステムに接続または連動する他の搬送ツールおよび/またはロードロックへのウエハの搬入出が挙げられる。
広義には、コントローラは、命令を受信し、命令を発行し、動作を制御し、洗浄動作を可能にし、エンドポイント測定を可能にするなどの様々な集積回路、論理、メモリ、および/またはソフトウェアを有する電子機器として定義されてもよい。集積回路は、プログラム命令を記憶するファームウェアの形式のチップ、デジタル信号プロセッサ(DSPs)、特定用途向け集積回路(ASICs)として定義されたチップ、および/または1つまたは複数のマイクロプロセッサ、またはプログラム命令(例えば、ソフトウェア)を実行するマイクロコントローラを含んでもよい。プログラム命令は、様々な個々の設定(またはプログラムファイル)の形式でコントローラに通信される命令であって、特定のプロセスを半導体ウエハ上で、または半導体ウエハ用に、またはシステムに対して実行するための動作パラメータを定義してもよい。動作パラメータは、いくつかの実施形態では、プロセスエンジニアによって定義されるレシピの一部であって、1つまたは複数の層、材料、金属、酸化物、シリコン、二酸化シリコン、表面、回路、および/またはウエハのダイの製造中に1つまたは複数の処理ステップを達成してもよい。
コントローラは、いくつかの実施態様では、システムと統合しているか、結合しているか、そうでない場合はシステムにネットワーク接続されているか、またはそれらの組み合わせであるコンピュータの一部であっても結合していてもよい。例えば、コントローラは、「クラウド」内にあってもよく、ファブホストコンピュータシステムのすべてまたは一部であってもよい。これにより、ウエハ処理のリモートアクセスが可能となる。コンピュータは、システムへのリモートアクセスを可能にし、製造動作の現在の進捗状況を監視し、過去の製造動作の履歴を調査し、複数の製造動作から傾向または性能基準を調査し、現在の処理のパラメータを変更し、現在の処理に続く処理ステップを設定する、あるいは新しいプロセスを開始してもよい。いくつかの例では、リモートコンピュータ(例えば、サーバ)は、ネットワークを通じてプロセスレシピをシステムに提供できる。そのようなネットワークは、ローカルネットワークまたはインターネットを含んでもよい。リモートコンピュータは、パラメータおよび/または設定のエントリまたはプログラミングを可能にするユーザインターフェースを含んでもよく、そのようなパラメータおよび/または設定は、その後、リモートコンピュータからシステムに通信される。いくつかの例では、コントローラは、命令をデータの形式で受信する。そのようなデータは、1つまたは複数の動作中に実行される処理ステップの各々に対するパラメータを特定する。パラメータは、実行されるプロセスの種類およびコントローラが連動または制御するように構成されるツールの種類に特有のものであってもよいことを理解されたい。したがって、上述したように、コントローラは、互いにネットワーク接続され、本明細書に記載のプロセスおよび制御など、共通の目的に向けて協働する1つまたは複数の個別のコントローラを含むことなどによって、分散されてもよい。このような目的のための分散型コントローラの一例としては、(プラットフォームレベルでまたはリモートコンピュータの一部としてなど)遠隔配置され、チャンバ上のプロセスを制御するように結合する1つまたは複数の集積回路と通信するチャンバ上の1つまたは複数の集積回路が挙げられるであろう。
例示的なシステムは、プラズマエッチングチャンバまたはモジュール、堆積チャンバまたはモジュール、スピンリンスチャンバまたはモジュール、金属めっきチャンバまたはモジュール、洗浄チャンバまたはモジュール、ベベルエッジエッチングチャンバまたはモジュール、物理気相堆積(PVD)チャンバまたはモジュール、化学気相堆積(CVD)チャンバまたはモジュール、原子層堆積(ALD)チャンバまたはモジュール、原子層エッチング(ALE)チャンバまたはモジュール、イオン注入チャンバまたはモジュール、追跡チャンバまたはモジュール、ならびに半導体ウエハの製作および/または製造に関連するか、または使用されてもよい任意の他の半導体処理システムを含んでもよいが、これらに限定されない。
上述したように、ツールによって実行される1つまたは複数のプロセスステップに応じて、コントローラは、他のツール回路またはモジュールのうちの1つまたは複数、他のツール構成要素、クラスタツール、他のツールインターフェース、隣接するツール、近接するツール、工場全体に位置するツール、メインコンピュータ、別のコントローラ、または半導体生産工場内のツール場所および/またはロードポートへウエハの容器を搬入出する材料移送に使用されるツールと通信してもよい。

Claims (23)

  1. 基板処理システムであって、
    第1のRF周波数でRF駆動信号を生成するように構成された駆動回路と、
    入力インピーダンスと出力インピーダンスとを有するLC回路を含むRF基準測定回路であって、前記LC回路の出力は、RF電力計とダミー負荷とに接続されるように構成されるRF基準測定回路と、
    前記駆動回路を前記RF基準測定回路および前記基板処理システムの構成要素を含む処理チャンバ負荷のうちの一方に接続するように構成されたメイクブレイクコネクタと
    を備え、
    前記駆動回路の出力インピーダンスは、前記LC回路の入力インピーダンスに整合し、
    前記駆動回路の前記出力インピーダンスは、前記RF電力計および前記ダミー負荷のインピーダンスに整合せず、
    前記LC回路は、前記駆動回路の前記インピーダンスを前記RF電力計および前記ダミー負荷に整合させるように構成される、基板処理システム。
  2. 請求項1に記載の基板処理システムであって、
    前記RF基準測定回路は、前記LC回路の出力に接続された第1の導体を含み、前記RF電力計は、前記第1の導体に接続される、基板処理システム。
  3. 請求項2に記載の基板処理システムであって、
    前記RF基準測定回路は、前記RF電力計の出力に接続された第2の導体を含み、前記ダミー負荷は、前記第2の導体に接続される、基板処理システム。
  4. 請求項3に記載の基板処理システムであって、
    前記駆動回路の前記出力インピーダンスおよび前記LC回路の前記入力インピーダンスは、0.1Ωから10Ωの範囲にある、基板処理システム。
  5. 請求項4に記載の基板処理システムであって、
    前記駆動回路の前記出力インピーダンスおよび前記LC回路の前記入力インピーダンスは、0.5Ωから2Ωの範囲にある、基板処理システム。
  6. 請求項3に記載の基板処理システムであって、
    前記LC回路の前記出力インピーダンスと前記RF電力計、前記ダミー負荷、前記第1の導体、および前記第2の導体のインピーダンスは、20Ωから100Ωの範囲にある、基板処理システム。
  7. 請求項6に記載の基板処理システムであって、
    前記LC回路の前記出力インピーダンスと前記RF電力計、前記ダミー負荷、前記第1の導体、および前記第2の導体の前記インピーダンスは、45Ωから55Ωの範囲にある、基板処理システム。
  8. 請求項3に記載の基板処理システムであって、
    前記LC回路は、
    前記メイクブレイクコネクタに接続された第1のコネクタと、
    前記第1のコネクタに一端が接続されたインダクタと、
    前記インダクタの反対端に直列接続された第1のキャパシタと、
    前記インダクタの前記反対端と前記第1のキャパシタとの間に並列接続された第2のキャパシタと
    を含む、基板処理システム。
  9. 請求項8に記載の基板処理システムであって、
    前記第1のキャパシタは、前記第2のキャパシタに接続された第1の端子と第2のコネクタに接続された第2の端子とを有する1つまたは複数のセラミックキャパシタを含む、基板処理システム。
  10. 請求項9に記載の基板処理システムであって、
    前記第2の導体は、同軸ケーブルを含む、基板処理システム。
  11. 請求項8に記載の基板処理システムであって、
    前記第2のキャパシタは、1つまたは複数の真空キャパシタを含む、基板処理システム。
  12. 請求項8に記載の基板処理システムであって、
    前記LC回路の前記入力インピーダンスは、0.5Ωから2Ωの範囲にあり、前記LC回路の前記出力インピーダンスは、45Ωから55Ωの範囲にある、基板処理システム。
  13. 請求項8に記載の基板処理システムであって、
    前記第1のRF周波数は、1.8MHzから2.2MHzの範囲にある、基板処理システム。
  14. 請求項3に記載の基板処理システムであって、
    前記LC回路は、
    前記メイクブレイクコネクタに接続された第1のコネクタと、
    前記第1のコネクタに一端が接続されたインダクタと、
    前記インダクタの反対端に直列接続された第1のキャパシタと、
    前記第1のキャパシタの反対端に並列接続された第2のキャパシタと
    を含む、基板処理システム。
  15. 請求項14に記載の基板処理システムであって、
    前記第1のキャパシタおよび前記第2のキャパシタは、1つまたは複数の真空キャパシタを含む、基板処理システム。
  16. 請求項14に記載の基板処理システムであって、
    前記第1のRF周波数は、12.35MHzから13.65MHzの範囲にある、基板処理システム。
  17. 請求項1に記載の基板処理システムであって、
    前記メイクブレイクコネクタは、
    ブラケットと、
    前記ブラケットの一方の側から延びている第1の導体と、
    前記ブラケットの反対側から延びており、前記第1の導体に接続された第2の導体と、
    前記第2の導体に取り外し可能に取り付けられ、前記第2の導体を前記RF基準測定回路に接続し、前記駆動回路に接続しないように構成されたコネクタと
    を含み、
    前記コネクタは、T字型であり、前記第2の導体に接続された本体と、前記本体から延びており、キャビティを含む円筒部とを含み、
    前記円筒部の前記キャビティは、前記RF基準測定回路に接続するように構成される、基板処理システム。
  18. 請求項1に記載の基板処理システムであって、
    前記メイクブレイクコネクタは、
    ブラケットと、
    前記ブラケットの一方の側から延びている第1の導体と、
    前記ブラケットの反対側から延びており、前記第1の導体に接続された第2の導体と、
    前記第2の導体に取り外し可能に取り付け、前記第2の導体を前記駆動回路に接続し、前記RF基準測定回路に接続しないように構成されたコネクタと
    を含み、
    前記コネクタは、本体と、前記本体内のボアと、前記本体を前記第2の導体に接続するために前記ボアを通って延びている留め具とを含む、基板処理システム。
  19. 請求項1に記載の基板処理システムであって、
    前記メイクブレイクコネクタは、
    ブラケットと、
    前記ブラケットの一方の側から延びている第1の導体と、
    前記ブラケットの反対側から延びており、前記第1の導体に接続された第2の導体と、
    前記第2の導体に取り外し可能に取り付け、前記第2の導体を前記RF基準測定回路および前記駆動回路のうちの一方に接続するように構成されたコネクタと
    を含み、
    前記コネクタは、T字型コネクタを含む、基板処理システム。
  20. 請求項19に記載の基板処理システムであって、
    前記T字型コネクタは、それぞれ、第1および第2のキャビティを含む第1の脚部と第2の脚部とを含み、
    前記第1の脚部および前記第2の脚部は、前記第2の導体上に摺動可能に受容され、
    前記T字型コネクタは、前記第1の脚部および前記第2の脚部に対して横断方向に配置され、前記RF基準測定回路に接続するように構成されたキャビティを含む第3の脚部を含む、基板処理システム。
  21. 請求項19に記載の基板処理システムであって、
    前記T字型コネクタは、
    ボアを含む本体と、
    前記本体を前記第2の導体に接続するために前記ボアを通って延びている留め具と、
    前記本体から延びており、前記RF基準測定回路に接続するように構成されたキャビティを含む円筒部と
    を含む、基板処理システム。
  22. 請求項21に記載の基板処理システムであって、
    前記円筒部は、軸を有し、
    前記留め具は、前記軸に対して横断方向に接続される、基板処理システム。
  23. 請求項21に記載の基板処理システムであって、
    前記円筒部は、軸を有し、
    前記留め具は、前記軸に対して平行な方向に接続される、基板処理システム。
JP2022555058A 2020-03-19 2021-03-19 基板処理システムにおいてプラズマを生成するために電力を供給する直接駆動システム用のrf基準測定回路 Pending JP2023518201A (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US202062991960P 2020-03-19 2020-03-19
US62/991,960 2020-03-19
PCT/US2021/023081 WO2021188857A1 (en) 2020-03-19 2021-03-19 Rf reference measuring circuit for a direct drive system supplying power to generate plasma in a substrate processing system

Publications (2)

Publication Number Publication Date
JP2023518201A true JP2023518201A (ja) 2023-04-28
JPWO2021188857A5 JPWO2021188857A5 (ja) 2024-03-19

Family

ID=77772203

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2022555058A Pending JP2023518201A (ja) 2020-03-19 2021-03-19 基板処理システムにおいてプラズマを生成するために電力を供給する直接駆動システム用のrf基準測定回路

Country Status (6)

Country Link
US (1) US20230113683A1 (ja)
JP (1) JP2023518201A (ja)
KR (1) KR20220154804A (ja)
CN (1) CN115298799A (ja)
TW (1) TW202215481A (ja)
WO (1) WO2021188857A1 (ja)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20230411119A1 (en) * 2022-06-17 2023-12-21 Applied Materials, Inc. Smart dynamic load simulator for rf power delivery control system

Family Cites Families (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6200651B1 (en) * 1997-06-30 2001-03-13 Lam Research Corporation Method of chemical vapor deposition in a vacuum plasma processor responsive to a pulsed microwave source
US6080270A (en) * 1997-07-14 2000-06-27 Lam Research Corporation Compact microwave downstream plasma system
US6016766A (en) * 1997-12-29 2000-01-25 Lam Research Corporation Microwave plasma processor
AU2001259055A1 (en) * 2000-05-05 2001-11-20 Tokyo Electron Limited Measuring plasma uniformity in-situ at wafer level
US6781317B1 (en) * 2003-02-24 2004-08-24 Applied Science And Technology, Inc. Methods and apparatus for calibration and metrology for an integrated RF generator system
US20080179948A1 (en) * 2005-10-31 2008-07-31 Mks Instruments, Inc. Radio frequency power delivery system
KR101767697B1 (ko) * 2009-10-20 2017-08-11 램 리써치 코포레이션 플라즈마 프로세싱 시스템에서의 전류 제어
US9544987B2 (en) * 2014-06-30 2017-01-10 Advanced Energy Industries, Inc. Frequency tuning for pulsed radio frequency plasma processing
US10121641B2 (en) * 2014-07-21 2018-11-06 Lam Research Corporation Large dynamic range RF voltage sensor and method for voltage mode RF bias application of plasma processing systems
KR101770720B1 (ko) * 2015-11-12 2017-08-23 세메스 주식회사 전력 공급 장치, 전압 데이터 캘리브레이션 방법, 및 그를 이용하는 기판 처리 장치
US9515633B1 (en) * 2016-01-11 2016-12-06 Lam Research Corporation Transformer coupled capacitive tuning circuit with fast impedance switching for plasma etch chambers
US9839109B1 (en) * 2016-05-30 2017-12-05 Applied Materials, Inc. Dynamic control band for RF plasma current ratio control
US10187032B2 (en) * 2016-06-17 2019-01-22 Lam Research Corporation Combiner and distributor for adjusting impedances or power across multiple plasma processing stations
US10553465B2 (en) * 2016-07-25 2020-02-04 Lam Research Corporation Control of water bow in multiple stations
US10734195B2 (en) * 2017-06-08 2020-08-04 Lam Research Corporation Systems and methods for transformer coupled plasma pulsing with transformer coupled capacitive tuning switching
US11120971B2 (en) * 2017-07-10 2021-09-14 Reno Technologies, Inc. Diagnostics for impedance matching network
US10649006B2 (en) * 2017-10-06 2020-05-12 Lam Research Corporation Cathode RF asymmetry detection probe for semiconductor RF plasma processing equipment
US20190214236A1 (en) * 2018-01-10 2019-07-11 Lam Research Corporation Tunable esc for rapid alternating process applications
US10515781B1 (en) * 2018-06-13 2019-12-24 Lam Research Corporation Direct drive RF circuit for substrate processing systems
US11728137B2 (en) * 2018-08-17 2023-08-15 Lam Research Corporation Direct frequency tuning for matchless plasma source in substrate processing systems
KR20210111356A (ko) * 2019-01-31 2021-09-10 램 리써치 코포레이션 급속 교번 프로세스들에서 균일도를 개선하기 위한 복수-위치 가스 주입
WO2020214477A1 (en) * 2019-04-15 2020-10-22 Lam Research Corporation Early warning systems and methods for determining capacitor failures
KR20220045226A (ko) * 2019-08-19 2022-04-12 어플라이드 머티어리얼스, 인코포레이티드 다수의 주파수들에서 rf 파라미터들을 제어하기 위한 방법들 및 장치
WO2021154673A1 (en) * 2020-01-28 2021-08-05 Lam Research Corporation Segmented gas distribution plate for high-power, high-pressure processes
CN115715422A (zh) * 2020-06-17 2023-02-24 朗姆研究公司 衬底处理***的直接驱动电路中的开关的保护***

Also Published As

Publication number Publication date
CN115298799A (zh) 2022-11-04
KR20220154804A (ko) 2022-11-22
WO2021188857A1 (en) 2021-09-23
TW202215481A (zh) 2022-04-16
US20230113683A1 (en) 2023-04-13

Similar Documents

Publication Publication Date Title
KR102506820B1 (ko) 주파수 튜닝 보조된 듀얼-레벨 펄싱 (dual-level pulsing) 을 위한 RF 매칭 네트워크의 보조 회로
KR102539157B1 (ko) 써모커플의 신호로부터 무선 주파수들을 필터링하고 플라즈마 챔버 내의 전극의 온도를 제어하기 위한 시스템들 및 방법들
US20220199365A1 (en) Dual-frequency, direct-drive inductively coupled plasma source
US20220328236A1 (en) Radio frequency distribution circuits including transformers and/or transformer coupled combiners
US20210327689A1 (en) Metal contamination reduction in substrate processing systems with transformer coupled plasma
CN107393847A (zh) 具有不同加热器迹线材料的层压加热器
JP2023518201A (ja) 基板処理システムにおいてプラズマを生成するために電力を供給する直接駆動システム用のrf基準測定回路
US20170278680A1 (en) Substrate processing system including coil with rf powered faraday shield
US20230290611A1 (en) Distributed plasma source array
US20210166920A1 (en) Plasma processing apparatus and measurement method
CN112868084A (zh) 用于降低响应于衬底体电阻率变动的沉积或蚀刻速率变化的rf功率补偿
US20220230850A1 (en) Voltage and current probe
US20220238360A1 (en) Rf immune sensor probe for monitoring a temperature of an electrostatic chuck of a substrate processing system
KR20190003815A (ko) 정전 척 임피던스 평가
CN115152144A (zh) 具有结构电容的包含堆叠线圈的平面型多层射频滤波器
CN114761616A (zh) 具有集成式rf滤波器的衬底支撑件
TW201833379A (zh) 用以提供電漿反應器中寄生元件之分流取消的系統及方法
TWI840327B (zh) 射頻匹配電路控制系統及阻抗匹配的方法
WO2020227252A1 (en) Filter box for a substrate processing system

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20240308

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20240308