JP2023113690A - Methods of operating spatial deposition tool - Google Patents

Methods of operating spatial deposition tool Download PDF

Info

Publication number
JP2023113690A
JP2023113690A JP2023080971A JP2023080971A JP2023113690A JP 2023113690 A JP2023113690 A JP 2023113690A JP 2023080971 A JP2023080971 A JP 2023080971A JP 2023080971 A JP2023080971 A JP 2023080971A JP 2023113690 A JP2023113690 A JP 2023113690A
Authority
JP
Japan
Prior art keywords
substrate support
processing
wafer
support assembly
rotating
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2023080971A
Other languages
Japanese (ja)
Inventor
ジョセフ オーブション,
Aubuchon Joseph
サンジーヴ バルジャ,
Baluja Sanjeev
マイケル ライス,
Rice Michael
アルカプラバ ダン,
Dan Arkaprava
ハンホン チェン,
Hanhong Chen
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2023113690A publication Critical patent/JP2023113690A/en
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4409Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber characterised by sealing means
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4412Details relating to the exhausts, e.g. pumps, filters, scrubbers, particle traps
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45519Inert gas curtains
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • C23C16/45548Atomic layer deposition [ALD] characterized by the apparatus having arrangements for gas injection at different locations of the reactor for each ALD half-reaction
    • C23C16/45551Atomic layer deposition [ALD] characterized by the apparatus having arrangements for gas injection at different locations of the reactor for each ALD half-reaction for relative movement of the substrate and the gas injectors or half-reaction reactor compartments
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/4557Heated nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4584Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally the substrate being rotated
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4586Elements in the interior of the support, e.g. electrodes, heating or cooling devices
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/54Apparatus specially adapted for continuous coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68764Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a movable susceptor, stage or support, others than those only rotating on their own vertical axis, e.g. susceptors on a rotating caroussel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68771Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by supporting more than one semiconductor substrate

Abstract

To provide a method of optimizing various processes in a spatial ALD process chamber.SOLUTION: Apparatus and methods to process one or more wafers are described. A spatial deposition tool includes a plurality of substrate support surfaces on a substrate support assembly and a plurality of spatially separated and isolated processing stations. The spatially separated and isolated processing stations have independently controlled temperature, processing gas types, and gas flows. In some embodiments, the processing gases on one or more processing stations are activated using plasma sources. The operation of a spatial tool includes rotating the substrate assembly in a first direction, rotating the substrate assembly in a second direction, and repeating the rotations in the first direction and the second direction until a predetermined thickness is deposited on the substrate surfaces.SELECTED DRAWING: Figure 23

Description

本開示は概して、薄膜を堆積させるための装置及びウエハを処理するための方法に関する。特に、本開示は、複数の可動加熱ウエハ支持体及び空間的に分離された処理ステーション、並びに空間的に分離され隔離された処理ステーションを有する処理チャンバに関する。 The present disclosure relates generally to apparatus for depositing thin films and methods for processing wafers. More particularly, the present disclosure relates to a plurality of movable heated wafer supports and spatially separated processing stations, and processing chambers having spatially separated and isolated processing stations.

現在の原子層堆積(ALD)プロセスは、多くの潜在的な問題及び困難を有する。多くのALD化学物質(例えば、前駆体及び反応物質)は「非相溶性」であり、これは、化学物質が一緒に混合できないことを意味する。非相溶性の化学物質が混合すると、ALDプロセスではなく化学気相堆積(CVD)プロセスが生じる可能性がある。CVDプロセスは概して、ALDプロセスよりも厚さの制御が少なく、及び/又は気相粒子の生成を引き起こす可能性があり、結果として得られるデバイスに欠陥を引き起こす可能性がある。一度に単一の反応性ガスが処理チャンバに流入する従来の時間領域ALDプロセスでは、長いパージ/ポンプアウト時間が発生するため、化学物質は気相で混合されない。空間ALDチャンバは、一つ又は複数のウエハを一つの環境から第2の環境に、時間領域のALDチャンバがポンプ/パージするよりも速く移動できるため、スループットが向上する。 Current atomic layer deposition (ALD) processes have many potential problems and difficulties. Many ALD chemicals (eg, precursors and reactants) are "incompatible," meaning that the chemicals cannot be mixed together. Mixing of incompatible chemicals can result in a chemical vapor deposition (CVD) process rather than an ALD process. CVD processes generally have less thickness control than ALD processes and/or can cause gas phase particle generation, which can cause defects in the resulting device. Conventional time-domain ALD processes, in which a single reactive gas flows into the processing chamber at a time, result in long purge/pump-out times so that the chemicals are not mixed in the vapor phase. A spatial ALD chamber can move one or more wafers from one environment to a second environment faster than a time domain ALD chamber can pump/purge, thus increasing throughput.

半導体産業は、より低い温度(例えば、350℃未満)で堆積できる高品質の膜を必要としている。熱のみのプロセスで膜が堆積される温度よりも低い温度で高品質の膜を堆積するには、代替エネルギー源が必要である。ソリューションプラズマは、ALD膜にイオン及びラジカルの形で追加のエネルギーを提供するのに使用することができる。課題は、垂直側壁ALD膜に十分なエネルギーを与えることである。イオンは通常、ウエハ表面に垂直な方向にウエハ表面の上方のシースを通して加速される。したがって、イオンは水平ALD膜表面にエネルギーを提供するが、イオンは垂直面に平行に移動するため、垂直面に十分な量のエネルギーを提供しない。 The semiconductor industry needs high quality films that can be deposited at lower temperatures (eg, less than 350° C.). Alternative energy sources are needed to deposit high quality films at temperatures lower than those at which films are deposited with thermal-only processes. Solution plasmas can be used to provide additional energy in the form of ions and radicals to ALD films. The challenge is to provide sufficient energy to the vertical sidewall ALD film. Ions are typically accelerated through a sheath above the wafer surface in a direction normal to the wafer surface. Thus, ions provide energy to horizontal ALD film surfaces, but do not provide a significant amount of energy to vertical planes because the ions travel parallel to the vertical planes.

いくつかの処理チャンバは、容量結合プラズマ(CCP)を包含する。CCPは上部電極とウエハとの間に作成され、これは一般的にCCP平行プレートプラズマとして知られている。CCP平行プレートプラズマは、二つのシース(sheeths)にわたって非常に高いイオンエネルギーを生成するため、垂直な側壁表面上では非常に働きが悪い。より低いエネルギーとより広い角度分布を有する高ラジカルフラックス及びイオンフラックスを作成するために最適化された環境にウエハを空間的に移動することにより、より優れた垂直ALD膜特性が達成され得る。そのようなプラズマ源には、マイクロ波、誘導結合プラズマ(ICP)、又は第3の電極を有するより高周波のCCPソリューションが含まれる(すなわち、プラズマは、ウエハの上方の二つの電極間で、ウエハを主電極として使用せずに作成される)。 Some processing chambers contain a capacitively coupled plasma (CCP). A CCP is created between the top electrode and the wafer, commonly known as a CCP parallel plate plasma. CCP parallel plate plasmas work very poorly on vertical sidewall surfaces because they produce very high ion energies across the two sheaths. By spatially moving the wafer to an environment optimized to create high radical and ion fluxes with lower energies and wider angular distributions, better vertical ALD film properties can be achieved. Such plasma sources include microwave, inductively coupled plasma (ICP), or higher frequency CCP solutions with a third electrode (i.e., the plasma is generated between two electrodes above the wafer and as the main electrode).

現在の空間ALD処理チャンバは、加熱された円形プラテン上で複数のウエハを一定速度で回転させ、ウエハを一つの処理環境から隣接する環境に移動させる。異なる処理環境は、非相溶性の気体を分離させる。ただし、現在の空間ALD処理チャンバでは、プラズマ環境をプラズマ曝露用に最適化することができないため、不均一性、プラズマ損傷及び/又は処理の柔軟性の問題が生じる。 Current spatial ALD processing chambers rotate multiple wafers on a heated circular platen at a constant speed to move the wafers from one processing environment to an adjacent environment. Different processing environments cause incompatible gases to separate. However, in current spatial ALD processing chambers, the plasma environment cannot be optimized for plasma exposure, resulting in problems of non-uniformity, plasma damage and/or processing flexibility.

例えば、処理ガスはウエハ表面全体に流れる。ウエハはオフセット軸を中心に回転しているため、ウエハの前縁と後縁の流れの流線は異なる。さらに、ウエハの内径エッジと外径エッジとの間にも、内縁での速度が遅く、外縁での速度が速いことによる流れの差がある。これらの流れの不均一性は最適化することができるが、排除することはできない。ウエハを不均一なプラズマに曝露すると、プラズマによる損傷が生じる可能性がある。これらの空間処理チャンバの一定速度の回転は、ウエハがプラズマに出入りすることを必要とするため、ウエハの一部はプラズマに曝露されるのに対して他の領域はプラズマの外側にある。さらに、一定の回転速度により、空間処理チャンバでの曝露時間を変更することは困難である可能性がある。一例として、処理にはガスAへの0.5秒間の曝露と、それに続く1.5秒間のプラズマ処理が使用される。ツールは一定の回転速度で動作するため、これを行う唯一の方法は、プラズマ環境をガスA投与環境の3倍にすることである。ガスAの時間とプラズマの時間が等しい別のプロセスを実行する場合は、ハードウェアを変更する必要がある。現在の空間ALDチャンバは、回転速度を遅くするか又は速くすることしかできないが、より小さな領域又はより大きな領域のチャンバハードウェアを変更せずに、工程間の時間差を調整することはできない。 For example, the process gas flows over the wafer surface. Because the wafer is rotated about an offset axis, the flow streamlines at the leading and trailing edges of the wafer are different. In addition, there is also a flow difference between the inner and outer edges of the wafer due to lower velocity at the inner edge and higher velocity at the outer edge. These flow non-uniformities can be optimized, but not eliminated. Plasma damage can occur when the wafer is exposed to non-uniform plasma. The constant speed rotation of these spatial processing chambers requires the wafer to move in and out of the plasma so that part of the wafer is exposed to the plasma while other areas are outside the plasma. Furthermore, due to the constant rotation speed, it can be difficult to change the exposure time in the spatial processing chamber. As an example, the treatment uses a 0.5 second exposure to Gas A followed by a 1.5 second plasma treatment. Since the tool operates at a constant rotational speed, the only way to do this is to triple the plasma environment to the Gas A dosing environment. If you want to run a different process with gas A time equal to plasma time, you need to change the hardware. Current spatial ALD chambers can only slow down or speed up the rotation, but cannot adjust the time difference between steps without changing the chamber hardware for smaller or larger areas.

単一のウエハチャンバをシミュレートする処理ステーション中でウエハが静止しているときに一次堆積工程が発生する現在の空間ALD堆積ツール(又は他の空間処理チャンバ)では、動作方法は、多くの場合、ウエハを同じステーションタイプの複数に移動させることを含み、ウエハの異なる部分がさまざまな環境に曝露されるため、ウエハの前縁と後縁に違いをもたらす。したがって、この技術分野では、堆積装置及び方法の改善が必要とされている。 In current spatial ALD deposition tools (or other spatial processing chambers) where the primary deposition step occurs while the wafer is stationary in a processing station simulating a single wafer chamber, the method of operation is often , involves moving the wafer to multiple of the same station type, resulting in different leading and trailing edges of the wafer as different portions of the wafer are exposed to different environments. Accordingly, there is a need in the art for improved deposition apparatus and methods.

本開示の一又は複数の実施態様は、処理チャンバを操作する方法に関する。一又は複数の実施態様では、方法は、xの数の空間的に分離され隔離された処理ステーションを含む処理チャンバを提供することであって、処理チャンバが処理チャンバ温度を有し、各処理ステーションが独立して処理ステーション温度を有し、処理チャンバ温度が処理ステーション温度とは異なる、xの数の空間的に分離された処理ステーションを提供することと;xの数の空間的に分離され隔離された処理ステーションに位置合わせされた複数の基板支持表面を有する基板支持アセンブリを、各基板支持表面が隣接する基板支持表面へ第1の方向に(360/x)度回転するよう、(rx-1)回回転させることであって、rが1以上の整数である、基板支持アセンブリを回転させることと;基板支持アセンブリを、各基板支持表面が隣接する基板支持表面へ第2の方向に(360/x)度回転するよう、(rx-1)回回転させることと;を含む。 One or more embodiments of the present disclosure relate to a method of operating a processing chamber. In one or more embodiments, a method is to provide a processing chamber including x number of spatially separated and isolated processing stations, the processing chamber having a processing chamber temperature, each processing station independently have process station temperatures, wherein the process chamber temperature is different from the process station temperature; x number of spatially separated and isolated process stations; rotate a substrate support assembly having a plurality of substrate support surfaces aligned with the aligned processing station (rx− 1) rotating the substrate support assembly, wherein r is an integer greater than or equal to 1; and rotating (rx−1) times to rotate 360/x) degrees.

一又は複数の実施態様では、少なくとも二つの異なる処理ステーションを有する処理チャンバ、第1の基板支持表面、第2の基板支持表面、第3の基板支持表面、及び第4の基板支持表面を含む基板支持アセンブリを提供することであって、各基板支持表面が処理ステーションに位置合わせされた初期位置にある、少なくとも二つの異なる処理ステーションを有する処理チャンバ、基板支持アセンブリを提供することと;第1の基板支持表面上の第1のウエハを第1の処理条件に曝露することと;基板支持アセンブリを第1の方向に回転させて、第1のウエハを第2の基板支持表面の初期位置に移動させることと;第1のウエハを第2の処理条件に曝露することと;基板支持アセンブリを第1の方向に回転させて、第1のウエハを第3の基板支持表面の初期位置に移動させることと;第1のウエハを第3の処理条件に曝露することと;基板支持アセンブリを第1の方向に回転させて、第1のウエハを第4の基板支持表面の初期位置に移動させることと;第1のウエハを第4の処理条件に曝露することと;基板支持アセンブリを第2の方向に回転させて、第1のウエハを第3の基板支持表面の初期位置に移動させることと;第1のウエハを第3の処理条件に曝露することと;基板支持アセンブリを第2の方向に回転させて、第1のウエハを第2の基板支持表面の初期位置に移動させることと;第1のウエハを第2の処理条件に曝露することと;基板支持アセンブリを第2の方向に回転させて、第1のウエハを第1の基板支持表面の初期位置に移動させることと;第1のウエハを第1の処理条件に曝露することと;を含む。 In one or more embodiments, a substrate comprising a processing chamber having at least two different processing stations, a first substrate supporting surface, a second substrate supporting surface, a third substrate supporting surface, and a fourth substrate supporting surface providing a support assembly, a processing chamber having at least two different processing stations with each substrate support surface in an initial position aligned with a processing station, the substrate support assembly; exposing a first wafer on a substrate support surface to a first process condition; rotating the substrate support assembly in a first direction to move the first wafer to an initial position on a second substrate support surface. exposing the first wafer to a second process condition; rotating the substrate support assembly in the first direction to move the first wafer to an initial position on the third substrate support surface. exposing the first wafer to a third process condition; rotating the substrate support assembly in the first direction to move the first wafer to an initial position on the fourth substrate support surface. exposing the first wafer to a fourth process condition; rotating the substrate support assembly in a second direction to move the first wafer to an initial position on the third substrate support surface; exposing the first wafer to a third process condition; rotating the substrate support assembly in a second direction to move the first wafer to an initial position on the second substrate support surface; exposing the first wafer to a second processing condition; rotating the substrate support assembly in a second direction to move the first wafer to an initial position on the first substrate support surface; and exposing the one wafer to a first processing condition.

本開示の追加的な実施態様は、膜を形成する方法に関する。一又は複数の実施態様では、膜を形成する方法は、少なくとも一つのウエハを基板支持アセンブリ中のxの数の基板支持表面上にロードすることであって、基板支持表面のそれぞれが空間的に分離され隔離された処理ステーションに位置合わせされた、少なくとも一つのウエハを基板支持アセンブリ中のxの数の基板支持表面上にロードすることと;各基板支持表面が隣接する基板支持表面へ(360/x)度回転するよう、基板支持アセンブリを第1の方向に(rx-1)回回転させることであって、rが1以上の整数である、基板支持アセンブリを第1の方向に(rx-1)回回転させることと;各基板支持表面が隣接する基板支持表面へ(360/x)度回転するよう、基板支持アセンブリを第2の方向に(rx-1)回回転させることと;各処理ステーションで、少なくとも一つのウエハの上面を処理条件に曝露して、実質的に均一な厚さを有する膜を形成することと;を含む。 Additional embodiments of the present disclosure relate to methods of forming membranes. In one or more embodiments, a method of forming a film includes loading at least one wafer onto x number of substrate supporting surfaces in a substrate support assembly, each of the substrate supporting surfaces being spatially loading at least one wafer onto the x number of substrate supporting surfaces in the substrate support assembly, each aligned to a separate and isolated processing station; each substrate supporting surface onto an adjacent substrate supporting surface (360 /x) degrees in a first direction, wherein r is an integer greater than or equal to 1, rotating the substrate support assembly in the first direction (rx rotating the substrate support assembly (rx-1) times in a second direction such that each substrate supporting surface rotates (360/x) degrees to the adjacent substrate supporting surface; exposing the top surface of at least one wafer to process conditions at each processing station to form a film having a substantially uniform thickness.

本開示の一又は複数の実施態様は、処理チャンバを操作する方法に関する。一又は複数の実施態様では、方法は、xの数の空間的に分離され隔離された処理ステーションを含む処理チャンバを提供することであって、処理チャンバが処理チャンバ温度を有し、各処理ステーションが独立して処理ステーション温度を有し、処理チャンバ温度が処理ステーション温度とは異なる、xの数の空間的に分離され隔離された処理ステーションを提供することと;xの数の空間的に分離され隔離された処理ステーションに位置合わせされた複数の基板支持表面を有する基板支持アセンブリを、各基板支持表面が隣接する基板支持表面へ第1の方向に(360/x)度回転するよう、rx回回転させることであって、rが1以上の整数である、基板支持アセンブリを回転させることと;基板支持アセンブリを、各基板支持表面が隣接する基板支持表面へ第2の方向に(360/x)度回転するよう、rx回回転させることと;を含む。 One or more embodiments of the present disclosure relate to a method of operating a processing chamber. In one or more embodiments, a method is to provide a processing chamber including x number of spatially separated and isolated processing stations, the processing chamber having a processing chamber temperature, each processing station independently have process station temperatures, wherein the process chamber temperature differs from the process station temperature; x number of spatially separated and isolated process stations; rx a substrate support assembly having a plurality of substrate support surfaces aligned with the isolated and isolated processing stations such that each substrate support surface rotates (360/x) degrees in a first direction to an adjacent substrate support surface; rotating the substrate support assembly, wherein r is an integer greater than or equal to 1; rotating the substrate support assembly in a second direction (360/ x) rotating rx times to rotate by degrees;

本開示の追加的な実施態様は、処理チャンバを操作する方法に関する。一又は複数の実施態様では、方法は、xの数の空間的に分離され隔離された処理ステーションを含む処理チャンバであって、処理チャンバが処理チャンバ温度を有し、各処理ステーションが独立して処理ステーション温度を有し、処理チャンバ温度が処理ステーション温度とは異なる、処理チャンバを提供することと;xの数の空間的に分離され隔離された処理ステーションに位置合わせされた複数の基板支持表面を有する基板支持アセンブリを隣接する基板支持表面へ第1の方向に(360/x)度回転させることと;基板支持アセンブリを隣接する基板表面へ第2の方向に(360/x)度回転させることであって、第1の方向及び第2の方向における回転がn回繰り返され、nが1以上の整数である、基板支持アセンブリを隣接する基板表面へ第2の方向に(360/x)度回転させることと;基板支持アセンブリを第1の方向に(360/x)度2回回転させることと;基板支持アセンブリを第1の方向に(360/x)度回転させ、その後基板支持アセンブリを第2の方向に(360/x)度回転させることであって、第1の方向及び第2の方向における回転がm回繰り返され、mが1以上の整数である、基板支持アセンブリを第1の方向に(360/x)度回転させ、その後基板支持アセンブリを第2の方向に(360/x)度回転させることと;基板支持アセンブリを第2の方向に(360/x)度回転させることと;を含む。 Additional embodiments of the present disclosure relate to methods of operating a processing chamber. In one or more embodiments, a method includes a processing chamber including x number of spatially separated and isolated processing stations, the processing chamber having a processing chamber temperature, each processing station independently providing a process chamber having a process station temperature, the process chamber temperature being different than the process station temperature; and a plurality of substrate support surfaces aligned with x number of spatially separated and isolated process stations. into an adjacent substrate support surface in a first direction (360/x) degrees; and rotating the substrate support assembly into an adjacent substrate surface (360/x) degrees in a second direction. wherein the rotation in the first direction and the second direction is repeated n times, wherein n is an integer greater than or equal to 1, in the second direction (360/x) to the adjacent substrate surface; rotating the substrate support assembly twice (360/x) degrees in the first direction; rotating the substrate support assembly (360/x) degrees in the first direction, and then rotating the substrate support assembly. in a second direction by (360/x) degrees, wherein the rotation in the first direction and the second direction is repeated m times, where m is an integer greater than or equal to 1, to rotate the substrate support assembly to a second rotating (360/x) degrees in one direction and then rotating the substrate support assembly (360/x) degrees in a second direction; and rotating the substrate support assembly (360/x) degrees in the second direction. and;

本開示の上述の特徴を詳細に理解し得るように、上記で簡単に要約された本開示のより具体的な説明が、実施態様を参照することによって得られ、一部の実施態様は、添付の図面に例示されている。しかし、添付の図面は本開示の典型的な実施態様のみを示すものであり、従って、本開示の範囲を限定するものと見做されず、本開示が他の等しく有効な実施態様も許容し得ることに留意されたい。 So that the above-mentioned features of the disclosure may be understood in detail, a more particular description of the disclosure, briefly summarized above, can be had by reference to the embodiments, some of which are included in the accompanying are illustrated in the drawings. However, the accompanying drawings depict only typical embodiments of the disclosure and are therefore not to be considered as limiting the scope of the disclosure as the disclosure permits other equally effective embodiments. Note that we get

本開示の一又は複数の実施態様による処理チャンバの断面等角図を示す。1 illustrates a cross-sectional isometric view of a processing chamber according to one or more embodiments of the present disclosure; FIG. 本開示の一又は複数の実施態様による処理チャンバの断面図を示す。1 illustrates a cross-sectional view of a processing chamber according to one or more embodiments of the present disclosure; FIG. 本開示の一又は複数の実施態様による支持アセンブリの底部平行投影図を示す。FIG. 10B illustrates a bottom orthographic view of a support assembly according to one or more embodiments of the present disclosure; 本開示の一又は複数の実施態様による支持アセンブリの上部平行投影図を示す。FIG. 10 illustrates a top orthographic view of a support assembly according to one or more embodiments of the present disclosure; 本開示の一又は複数の実施態様による支持アセンブリの上部平行投影図を示す。FIG. 10 illustrates a top orthographic view of a support assembly according to one or more embodiments of the present disclosure; 本開示の一又は複数の実施態様による支持アセンブリの側方断面図を示す。FIG. 11 illustrates a side cross-sectional view of a support assembly according to one or more implementations of the present disclosure; 本開示の一又は複数の実施態様による支持アセンブリの部分側方断面図を示す。FIG. 12A shows a partial side cross-sectional view of a support assembly according to one or more implementations of the present disclosure; 本開示の一又は複数の実施態様による支持アセンブリの部分側方断面図を示す。FIG. 12A shows a partial side cross-sectional view of a support assembly according to one or more implementations of the present disclosure; 本開示の一又は複数の実施態様による支持アセンブリの部分側方断面図である。FIG. 12 is a partial side cross-sectional view of a support assembly according to one or more implementations of the present disclosure; 本開示の一又は複数の実施態様による支持プレートの上部等角図である。FIG. 10 is a top isometric view of a support plate in accordance with one or more implementations of the present disclosure; 10B-10B’のラインに沿って取られた10Aの支持プレートの側方断面図である。FIG. 10A is a side cross-sectional view of the support plate of 10A taken along line 10B-10B'; 本開示の一又は複数の実施態様による支持プレートの底部等角図である。FIG. 10 is a bottom isometric view of a support plate in accordance with one or more implementations of the present disclosure; 11B-11B’のラインに沿って取られた11Aの支持プレートの側方断面図である。11B is a side cross-sectional view of the support plate of 11A taken along line 11B-11B'; FIG. 本開示の一又は複数の実施態様による支持プレートの底部等角図である。FIG. 10 is a bottom isometric view of a support plate in accordance with one or more implementations of the present disclosure; 12B-12B’のラインに沿って取られた12Aの支持プレートの側方断面図である。Figure 12B is a side cross-sectional view of the support plate of 12A taken along line 12B-12B'; 本開示の一又は複数の実施態様による処理チャンバの上部プレートの断面等角図である。FIG. 4 is a cross-sectional isometric view of a top plate of a processing chamber according to one or more embodiments of the present disclosure; 本開示の一又は複数の実施態様による処理ステーションの分解断面図である。2 is an exploded cross-sectional view of a processing station in accordance with one or more implementations of the present disclosure; FIG. 本開示の一又は複数の実施態様による処理チャンバの上部プレートの概略的な側方断面側図である。FIG. 4A is a schematic side cross-sectional side view of a top plate of a processing chamber in accordance with one or more embodiments of the present disclosure; 本開示の一又は複数の実施態様による処理チャンバ中の処理ステーションの部分的な側方断面図である。FIG. 3A is a partial cross-sectional side view of a processing station in a processing chamber according to one or more embodiments of the present disclosure; 本開示の一又は複数の実施態様による処理プラットフォームの概略図である。1 is a schematic diagram of a processing platform in accordance with one or more implementations of the present disclosure; FIG. 本開示の一又は複数の実施態様による処理チャンバ中の処理ステーション構成の概略図を示す。1 depicts a schematic diagram of a processing station configuration in a processing chamber according to one or more embodiments of the present disclosure; FIG. 本開示の一又は複数の実施態様によるプロセスの概略図を示す。1 shows a schematic diagram of a process according to one or more embodiments of the present disclosure; FIG. 本開示の一又は複数の実施態様による支持アセンブリの概略断面図を示す。FIG. 4A shows a schematic cross-sectional view of a support assembly according to one or more embodiments of the present disclosure; 本明細書に記載される実施態様による薄膜を形成する方法の一実施態様のフロープロセス図を示す。FIG. 2 shows a flow process diagram of one embodiment of a method of forming a thin film according to embodiments described herein. 本開示の一又は複数の実施態様による処理チャンバ及びプロセスフローの概略図を示す。1 illustrates a schematic diagram of a processing chamber and process flow according to one or more embodiments of the present disclosure; FIG. 本明細書に記載される実施態様による薄膜を形成する方法の一実施態様のフロープロセス図を示す。FIG. 2 shows a flow process diagram of one embodiment of a method of forming a thin film according to embodiments described herein. 本開示の一又は複数の実施態様による処理チャンバ及びプロセスフローの概略図を示す。1 illustrates a schematic diagram of a processing chamber and process flow according to one or more embodiments of the present disclosure; FIG. 本明細書に記載される実施態様による薄膜を形成する方法の一実施態様のフロープロセス図を示す。FIG. 2 shows a flow process diagram of one embodiment of a method of forming a thin film according to embodiments described herein. 本開示の一又は複数の実施態様による処理チャンバ及びプロセスフローの概略図を示す。1 illustrates a schematic diagram of a processing chamber and process flow according to one or more embodiments of the present disclosure; FIG.

本開示のいくつかの例示的な実施態様を説明する前に、本開示が以下の説明で提示される構成又は処理工程の詳細に限定されないことを理解されたい。本開示は、他の実施態様も可能であり、様々な方法で実施又は実行することができる。 Before describing several exemplary embodiments of the present disclosure, it is to be understood that the present disclosure is not limited to the details of construction or processing steps set forth in the following description. This disclosure is capable of other embodiments and of being practiced or of being carried out in various ways.

本書で使用する「基板」とは、製造プロセス中に膜処理が実行される任意の基板又は基板上に形成された材料表面のことを指す。例えば、処理が実行され得る基板表面には、用途に応じて、シリコン、酸化シリコン、ストレインドシリコン、シリコン・オン・インシュレータ(SOI)、炭素がドープされた酸化シリコン、アモルファスシリコン、ドープされたシリコン、ゲルマニウム、ヒ化ガリウム、ガラス、サファイアなどの材料、並びに金属、金属窒化物、金属合金、及びその他の導電材料などの任意の他の材料が含まれる。基板は、半導体ウエハを含むが、それに限定されるわけではない。基板表面を研磨し、エッチングし、還元し、酸化させ、ヒドロキシル化し、アニールし、かつ/又はベイクするために、基板は前処理プロセスに曝露されることがある。本開示では、基板自体の表面に直接的に膜処理を行うことに加えて、開示されている膜処理ステップのうちの任意のものが、より詳細に後述するように、基板に形成された下部層に実施されることもある。「基板表面(substrate surface)」という語は、文脈に示唆されるこのような下部層を含むことを意図している。従って、例えば、膜/層又は部分的な膜/層が基板表面に堆積している場合には、新たに堆積した膜/層の露出面が基板表面となる。 As used herein, "substrate" refers to any substrate or material surface formed on a substrate on which film processing is performed during a manufacturing process. For example, substrate surfaces on which processing may be performed include silicon, silicon oxide, strained silicon, silicon-on-insulator (SOI), carbon-doped silicon oxide, amorphous silicon, doped silicon, depending on the application. , germanium, gallium arsenide, glass, sapphire, and any other materials such as metals, metal nitrides, metal alloys, and other conductive materials. Substrates include, but are not limited to, semiconductor wafers. The substrate may be exposed to pretreatment processes to polish, etch, reduce, oxidize, hydroxylate, anneal, and/or bake the substrate surface. In the present disclosure, in addition to performing film processing directly on the surface of the substrate itself, any of the disclosed film processing steps can be performed on an underlayer formed on the substrate, as described in more detail below. It may also be implemented in layers. The term "substrate surface" is intended to include such underlying layers as the context suggests. Thus, for example, if a film/layer or partial film/layer is deposited on the substrate surface, the exposed surface of the newly deposited film/layer is the substrate surface.

本明細書及び添付の特許請求の範囲で使用する「前駆体」、「反応物質」、「反応性ガス」などの用語は、交換可能に使用され、基板表面と、又は基板表面上に形成された膜と反応し得る任意のガス種を指す。 As used herein and in the appended claims, the terms "precursor," "reactant," "reactive gas," and the like are used interchangeably to describe a material formed with or on the substrate surface. It refers to any gas species that can react with the membrane.

本開示の一又は複数の実施態様は、2以上の処理環境間で空間分離を使用する。いくつかの実施態様は、非相溶性の気体の分離を維持するための装置及び方法を有利に提供する。いくつかの実施態様は、最適化可能なプラズマ処理を含む装置及び方法を有利に提供する。いくつかの実施態様は、差別化された熱投与環境、差別化されたプラズマ処理環境及びその他の環境を可能にする装置及び方法を有利に提供する。 One or more implementations of the present disclosure employ spatial separation between two or more processing environments. Some embodiments advantageously provide apparatus and methods for maintaining separation of incompatible gases. Some embodiments advantageously provide apparatus and methods involving optimizable plasma processing. Some embodiments advantageously provide apparatus and methods that enable differentiated heat delivery environments, differentiated plasma processing environments, and other environments.

本開示の一又は複数の実施態様は、処理ステーションとも呼ばれる四つの空間的に分離された処理環境を有する処理チャンバに関する。ある実施態様は4を超える処理ステーションを有し、またある実施態様は4未満の処理ステーションを有する。処理環境は、水平面内を移動するウエハと同一平面上に取り付けることができる。処理環境は円形に配置されている。上に1から4(又はそれ以上)の個別のウエハヒータが取り付けられている回転可能な構造体は、処理環境に類似する直径を有する円形経路でウエハを移動させる。各ヒータは、温度制御される場合があり、一又は複数の同心円帯を有する場合がある。ウエハのローディングについて、回転可能な構造体は引き下げられ得るため、真空ロボットは、完成したウエハを拾い上げ、未処理のウエハを各ウエハヒータ上(低いZ位置)に位置するリフトピンに配置することが可能である。操作中、各ウエハは、処理が完了するまで独立した環境下にあることができ、その後、回転可能な構造体は、ヒータ上のウエハを処理のために次の環境(4つのステーションの場合は90°回転、3つのステーションの場合は120°回転)へ移動させるよう回転することができる。 One or more embodiments of the present disclosure relate to a processing chamber having four spatially separated processing environments, also called processing stations. Some embodiments have more than four processing stations and some embodiments have less than four processing stations. The processing environment can be mounted flush with the wafer moving in a horizontal plane. The processing environment is arranged in a circle. A rotatable structure, on which one to four (or more) individual wafer heaters are mounted, moves the wafer in a circular path with a diameter similar to the processing environment. Each heater may be temperature controlled and may have one or more concentric bands. For wafer loading, the rotatable structure can be lowered so that the vacuum robot can pick up the finished wafer and place the unprocessed wafer on the lift pins located above each wafer heater (low Z position). be. During operation, each wafer can be in an independent environment until processing is complete, after which the rotatable structure moves the wafer on the heater to the next environment (for four stations: 90° rotation, 120° rotation for three stations).

本開示のいくつかの実施態様は、非相溶性のガスでのALDの空間分離を有利に提供する。いくつかの実施態様は、従来の時間領域又は空間処理チャンバよりも高いスループット及びツール資源利用を可能にする。各処理環境は異なる圧力で動作し得る。ヒータ回転はZ方向の動きを有し、各ヒータはチャンバ中に密閉され得る。 Some embodiments of the present disclosure advantageously provide spatial separation for ALD with incompatible gases. Some embodiments enable higher throughput and tool resource utilization than conventional time-domain or spatial processing chambers. Each processing environment may operate at different pressures. Heater rotation may have motion in the Z direction, and each heater may be enclosed in a chamber.

いくつかの実施態様は、マイクロ波、ICP、平行プレートCCP又は3電極CCPのうちの一又は複数を含むことができるプラズマ環境を有利に提供する。ウエハ全体をプラズマに浸漬することができ、ウエハ全体の不均一なプラズマによるプラズマ損傷を排除する。 Some embodiments advantageously provide a plasma environment that can include one or more of microwave, ICP, parallel plate CCP or 3-electrode CCP. The entire wafer can be immersed in the plasma, eliminating plasma damage due to uneven plasma across the wafer.

いくつかの実施態様では、シャワーヘッドとウエハとの間の小さな間隙を使用して、投与ガスの利用率及びサイクル時間の速度を上げることができる。正確なシャワーヘッド温度制御及び高い動作範囲(最大230℃)。理論に縛られることを意図するものではないが、シャワーヘッド温度がウエハ温度に近いほど、ウエハ温度の均一性は良好である。 In some implementations, a small gap between the showerhead and the wafer can be used to speed up the dosing gas utilization and cycle time. Accurate showerhead temperature control and high operating range (up to 230°C). While not intending to be bound by theory, the closer the showerhead temperature is to the wafer temperature, the better the wafer temperature uniformity.

シャワーヘッドは、小さなガス孔(<200μm)、多数のガス孔(数千から1,000万を超える)、及び速度を上げるために少ない分布量を使用してシャワーヘッド内部に再帰的に供給されるガス分布を含み得る。サイズが小さく数の多いガス孔がレーザードリル又はドライエッチングにより作成され得る。ウエハがシャワーヘッドに近いとき、垂直孔を通ってウエハへ向かうガスから発生する乱流がある。いくつかの実施態様は、互いに近接して配置された多数の孔を使用して、低速のガスがシャワーヘッドを通ることを可能にし、ウエハ表面への均一な分布を実現する。 The showerhead is fed recursively inside the showerhead using small gas holes (<200 μm), large numbers of gas holes (thousands to over 10 million), and small distribution volumes to increase speed. gas distribution. Small size and large number of gas holes can be made by laser drilling or dry etching. When the wafer is close to the showerhead, there is turbulence generated from the gas going through the vertical holes to the wafer. Some embodiments use multiple holes placed close together to allow low velocity gas to pass through the showerhead and achieve uniform distribution over the wafer surface.

いくつかの実施態様は、単一ツールで複数の空間的に分離された処理ステーション(チャンバ)を使用する集積処理プラットフォームに関する。処理プラットフォームは、異なる処理を実施することができるさまざまなチャンバを有し得る。 Some embodiments relate to an integrated processing platform that uses multiple spatially separated processing stations (chambers) in a single tool. A processing platform may have various chambers in which different processes can be performed.

本開示のいくつかの実施態様は、ウエハヒータに取り付けられたウエハを一つの環境から別の環境へ移動させるための装置及び方法に関する。高速移動は、ウエハをヒータへ静電チャック(又はクランプ)することにより可能にされ得る。ウエハの移動は、直線的又は円形の動きであり得る。 Some embodiments of the present disclosure relate to apparatus and methods for moving wafers attached to wafer heaters from one environment to another. High speed movement can be enabled by electrostatic chucking (or clamping) the wafer to the heater. Wafer movement can be linear or circular movement.

本開示の一部の実施態様は、一又は複数の基板を処理する方法に関する。例には、限定されないが、1つのヒータ上の1つのウエハを、空間的に分離された複数の異なる連続環境へ動かすことと;2つのウエハヒータ上の2つのウエハを3つの環境(同じ2つの環境と2つの類似した環境間の1つの異なる環境)へ動かすことと;ウエハ1が環境Aに、その後Bに遭遇し、繰り返し、その一方で、ウエハ2がBに、その後Aに遭遇し、繰り返すことと;2つのウエハを2つの第1の環境及び2つの第2の環境へ動かし、ここで両方のウエハが同時に同じ環境に遭遇する(すなわち、両方のウエハがAにあり、その後両方がBへ移る)ことと;4つのウエハを2つのA環境及び二つのB環境で処理することと;2つのウエハをA環境で処理する一方で他の2つのウエハをB環境で処理することと;が含まれる。いくつかの実施態様では、ウエハは環境A及び環境Bに繰り返し曝露され、その後、同じチャンバ中に位置する第3の環境に曝露される。 Some embodiments of the present disclosure relate to methods of processing one or more substrates. Examples include, but are not limited to, moving one wafer on one heater to different spatially separated successive environments; moving two wafers on two wafer heaters to three environments (the same two environment and one different environment between two similar environments); wafer 1 encounters environment A and then B, repeatedly while wafer 2 encounters B and then A; and; moving two wafers into two first environments and two second environments, where both wafers encounter the same environment at the same time (i.e., both wafers are in A, then both B); processing four wafers in two A environments and two B environments; processing two wafers in the A environment while processing the other two wafers in the B environment. ; is included. In some implementations, the wafer is repeatedly exposed to environment A and environment B, and then exposed to a third environment located in the same chamber.

いくつかの実施態様では、ウエハは複数の処理チャンバを通過する。そこでは、チャンバの少なくとも一つが同じチャンバ内の複数の空間的に分離された環境を用いて連続処理を行う。 In some implementations, the wafer passes through multiple processing chambers. There, at least one of the chambers performs sequential processing using multiple spatially separated environments within the same chamber.

いくつかの実施態様は、環境の圧力が著しく異なる(例えば、一つが<100mTで別のものが>3T)、同じチャンバ内の空間的に分離された処理環境を有する装置に関する。いくつかの実施態様では、ヒータ回転ロボットはz軸中を移動して、各ウエハ/ヒータを空間的に分離された環境中に密閉する。 Some embodiments relate to apparatus having spatially separated processing environments within the same chamber where the pressures of the environments are significantly different (eg, one <100 mT and another >3 T). In some implementations, the heater rotation robot moves in the z-axis to enclose each wafer/heater in a spatially separated environment.

いくつかの実施態様は、チャンバリッドの中央に上向きに力を加える垂直構造部材を有するチャンバの上部に構築された構造を含み、上面の大気圧と反対側の真空によって引き起こされる偏向を排除する。上記の構造の力の大きさは、上部プレートの偏向に基づいて機械的に調整することができる。力の調整は、フィードバック回路及び力トランスデューサを使用して自動的に、又は、例えば、オペレータが回すことのできるねじを使用して手動で行うことができる。 Some embodiments include a structure built on top of the chamber with a vertical structural member that exerts an upward force on the center of the chamber lid, eliminating deflection caused by atmospheric pressure on the top surface and the vacuum on the opposite side. The force magnitude of the above structure can be mechanically adjusted based on the deflection of the top plate. Force adjustments can be made automatically using a feedback circuit and force transducer, or manually using, for example, a screw that can be turned by the operator.

本開示の一又は複数の実施態様は、処理ステーションとも呼ばれる少なくとも二つの空間的に分離された処理環境を有する処理チャンバに関する。ある実施態様は2を超える処理ステーションを有し、またある実施態様は4を超える処理ステーションを有する。処理環境は、水平面内を移動するウエハと同一平面上に取り付けることができる。処理環境は円形に配置されている。上に1から4(又はそれ以上)の個別のウエハヒータが取り付けられている回転可能な構造体は、処理環境に類似する直径を有する円形経路でウエハを移動させる。各ヒータは、温度制御される場合があり、一又は複数の同心円帯を有する場合がある。ウエハのローディングについて、回転可能な構造体は引き下げられ得るため、真空ロボットは、完成したウエハを拾い上げ、未処理のウエハを各ウエハヒータ上(低いZ位置)に位置するリフトピンに配置することが可能である。操作中、各ウエハは、処理が完了するまで独立した環境下にあることができ、その後、回転可能な構造体は、ヒータ上のウエハを処理のために次の環境(4つのステーションの場合は90°回転、3つのステーションの場合は120°回転)へ移動させるよう回転することができる。一又は複数の実施態様では、単一ウエハチャンバをシミュレーションする処理ステーション中でウエハが静止しているときに一次堆積工程が生じる。 One or more embodiments of the present disclosure relate to a processing chamber having at least two spatially separated processing environments, also called processing stations. Some embodiments have more than two processing stations and some embodiments have more than four processing stations. The processing environment can be mounted flush with the wafer moving in a horizontal plane. The processing environment is arranged in a circle. A rotatable structure, on which one to four (or more) individual wafer heaters are mounted, moves the wafer in a circular path with a diameter similar to the processing environment. Each heater may be temperature controlled and may have one or more concentric bands. For wafer loading, the rotatable structure can be lowered so that the vacuum robot can pick up the finished wafer and place the unprocessed wafer on the lift pins located above each wafer heater (low Z position). be. During operation, each wafer can be in an independent environment until processing is complete, after which the rotatable structure moves the wafer on the heater to the next environment (for four stations: 90° rotation, 120° rotation for three stations). In one or more embodiments, the primary deposition step occurs while the wafer is stationary in a processing station that simulates a single wafer chamber.

空間ALD堆積ツール(又はその他の空間処理チャンバ)では、ウエハは第1の処理ステーションへ移動し、続いて第2の処理ステーションへ移動する。いくつかの場合、第1の処理ステーションと第2の処理ステーションは同じ(すなわち同一)であり、これにより、膜厚の均一性が欠如し、膜の堆積特性(屈折率、ウェットエッチング速度、面内変位など)の均一性が欠如する。さらに、一つの処理ステーションから次の処理ステーションへ移動する順序は、ウエハの異なる部分がステーションのさまざまな環境に曝露されるため、ウエハの前縁と後縁の差をもたらす。 In a spatial ALD deposition tool (or other spatial processing chamber), the wafer moves to a first processing station and then to a second processing station. In some cases, the first processing station and the second processing station are the same (i.e., identical), which leads to lack of film thickness uniformity and poor film deposition properties (refractive index, wet etch rate, surface internal displacement, etc.). Furthermore, the order of movement from one processing station to the next results in differences between the leading edge and the trailing edge of the wafer as different portions of the wafer are exposed to the various environments of the station.

二つの別個の処理ステーション間を単に往復させることは、空間堆積ツールを操作するための最も分かりやすいやり方である。しかしながら、2を超える処理ステーション間で移動させることにより、電気、水及びガスの接続を回転させ、各ウエハ/基板支持面を各処理ステーションに位置合わせするといった課題(それらを任意の位置から整列させるための許容誤差は、単に各ペデスタルを2つの処理ステーションに整列させるよりも困難である)が生じる。 Simply oscillating between two separate processing stations is the most obvious way to operate a spatial deposition tool. However, by moving between more than two processing stations, the challenges of rotating electrical, water and gas connections and aligning each wafer/substrate support surface with each processing station (aligning them from any position (more difficult than simply aligning each pedestal to two processing stations).

さらに、従来の操作中、ウエハが基板支持体上にロードされ、第1の処理ステーションから第2の処理ステーションへ移動し、その後、第1の処理ステーションへ戻るとき、基板支持体上のウエハのすべての部分が同時に同じ環境にあるわけではないため、前縁と後縁の差が生じる。 Further, during conventional operation, as a wafer is loaded onto the substrate support, moved from a first processing station to a second processing station, and then back to the first processing station, the wafer on the substrate support is subject to a Leading and trailing edge differences occur because not all parts are in the same environment at the same time.

一又は複数の実施態様では、ウエハは基板支持体上にロードされ、2つのタイプの処理ステーション間で費やされた時間を平均するため、第1の処理ステーションから第2の処理ステーションへ、第1の方向に第1の処理ステーションへ、その後第2の処理ステーションへ戻り、その後第2の方向に第1の処理ステーションへと移動する。このような移動中に、二つのウエハの平均化は他の二つのウエハの平均化とは異なることが観察された(例えば、高温/低温が存在する場合、二つのウエハはエッジで高温で中心で低いのに対して、他の二つのウエハはエッジで低温で中心で高温である)。一又は複数の実施態様では、驚くべきことに、(少なくとも)四つの処理ステーション間の平均化のみが、すべてのウエハについて同様のプロファイルで妥当な平均化を達成することがわかった。したがって、一又は複数の実施態様では、処理ステーション間の移動の順序は有利に最適化されて、処理ステーション間の移動中にウエハのすべての部分が同時に環境(例えば、温度、圧力、反応性ガス等)にあるわけではないことの影響が最小限に抑えられる。 In one or more embodiments, the wafer is loaded onto the substrate support and transferred from the first processing station to the second processing station to average the time spent between the two types of processing stations. It moves in one direction to the first processing station, then back to the second processing station, and then in the second direction to the first processing station. During such movements, it was observed that the averaging of the two wafers was different than the averaging of the other two wafers (e.g., if hot/cold were present, the two wafers would be hot at the edges and at the center). The other two wafers are cold at the edge and hot at the center). In one or more embodiments, it has been surprisingly found that only averaging across (at least) four processing stations achieves reasonable averaging with similar profiles for all wafers. Therefore, in one or more embodiments, the order of movement between processing stations is advantageously optimized so that all portions of the wafer are exposed to the environment (e.g., temperature, pressure, reactive gases, etc.) simultaneously during movement between processing stations. etc.) is minimized.

図1及び2は、本開示の一又は複数の実施態様による処理チャンバ100を示している。図1は、本開示の一又は複数の実施態様による、断面等角図として図示された処理チャンバ100を示す。図2は、本開示の一又は複数の実施態様による断面での処理チャンバ100を示す。したがって、本開示のいくつかの実施態様は、支持アセンブリ200及び上部プレート300を包含する処理チャンバ100に関する。 1 and 2 show a processing chamber 100 according to one or more embodiments of the present disclosure. FIG. 1 shows a processing chamber 100 illustrated as a cross-sectional isometric view, according to one or more embodiments of the present disclosure. FIG. 2 shows processing chamber 100 in cross section according to one or more embodiments of the present disclosure. Accordingly, some embodiments of the present disclosure relate to processing chamber 100 including support assembly 200 and top plate 300 .

処理チャンバ100は、壁104及び底部106を有するハウジング102を有する。ハウジング102は、上部プレート300と共に、処理空間とも呼ばれる内部空間109を画定する。 Processing chamber 100 has a housing 102 with walls 104 and a bottom 106 . The housing 102 together with the top plate 300 define an interior space 109, also called process space.

処理チャンバ100には複数の処理ステーション110が含まれる。処理ステーション110は、ハウジング102の内部空間109に位置し、支持アセンブリ200の回転軸211の周りに円形配置で配置されている。各処理ステーション110は、前面114を有するガスインジェクタ112を含む。いくつかの実施態様では、ガスインジェクタ112のそれぞれの前面114は実質的に同一平面にある。処理ステーション110は、処理が生じ得る領域として画定される。例えば、処理ステーション110は、以下に記載されるようなヒータ230の基板支持表面231と、ガスインジェクタ112の前面114とにより画定され得る。 Processing chamber 100 includes a plurality of processing stations 110 . The processing stations 110 are located in the interior space 109 of the housing 102 and arranged in a circular arrangement around the axis of rotation 211 of the support assembly 200 . Each processing station 110 includes a gas injector 112 having a front face 114 . In some implementations, the front surface 114 of each of the gas injectors 112 is substantially coplanar. A processing station 110 is defined as an area in which processing may occur. For example, processing station 110 may be defined by substrate support surface 231 of heater 230 and front surface 114 of gas injector 112, as described below.

処理ステーション110は、任意の適切なプロセスを実施し、任意の適切なプロセス条件を提供するよう、構成され得る。使用されるガスインジェクタ112のタイプは、例えば、実施されているプロセスのタイプ及びシャワーヘッド又はガスインジェクタのタイプに依拠することになる。例えば、原子層堆積装置として動作するよう構成されている処理ステーション110は、シャワーヘッド又は渦のタイプのガスインジェクタを有し得る。それに対し、プラズマステーションとして動作するよう構成されている処理ステーション110は、一又は複数の電極及び/又はプラズマガスがウエハへ向かって流れること可能にしながらプラズマを生成する接地プレート構成を有し得る。図2に示される実施態様は、図の左側に、図の右側(処理ステーション110b)とは異なるタイプの処理ステーション110(処理ステーション110a)を有する。適切な処理ステーション110には、限定されないが、熱処理ステーション、マイクロ波プラズマ、三電極CCP、ICP、平行プレートCCP、UV曝露、レーザー処理、ポンプチャンバ、アニーリングステーション及び計測ステーションが含まれる。 Processing station 110 may be configured to perform any suitable process and provide any suitable process conditions. The type of gas injector 112 used will depend, for example, on the type of process being performed and the type of showerhead or gas injector. For example, a processing station 110 configured to operate as an atomic layer deposition device may have a showerhead or vortex type gas injector. In contrast, a processing station 110 configured to operate as a plasma station may have one or more electrodes and/or a ground plate configuration to generate plasma while allowing plasma gases to flow toward the wafer. The embodiment shown in FIG. 2 has a different type of processing station 110 (processing station 110a) on the left side of the drawing than on the right side of the drawing (processing station 110b). Suitable processing stations 110 include, but are not limited to, thermal processing stations, microwave plasma, three electrode CCP, ICP, parallel plate CCP, UV exposure, laser processing, pump chambers, annealing stations and metrology stations.

図3から6は、本開示の一又は複数の実施態様による支持アセンブリ200を示している。支持アセンブリ200は、回転可能なセンターベース210を含む。回転可能なセンターベース210は、対称又は非対称の形状を有することができ、回転軸211を画定する。回転軸211は、図6で見られるように、第1の方向に延在する。第1の方向は、垂直方向又はz軸に沿っているとも言われる場合があるが、「垂直」という用語のこのような使用は重力に垂直な方向に限定されない。 3-6 illustrate a support assembly 200 according to one or more embodiments of the present disclosure. Support assembly 200 includes a rotatable center base 210 . A rotatable center base 210 can have a symmetrical or asymmetrical shape and defines an axis of rotation 211 . Axis of rotation 211 extends in a first direction, as seen in FIG. The first direction may also be referred to as being vertical or along the z-axis, although such use of the term "vertical" is not limited to directions perpendicular to gravity.

支持アセンブリ200は、センターベース210に接続されておりそこから延在する少なくとも二つの支持アーム220を含む。支持アーム220は、内端221と外端222とを有する。内端221はセンターベース210と接触しているため、センターベース210が回転軸211の周りを回転するとき、支持アーム220も同様に回転する。支持アーム220は、ファスナ(例えば、ボルト)により又はセンターベース210と一体的に形成されることにより、内端221でセンターベース210と接続され得る。 Support assembly 200 includes at least two support arms 220 connected to and extending from center base 210 . Support arm 220 has an inner end 221 and an outer end 222 . Since the inner end 221 is in contact with the center base 210, when the center base 210 rotates around the rotation axis 211, the support arm 220 rotates as well. Support arm 220 may be connected to center base 210 at inner end 221 by fasteners (eg, bolts) or by being integrally formed with center base 210 .

いくつかの実施態様では、支持アーム220は回転軸211に直角に延在するため、内端221又は外端222のうちの一方は、同じ支持アーム220上の内端221及び外端222の他方よりも回転軸211から離れている。いくつかの実施態様では、支持アーム220の内端221は、同じ支持アーム220の外端222よりも回転軸211に近い。 In some embodiments, the support arm 220 extends perpendicular to the axis of rotation 211 such that one of the inner end 221 or the outer end 222 is located on the same support arm 220 as the other of the inner end 221 and the outer end 222 . is farther from the rotation axis 211 than In some implementations, the inner end 221 of a support arm 220 is closer to the axis of rotation 211 than the outer end 222 of the same support arm 220 .

支持アセンブリ200中の支持アーム220の数はさまざまであり得る。いくつかの実施態様では、少なくとも2本の支持アーム220、少なくとも3本の支持アーム220、少なくとも4本の支持アーム220、又は少なくとも5本の支持アーム220が存在する。いくつかの実施態様では、3本の支持アーム220が存在する。いくつかの実施態様では、4本の支持アーム220が存在する。いくつかの実施態様では、5本の支持アーム220が存在する。いくつかの実施態様では、6本の支持アーム220が存在する。 The number of support arms 220 in support assembly 200 can vary. In some embodiments, there are at least two support arms 220, at least three support arms 220, at least four support arms 220, or at least five support arms 220. In some implementations, there are three support arms 220 . In some implementations, there are four support arms 220 . In some implementations, there are five support arms 220 . In some implementations, there are six support arms 220 .

支持アーム220は、センターベース210の周りに対称的に配置され得る。例えば、4本の支持アーム220を有する支持アセンブリ200では、支持アーム220のそれぞれは、センターベース210の周りに90°の間隔で位置付けされる。3本の支持アーム220を有する支持アセンブリ200では、支持アーム220は、センターベース210の周りに120°の間隔で位置付けされる。つまり、4本の支持アーム220を有する実施態様では、支持アームは回転軸211の周りに4重の対称を提供するよう配置される。いくつかの実施態様では、支持アセンブリ200はnの数の支持アーム220を有し、nの数の支持アーム220は、回転軸211の周りにn重の対称を提供するよう配置される。 The support arms 220 may be symmetrically arranged around the center base 210 . For example, in a support assembly 200 having four support arms 220 , each of the support arms 220 are positioned at 90° intervals around the center base 210 . In a support assembly 200 having three support arms 220 , the support arms 220 are positioned at 120° intervals around the center base 210 . Thus, in embodiments having four support arms 220, the support arms are arranged to provide four-fold symmetry about the axis of rotation 211. FIG. In some embodiments, the support assembly 200 has n number of support arms 220 , and the n number of support arms 220 are arranged to provide n-fold symmetry about the axis of rotation 211 .

ヒータ230は支持アーム220の外端222に位置付けされる。いくつかの実施態様では、各支持アーム220はヒータ230を有する。ヒータ230の中心は回転軸211から距離をおいて位置しているため、センターベース210の回転時にヒータ230は円形経路を移動する。 A heater 230 is positioned at the outer end 222 of the support arm 220 . In some implementations, each support arm 220 has a heater 230 . Since the center of the heater 230 is located at a distance from the rotation axis 211, the heater 230 moves in a circular path when the center base 210 rotates.

ヒータ230は、ウエハを支持することができる支持表面231を有する。いくつかの実施態様では、ヒータ230と支持表面231は同一平面にある。このように使用される場合、「実質的に同一平面にある」とは、個別の支持表面231により形成された平面が、他の支持表面231により形成された平面の±5°、±4°、±3°、±2°、又は±1°内にあることを意味する。 Heater 230 has a support surface 231 capable of supporting a wafer. In some embodiments, heater 230 and support surface 231 are coplanar. As used in this manner, "substantially coplanar" means that the plane formed by an individual support surface 231 is ±5°, ±4° from the plane formed by the other support surface 231. , ±3°, ±2°, or ±1°.

いくつかの実施態様では、ヒータ230は支持アーム220の外端222上に直接位置付けされる。いくつかの実施態様では、図面で示すように、ヒータ230は、ヒータスタンドオフ234により、支持アーム220の外端222の上方に上昇する。ヒータスタンドオフ234は、ヒータ230の高さを上昇させるのに任意のサイズ及び長さであり得る。 In some implementations, heater 230 is positioned directly on outer end 222 of support arm 220 . In some implementations, the heater 230 is elevated above the outer ends 222 of the support arms 220 by heater standoffs 234, as shown in the drawings. Heater standoffs 234 can be of any size and length to raise the height of heater 230 .

いくつかの実施態様では、チャネル236は、センターベース210、支持アーム220及び/又はヒータスタンドオフ234のうちの一又は複数で形成される。チャネル236は、電気接続をルーティングするか又はガス流を提供するのに使用することができる。 In some implementations, channels 236 are formed by one or more of center base 210 , support arms 220 and/or heater standoffs 234 . Channels 236 can be used to route electrical connections or provide gas flow.

ヒータは、当業者に知られる任意の適切なタイプのヒータであり得る。いくつかの実施態様では、ヒータは、ヒータ本体内に一又は複数の加熱素子を有する抵抗加熱器である。 The heater can be any suitable type of heater known to those skilled in the art. In some implementations, the heater is a resistance heater having one or more heating elements within the heater body.

ある実施態様のヒータ230には追加の構成要素が含まれる。例えば、ヒータは静電チャックを含む場合がある。静電チャックはさまざまなワイヤ及び電極を含むことができるため、ヒータ支持表面231上に位置決めされたウエハは、ヒータが移動する間に定位置に保持され得る。これは、ウエハが、処理の初めにヒータ上にチャックされ、異なる処理領域に移動する間に同じヒータの同じ位置に留まることを可能にする。いくつかの実施態様では、ワイヤ及び電極は支持アーム220中のチャネル236を通じてルーティングされる。図7は、支持アセンブリ200の部分拡大図を示しており、チャネル236が示されている。チャネル236は支持アーム220及びヒータスタンドオフ234に沿って延在する。第1の電極251a及び第2の電極251bは、ヒータ230と、又はヒータ230内部の構成要素(例えば、抵抗ワイヤ)と電気通信する。第1のワイヤ253aは、第1のコネクタ252aで第1の電極251aに接続する。第2のワイヤ253bは、第2のコネクタ252bで第2の電極251bに接続する。 Heater 230 in some embodiments includes additional components. For example, the heater may include an electrostatic chuck. The electrostatic chuck can include various wires and electrodes so that a wafer positioned on heater support surface 231 can be held in place while the heater moves. This allows the wafer to be chucked onto the heater at the beginning of processing and remain in the same position on the same heater while moving to different processing regions. In some embodiments, wires and electrodes are routed through channels 236 in support arm 220 . FIG. 7 shows a partial enlarged view of support assembly 200 showing channel 236 . Channels 236 extend along support arms 220 and heater standoffs 234 . The first electrode 251a and the second electrode 251b are in electrical communication with the heater 230 or with components within the heater 230 (eg, resistive wires). A first wire 253a connects to the first electrode 251a at a first connector 252a. A second wire 253b connects to the second electrode 251b at a second connector 252b.

いくつかの実施態様では、温度測定デバイス(例えば、高温計、サーミスタ、熱電対)は、チャネル236内に位置決めされて、ヒータ230の温度又はヒータ230上の基板の温度の一又は複数が測定される。いくつかの実施態様では、温度測定デバイス用の制御及び/又は測定ワイヤは、チャネル236を通じてルーティングされる。いくつかの実施態様では、一又は複数の温度測定デバイスは処理チャンバ100内に位置決めされて、ヒータ230及び/又はヒータ230上のウエハの温度が測定される。適切な温度測定デバイスは当業者に知られており、限定されないが、光学高温計及び接触式熱電対が含まれる。 In some implementations, a temperature measurement device (eg, pyrometer, thermistor, thermocouple) is positioned within channel 236 to measure one or more of the temperature of heater 230 or the temperature of the substrate on heater 230 . be. In some implementations, control and/or measurement wires for temperature measurement devices are routed through channel 236 . In some implementations, one or more temperature measurement devices are positioned within the processing chamber 100 to measure the temperature of the heater 230 and/or the wafer on the heater 230 . Suitable temperature measurement devices are known to those skilled in the art and include, but are not limited to, optical pyrometers and contact thermocouples.

ワイヤは、支持アーム220及び支持アセンブリ200を通じてルーティングされ、電源(図示せず)と接続されることがある。いくつかの実施態様では、電源への接続は、ワイヤ253a、253bが絡まったり切れたりすることなく、支持アセンブリ200の継続的な回転を可能にする。いくつかの実施態様では、図7に示すように、第1のワイヤ253a及び第2のワイヤ253bは、支持アーム220のチャネル236に沿ってセンターベース210へ延在する。センターベース210では、第1のワイヤ253aは第1のコネクタ254aと接続し、第2のワイヤ253bは中央の第2のコネクタ254bと接続する。中央コネクタ254a、254bは、接続プレート258の一部であり得るため、電力又は電子シグナルは中央コネクタ254a、254bを通過することができる。示されている実施態様では、ワイヤはセンターベース210で終端されているため、支持アセンブリ200は、ワイヤをねじったり切断したりすることなく継続的に回転することができる。第2の接続は、接続プレート258の反対側(処理チャンバの外側)にある。 Wires may be routed through support arm 220 and support assembly 200 and connected to a power source (not shown). In some implementations, the connection to the power source allows continued rotation of the support assembly 200 without the wires 253a, 253b becoming tangled or cut. In some implementations, first wire 253a and second wire 253b extend along channel 236 of support arm 220 to center base 210, as shown in FIG. In the center base 210, the first wire 253a connects with the first connector 254a, and the second wire 253b connects with the central second connector 254b. The central connectors 254a, 254b can be part of the connection plate 258 so that electrical power or electronic signals can pass through the central connectors 254a, 254b. In the illustrated embodiment, the wires are terminated at the center base 210 so that the support assembly 200 can continue to rotate without twisting or cutting the wires. The second connection is on the opposite side of connection plate 258 (outside the processing chamber).

いくつかの実施態様では、ワイヤは、チャネル236を通じて処理チャンバ外部の電源又は電気部品に直接接続される。この種の実施態様では、ワイヤは、支持アセンブリ200がワイヤをねじったり切断したりすることなく限定された量回転することを可能にするのに十分なゆるみを有する。いくつかの実施態様では、支持アセンブリ200は、回転方向が逆になる前に、約1080°、990°、720°、630°、360°、又は270°以下回転する。これは、ヒータがワイヤを切断することなくステーションのそれぞれを通って回転することを可能にする。 In some embodiments, the wires connect directly to a power supply or electrical component outside the processing chamber through channel 236 . In this type of embodiment, the wires have sufficient slack to allow the support assembly 200 to rotate a limited amount without twisting or cutting the wires. In some implementations, the support assembly 200 rotates no more than about 1080°, 990°, 720°, 630°, 360°, or 270° before reversing the direction of rotation. This allows the heater to rotate through each of the stations without cutting the wire.

図3から6を再度参照すると、ヒータ230及び支持表面231は、裏側のガスの流れを提供する一又は複数のガス出口を含み得る。これは、支持表面231からのウエハの除去に役立つ場合がある。図4及び5に示しているように、支持表面231は複数の開口部237及びガスチャネル238を含む。開口部237及び/又はガスチャネル238は、真空源又はガス源(例えばパージガス)と流体連結している可能性がある。この種の実施態様では、ガス源と開口部237及び/又はガスチャネル238の流体連結を可能にするために中空管が含まれ得る。 Referring again to FIGS. 3-6, heater 230 and support surface 231 may include one or more gas outlets to provide backside gas flow. This may assist in removing the wafer from support surface 231 . As shown in FIGS. 4 and 5, support surface 231 includes a plurality of openings 237 and gas channels 238 . Apertures 237 and/or gas channels 238 may be in fluid communication with a vacuum source or gas source (eg, purge gas). In such embodiments, hollow tubes may be included to allow fluid communication between the gas source and openings 237 and/or gas channels 238 .

いくつかの実施態様では、ヒータ230及び/又は支持表面231は、静電チャックとして構成されている。この種の実施態様では、電極251a、251b(図7を参照)は静電チャックの制御ラインを含み得る。 In some implementations, heater 230 and/or support surface 231 are configured as an electrostatic chuck. In this type of embodiment, electrodes 251a, 251b (see FIG. 7) may comprise control lines for the electrostatic chuck.

支持アセンブリ200のいくつかの実施態様は、密閉プラットフォーム240を含む。密閉プラットフォームは、上面241、底面及び厚さを有する。密閉プラットフォーム240は、ヒータ230の周りに位置決めされて、シール又はバリアを提供して支持アセンブリ200より下の領域へガスが流れるのを最小限に抑えるのに役立つ。 Some implementations of support assembly 200 include a closed platform 240 . The closed platform has a top surface 241, a bottom surface and a thickness. Sealing platform 240 is positioned around heater 230 to provide a seal or barrier to help minimize gas flow to the area below support assembly 200 .

いくつかの実施態様では、図4に示しているように、密閉プラットフォーム240はリングの形状であり、各ヒータ230の周りに位置決めされている。示されている実施態様では、密閉プラットフォーム240はヒータ230の下方に位置しているため、密閉プラットフォーム240の上面241は、ヒータの支持表面231の下方にある。 In some embodiments, the sealing platform 240 is in the shape of a ring and positioned around each heater 230, as shown in FIG. In the illustrated embodiment, the sealing platform 240 is positioned below the heater 230 so that the top surface 241 of the sealing platform 240 is below the support surface 231 of the heater.

密閉プラットフォーム240は多くの目的を有し得る。例えば、密閉プラットフォーム240は、熱質量を増加させることによりヒータ230の温度均一性を増加させるのに使用することができる。いくつかの実施態様では、密閉プラットフォーム240は、ヒータ230と一体的に形成されている(例えば図6を参照)。いくつかの実施態様では、密閉プラットフォーム240はヒータ230から分離されている。例えば、図8に示されている実施態様は、ヒータスタンドオフ234に接続された別個の構成要素として密閉プラットフォーム240を有するため、密閉プラットフォーム240の上面241はヒータ230の支持表面231のレベルの下方にある。 Enclosed platform 240 may have many purposes. For example, the enclosed platform 240 can be used to increase the temperature uniformity of the heater 230 by increasing its thermal mass. In some implementations, the enclosed platform 240 is integrally formed with the heater 230 (see, eg, FIG. 6). In some embodiments, enclosed platform 240 is separate from heater 230 . For example, the embodiment shown in FIG. 8 has sealing platform 240 as a separate component connected to heater standoffs 234 so that top surface 241 of sealing platform 240 is below the level of supporting surface 231 of heater 230 . It is in.

いくつかの実施態様では、密閉プラットフォーム240は、支持プレート245のホルダとして機能する。いくつかの実施態様では、図5に示しているように、支持プレート245は、複数の開口部242を有するヒータ230のすべてを囲み、ヒータ230の支持表面231へのアクセスを可能にする単一の構成要素である。開口部242は、ヒータ230が支持プレート245を通過するのを可能にし得る。いくつかの実施態様では、支持プレート245は固定されているため、支持プレート245は垂直に移動し、ヒータ230と共に回転する。 In some implementations, sealing platform 240 acts as a holder for support plate 245 . In some embodiments, as shown in FIG. 5, support plate 245 surrounds all of heater 230 having multiple openings 242 and allows access to support surface 231 of heater 230 as a single plate. is a component of Apertures 242 may allow heaters 230 to pass through support plate 245 . In some implementations, support plate 245 is fixed so that support plate 245 moves vertically and rotates with heater 230 .

一又は複数の実施態様では、支持アセンブリ200はドラム型の構成要素である。例えば、図20に示しているように、複数のウエハを支持するように構成された上面246を有する円筒状の本体である。支持アセンブリ200の上面246は、処理中に一又は複数のウエハを支持する大きさである複数の凹部(ポケット257)を有する。いくつかの実施態様では、ポケット257は、処理されるウエハとほぼ等しい深さを有するため、ウエハの上面は円筒状の本体の上面246と実質的に同一平面にある。そのような支持アセンブリ200の例は、支持アーム220が存在しない図5の修正として想定され得る。図20は、円筒状の本体を使用する支持アセンブリ200の実施態様の断面図を示す。支持アセンブリ200は、処理のためにウエハを支持する大きさである複数のポケット257を含む。示している実施態様では、ポケット257の底部はヒータ230の支持表面231である。ヒータ230の電源接続は、支持ポスト227及び支持プレート245を通じてルーティングされ得る。ヒータ230は、独立して電力供給されて、個々のポケット257及びウエハの温度が制御され得る。 In one or more embodiments, support assembly 200 is a drum-shaped component. For example, as shown in FIG. 20, a cylindrical body having a top surface 246 configured to support multiple wafers. Top surface 246 of support assembly 200 has a plurality of recesses (pockets 257) sized to support one or more wafers during processing. In some embodiments, the pocket 257 has a depth approximately equal to the wafer being processed so that the top surface of the wafer is substantially flush with the top surface 246 of the cylindrical body. An example of such a support assembly 200 can be envisioned as a modification of FIG. 5 in which support arm 220 is absent. FIG. 20 shows a cross-sectional view of an embodiment of support assembly 200 that uses a cylindrical body. Support assembly 200 includes a plurality of pockets 257 sized to support wafers for processing. In the illustrated embodiment, the bottom of pocket 257 is support surface 231 of heater 230 . Power connections for heater 230 may be routed through support posts 227 and support plate 245 . Heaters 230 can be powered independently to control the temperature of individual pockets 257 and wafers.

図9を参照すると、いくつかの実施態様では、支持プレート245は、ヒータ230の支持表面231によって形成された主要平面247と実質的に平行である主要平面248を形成する上面246を有する。いくつかの実施態様では、支持プレート245は、支持表面231の主要平面247の上方で距離Dである主要平面248を形成する上面246を有する。いくつかの実施態様では、距離Dは、処理されるウエハ260の厚さと実質的に等しいため、ウエハ260の表面261は、図6に示しているように、支持プレート245の上面246と同一平面にある。このように使用される場合、用語「実質的に同一平面にある」とは、ウエハ260の表面261により形成された主要平面が、±1mm、±0.5mm、±0.4mm、±0.3mm、±0.2mm又は±0.1mm以内で同一平面にあることを意味する。 Referring to FIG. 9, in some embodiments, support plate 245 has a top surface 246 that defines a major plane 248 that is substantially parallel to major plane 247 formed by support surface 231 of heater 230 . In some embodiments, support plate 245 has a top surface 246 that forms a major plane 248 that is a distance D above major plane 247 of support surface 231 . In some implementations, the distance D is substantially equal to the thickness of the wafer 260 being processed so that the surface 261 of the wafer 260 is flush with the top surface 246 of the support plate 245, as shown in FIG. It is in. As used in this manner, the term "substantially coplanar" means that the major planes formed by surface 261 of wafer 260 are ±1 mm, ±0.5 mm, ±0.4 mm, ±0. It means coplanar within 3 mm, ±0.2 mm or ±0.1 mm.

図9を参照すると、本開示のいくつかの実施態様は、処理のための支持表面を構成する別個の構成要素を有する。ここでは、密閉プラットフォーム240は、ヒータ230とは別個の構成要素であり、密閉プラットフォーム240の上面241がヒータ230の支持表面231の下方にあるように位置決めされる。密閉プラットフォーム240の上面241とヒータ230の支持表面231との間の距離は、支持プレート245が密閉プラットフォーム240上に位置決めされるのを可能にするのに十分である。支持プレート245の厚さ及び/又は密閉プラットフォーム240の位置は、支持プレート245の上面246の間の距離Dが十分でウエハ260の上面261(図6を参照)が支持プレート245の上面246と実質的に同一平面にあるように、制御され得る。 Referring to FIG. 9, some embodiments of the present disclosure have separate components that make up the support surface for processing. Here, sealing platform 240 is a separate component from heater 230 and is positioned such that top surface 241 of sealing platform 240 is below support surface 231 of heater 230 . The distance between top surface 241 of sealing platform 240 and support surface 231 of heater 230 is sufficient to allow support plate 245 to be positioned on sealing platform 240 . The thickness of the support plate 245 and/or the location of the sealing platform 240 is such that the distance D between the upper surface 246 of the support plate 245 is sufficient such that the upper surface 261 (see FIG. 6) of the wafer 260 is substantially the same as the upper surface 246 of the support plate 245 . can be controlled to be substantially coplanar.

いくつかの実施態様では、図9に示しているように、支持プレート245は支持ポスト227によって支持されている。支持ポスト227は、単一構成要素のプラットフォームが使用されるときに、支持プレート245の中心のたるみを防ぐのに有用性を有し得る。いくつかの実施態様では、密閉プラットフォーム240は存在せず、支持ポスト227は支持プレート245の主要支持体である。 In some embodiments, support plate 245 is supported by support posts 227, as shown in FIG. The support post 227 may have utility in preventing sagging of the center of the support plate 245 when a single component platform is used. In some embodiments, sealing platform 240 is absent and support post 227 is the primary support for support plate 245 .

支持プレート245はさまざまな構造を有し、さまざまな構造のヒータ230及び密閉プラットフォーム240と相互作用することができる。図10Aは、本開示の一又は複数の実施態様による支持プレート245の上部等角図を示している。図10Bは、10B-10B’のラインに沿って取られた図10Aの支持プレート245の断面図を示している。この実施態様では、支持プレート245は平面構成要素であり、そこで、上面246及び底面249は実質的に平坦であり、かつ/又は実質的に同一平面にある。密閉プラットフォーム240が、図9に示しているように、支持プレート245を支持するのに使用されるときに、示している実施態様は特に有用であり得る。 Support plate 245 can have a variety of configurations and interact with heaters 230 and sealing platforms 240 of various configurations. FIG. 10A shows a top isometric view of support plate 245 according to one or more embodiments of the present disclosure. FIG. 10B shows a cross-sectional view of support plate 245 of FIG. 10A taken along line 10B-10B'. In this embodiment, support plate 245 is a planar component wherein top surface 246 and bottom surface 249 are substantially flat and/or substantially coplanar. The embodiment shown can be particularly useful when a closed platform 240 is used to support a support plate 245, as shown in FIG.

図11Aは、本開示の一又は複数の実施態様による支持プレート245の別の実施態様の底面等角図を示している。図11Bは、11B-11B’のラインに沿って取られた図11Aの支持プレート245の断面図を示している。この実施態様では、開口部242のそれぞれは、支持プレート245の底面249上の開口部242の外周の周りに突出リング270を有する。 FIG. 11A illustrates a bottom isometric view of another embodiment of support plate 245 according to one or more embodiments of the present disclosure. FIG. 11B shows a cross-sectional view of support plate 245 of FIG. 11A taken along line 11B-11B'. In this embodiment, each of the openings 242 has a protruding ring 270 around the perimeter of the opening 242 on the bottom surface 249 of the support plate 245 .

図12Aは、本開示の一又は複数の実施態様による支持プレート245の別の実施態様の底面等角図を示している。図12Bは、12B-12B’のラインに沿って取られた図12Aの支持プレート245の断面図を示している。この実施態様では、開口部242のそれぞれは、開口部242の外周の周りの支持プレート245の底面249に凹型リング272を有する。凹型リング272は、凹型底面273を作成する。密閉プラットフォーム240が存在しないか又はヒータ230の支持表面231と実質的に同一平面にある場合に、この種の実施態様は有用であり得る。凹型底面273はヒータ230の支持表面231上に位置決めされ得るため、支持プレート245の底部はヒータ230の側面の周りのヒータ230の支持表面231の下方に延在する。 FIG. 12A illustrates a bottom isometric view of another embodiment of support plate 245 according to one or more embodiments of the present disclosure. FIG. 12B shows a cross-sectional view of support plate 245 of FIG. 12A taken along line 12B-12B'. In this embodiment, each of the openings 242 has a recessed ring 272 on the bottom surface 249 of the support plate 245 around the perimeter of the opening 242 . Concave ring 272 creates a concave bottom surface 273 . This type of embodiment may be useful when the sealing platform 240 is absent or substantially flush with the support surface 231 of the heater 230 . The concave bottom surface 273 may be positioned on the support surface 231 of the heater 230 so that the bottom of the support plate 245 extends below the support surface 231 of the heater 230 around the sides of the heater 230 .

本開示のいくつかの実施態様は、マルチステーション処理チャンバの上部プレート300に関する。図1及び13を参照すると、上部プレート300は、リッドの厚さを画定する上面301及び底面302と、一又は複数のエッジとを有する。上部プレート300は、その厚さを通って延在する少なくとも一つの開口部310を含む。開口部310は、処理ステーション110を形成し得るガスインジェクタ112の追加が許容されるサイズである。 Some embodiments of the present disclosure relate to a multi-station processing chamber top plate 300 . 1 and 13, top plate 300 has a top surface 301 and a bottom surface 302 that define the thickness of the lid, and one or more edges. Top plate 300 includes at least one opening 310 extending through its thickness. Opening 310 is sized to allow the addition of gas injectors 112 that may form processing station 110 .

図14は、本開示の一又は複数の実施態様による処理ステーション110の分解図を示している。示されている処理ステーション110は、三つの主要な構成要素:上部プレート(リッドとも呼ばれる)、ポンプ/パージインサート330及びガスインジェクタ112を含む。図14に示されるガスインジェクタ112は、シャワーヘッドタイプのガスインジェクタである。いくつかの実施態様では、インサートは、真空空間(排気孔)に接続しているか又はそれと流体連結している。いくつかの実施態様では、インサートは、パージガス源に接続しているか又はそれと流体連結している。 FIG. 14 illustrates an exploded view of processing station 110 according to one or more embodiments of the present disclosure. The processing station 110 shown includes three major components: a top plate (also called a lid), a pump/purge insert 330 and gas injectors 112 . The gas injector 112 shown in FIG. 14 is a showerhead type gas injector. In some embodiments, the insert is connected to or in fluid communication with a vacuum space (exhaust). In some embodiments, the insert is connected to or in fluid communication with a purge gas source.

上部プレート300中の開口部310のサイズは、均一であっても異なっていてもよい。異なるサイズ/形状のガスインジェクタ112は、開口部310からガスインジェクタ112への移送に適切に成形されたポンプ/パージインサート330と共に使用することができる。例えば、示されているように、ポンプ/パージインサート330には、側壁335と共に上部331及び底部333が含まれる。上部プレート300中の開口部310中に挿入されたとき、底部333に隣接するレッジ334は開口部310中に形成された棚315上に配置され得る。いくつかの実施態様では、開口部中に棚315は存在せず、ポンプ/パージインサート330のフランジ部分317が、上部プレート300の頂部にある。示されている実施態様では、レッジ334は、気体密閉を形成するのに役立つように間に配置されたOリング314を有する棚315上にある。 The size of the openings 310 in the top plate 300 can be uniform or different. Different sized/shaped gas injectors 112 can be used with appropriately shaped pump/purge inserts 330 to transfer from opening 310 to gas injector 112 . For example, as shown, pump/purge insert 330 includes top 331 and bottom 333 with side walls 335 . When inserted into opening 310 in top plate 300 , ledge 334 adjacent bottom 333 may rest on ledge 315 formed in opening 310 . In some embodiments, there is no ledge 315 in the opening and the flange portion 317 of the pump/purge insert 330 is on top of the top plate 300 . In the illustrated embodiment, the ledges 334 are on ledges 315 with O-rings 314 disposed therebetween to help form a gas tight seal.

いくつかの実施態様では、上部プレート300中に一又は複数のパージリング309(図9を参照)がある。パージリング309はパージガスプレナム(図示せず)又はパージガス源(図示せず)と流体連結し、パージガスの正の流れを提供して処理チャンバからの処理ガスの漏出を防ぐことができる。 In some implementations, there are one or more purge rings 309 (see FIG. 9) in top plate 300 . Purge ring 309 can be in fluid communication with a purge gas plenum (not shown) or a purge gas source (not shown) to provide a positive flow of purge gas to prevent process gas leakage from the process chamber.

いくつかの実施態様のポンプ/パージインサート330には、ポンプ/パージインサート330の底部333に少なくとも一つの開口部338を有するガスプレナム336が含まれる。ガスプレナム336は、通常、ポンプ/パージインサート330の上部331又は側壁335の近くに入口(図示せず)を有する。 Some embodiments of the pump/purge insert 330 include a gas plenum 336 having at least one opening 338 in the bottom 333 of the pump/purge insert 330 . Gas plenum 336 typically has an inlet (not shown) near top 331 or sidewall 335 of pump/purge insert 330 .

いくつかの実施態様では、プレナム336には、ポンプ/パージインサート330の底部333にある開口部338を通過することができるパージガス又は不活性ガスが充填される可能性がある。開口部338を通るガス流は、ガスカーテンタイプのバリアを作成して処理チャンバの内部からの処理ガスの漏れを防ぐことに役立つ可能性がある。 In some implementations, plenum 336 may be filled with a purge gas or inert gas that may pass through opening 338 in bottom 333 of pump/purge insert 330 . Gas flow through openings 338 can help create a gas curtain-type barrier to prevent leakage of process gases from the interior of the processing chamber.

いくつかの実施態様では、プレナム336は真空源に接続しているか又はそれと流体連結している。そのような実施態様では、ガスは、ポンプ/パージインサート330の底部333にある開口部338を通ってプレナム336へ流れる。ガスはプレナムから排気孔へ排気することができる。そのような配置は、使用中に処理ステーション110からガスを排気するのに使用することができる。 In some embodiments, plenum 336 is connected to or in fluid communication with a vacuum source. In such an embodiment, gas flows to plenum 336 through opening 338 in bottom 333 of pump/purge insert 330 . Gas can be exhausted from the plenum to an exhaust. Such an arrangement can be used to exhaust gases from the processing station 110 during use.

ポンプ/パージインサート330には、ガスインジェクタ112が挿入され得る開口部339が含まれる。示されているガスインジェクタ112は、ポンプ/パージインサート330の上部331に隣接するレッジ332と接触することができるフランジ342を有する。ガスインジェクタ112の直径又は幅は、ポンプ/パージインサート330の開口部339内に収まることができる任意の適切なサイズであり得る。これは、さまざまなタイプのガスインジェクタ112が上部プレート300の同じ開口部310内で使用されることを可能にする。 Pump/purge insert 330 includes an opening 339 into which gas injector 112 can be inserted. The gas injector 112 shown has a flange 342 that can contact the ledge 332 adjacent the top 331 of the pump/purge insert 330 . The diameter or width of gas injector 112 may be any suitable size that can fit within opening 339 of pump/purge insert 330 . This allows different types of gas injectors 112 to be used within the same opening 310 in top plate 300 .

図2及び15を参照すると、上部プレート300のいくつかの実施態様は、上部プレート300の中心部を通過するバー360を含む。バー360は、コネクタ367を使用して中心近くの上部プレート300に接続され得る。コネクタ367は、上部プレート300の上部331又は底部333に直角な力を加えて、圧力差の結果としての又は上部プレート300の重量による上部プレート300中の反りを相殺するのに使用することができる。いくつかの実施態様では、バー360及びコネクタ367は、約1.5mの幅及び最大約100mmの厚さを有する上部プレートの中心で最大約1.5mmの偏向を相殺することができる。いくつかの実施態様では、モータ365又はアクチュエータは、コネクタ367に接続され、上部プレート300に加えられた方向性のある力の変化を引き起こす可能性がある。モータ365又はアクチュエータは、バー360上に支持され得る。示されているバー360は、二か所の位置で上部プレート300のエッジと接触している。しかしながら、当業者は、一つの接続位置又は二を超える接続位置があり得ることを認識するであろう。 2 and 15, some embodiments of top plate 300 include a bar 360 that passes through the center of top plate 300 . Bar 360 may be connected to top plate 300 near the center using connector 367 . The connector 367 can be used to apply a normal force to the top 331 or bottom 333 of the top plate 300 to counteract warpage in the top plate 300 as a result of pressure differentials or due to the weight of the top plate 300 . . In some implementations, bar 360 and connector 367 can cancel a deflection of up to about 1.5 mm at the center of a top plate having a width of about 1.5 m and a thickness of up to about 100 mm. In some implementations, a motor 365 or actuator may be connected to connector 367 to cause a directional change in force applied to top plate 300 . Motors 365 or actuators may be supported on bar 360 . The bar 360 shown contacts the edge of the top plate 300 at two locations. However, those skilled in the art will recognize that there can be one connection position or more than two connection positions.

いくつかの実施態様では、図2に示しているように、支持アセンブリ200は少なくとも一つのモータ250を含む。少なくとも一つのモータ250は、センターベース210に接続されており、回転軸211の周りで支持アセンブリ200を回転させるよう構成されている。いくつかの実施態様では、少なくとも一つのモータは、センターベース210を回転軸211に沿った方向に移動させるよう構成されている。例えば、図2では、モータ255は、モータ250に接続されており、支持アセンブリ200を回転軸211に沿って移動させることができる。つまり、示されているモータ255は、支持アセンブリ200を、z軸に沿って、モータ250によって引き起こされる動きに垂直に又は直角に移動させることができる。いくつかの実施態様では、示されているように、回転軸211の周りで支持アセンブリ200を回転させるための第1のモータ250と、回転軸211に沿って(すなわち、z軸に沿って、又は垂直に)支持アセンブリ200を移動させるための第2のモータ255とが存在する。 In some embodiments, support assembly 200 includes at least one motor 250, as shown in FIG. At least one motor 250 is connected to center base 210 and configured to rotate support assembly 200 about axis of rotation 211 . In some embodiments, at least one motor is configured to move center base 210 in a direction along axis of rotation 211 . For example, in FIG. 2, motor 255 is connected to motor 250 and can move support assembly 200 along axis of rotation 211 . That is, the illustrated motor 255 can move the support assembly 200 along the z-axis, perpendicular or perpendicular to the motion caused by the motor 250 . In some implementations, as shown, a first motor 250 for rotating the support assembly 200 about the axis of rotation 211 and along the axis of rotation 211 (i.e., along the z-axis, There is a second motor 255 for moving the support assembly 200 (or vertically).

図2及び16を参照すると、一又は複数の真空流及び/又はパージガス流は、一つの処理ステーション110aを隣接する処理ステーション110bから分離するのを助けるのに使用され得る。パージガスプレナム370は、処理ステーション110の外側境界でパージガスポート371と流体連結し得る。図16に示している実施態様では、パージガスプレナム370及びパージガスポート371は上部プレート300中に位置している。ポンプ/パージインサート330の一部として示されているプレナム336は、ポンプ/パージガスポートとして機能する開口部338と流体連結している。図13に示されているパージガスポート371及びパージガスプレナム370、並びに真空ポート(開口部338)は、処理ステーション110の外周の周りに延在し得る。ガスカーテンは、処理チャンバの内部空間109中への処理ガスの漏れを最小限に抑えるか又は排除するのに役立つ可能性がある。 2 and 16, one or more vacuum and/or purge gas streams may be used to help separate one processing station 110a from an adjacent processing station 110b. Purge gas plenum 370 may be in fluid communication with purge gas port 371 at the outer boundary of processing station 110 . In the embodiment shown in FIG. 16, purge gas plenum 370 and purge gas ports 371 are located in top plate 300 . A plenum 336, shown as part of the pump/purge insert 330, is in fluid communication with an opening 338 that functions as a pump/purge gas port. The purge gas ports 371 and purge gas plenums 370 and vacuum ports (openings 338 ) shown in FIG. 13 may extend around the perimeter of the processing station 110 . A gas curtain can help minimize or eliminate leakage of process gas into the interior space 109 of the processing chamber.

図16に示している実施態様では、処理ステーション110を分離するのに役立つよう、差動ポンプを使用することができる。ポンプ/パージインサート330は、ヒータ230及び支持プレート245とoリング329を伴って接触している。oリング329は、プレナム336と流体連結している開口部338のいずれかの側面に位置決めされている。一方のoリング329は開口部338の外周内に位置決めされており、他方のoリング329は開口部338の外周の外側に位置決めされている。Oリング329と、開口部338を有するポンプ/パージプレナム336との組み合わせは、十分な差圧を提供して、処理チャンバ100の内部空間109からの処理ステーション110の気体密閉を維持することができる。いくつかの実施態様では、開口部338の外周の内側又は外側に位置決めされた一つのoリング329が存在する。いくつかの実施態様では、プレナム370と流体連結しているパージガスポート371の外周の内側に一つが、外側に一つが位置決めされた二つのoリング329が存在する。いくつかの実施態様では、プレナム370と流体連結しているパージガスポート371の外周の内側又は外側のいずれかに位置決めされた一つのoリング329が存在する。 In the embodiment shown in FIG. 16, differential pumps can be used to help isolate processing stations 110 . Pump/purge insert 330 contacts heater 230 and support plate 245 with o-ring 329 . O-rings 329 are positioned on either side of opening 338 in fluid communication with plenum 336 . One o-ring 329 is positioned within the perimeter of opening 338 and the other o-ring 329 is positioned outside the perimeter of opening 338 . The combination of O-ring 329 and pump/purge plenum 336 with opening 338 can provide a sufficient differential pressure to maintain a gas tight seal of processing station 110 from interior space 109 of processing chamber 100 . . In some embodiments, there is one o-ring 329 positioned inside or outside the perimeter of opening 338 . In some embodiments, there are two o-rings 329 positioned one inside and one outside the perimeter of purge gas port 371 in fluid communication with plenum 370 . In some embodiments, there is one o-ring 329 positioned either inside or outside the perimeter of purge gas port 371 in fluid communication with plenum 370 .

処理ステーション110の境界は、処理ガスが中でポンプ/パージインサート330により分離されている領域と考えられ得る。いくつかの実施態様では、処理ステーション110の外側境界は、図14及び16に示されているように、ポンプ/パージインサート330のプレナム336と流体連結している開口部338の最も外側のエッジである。 The boundaries of the processing station 110 can be considered the regions within which the process gases are separated by the pump/purge inserts 330 . In some embodiments, the outer boundary of the processing station 110 is the outermost edge of the opening 338 in fluid communication with the plenum 336 of the pump/purge insert 330, as shown in FIGS. be.

処理ステーション110の数は、ヒータ230及び支持アーム220の数と共に変動し得る。いくつかの実施態様では、等しい数のヒータ230、支持アーム220及び処理ステーション110が存在する。いくつかの実施態様では、ヒータ230、支持アーム220及び処理ステーション110は、ヒータ230の支持表面231のそれぞれが同時に異なる処理ステーション110の前面214に隣接して位置し得るように構成されている。つまり、ヒータのそれぞれは同時に処理ステーションに位置決めされている。 The number of processing stations 110 can vary along with the number of heaters 230 and support arms 220 . In some embodiments, there are equal numbers of heaters 230, support arms 220 and processing stations 110. FIG. In some embodiments, the heaters 230, support arms 220, and processing stations 110 are configured such that each of the support surfaces 231 of the heaters 230 can be positioned adjacent the front surface 214 of different processing stations 110 at the same time. That is, each of the heaters are positioned at the processing station at the same time.

処理チャンバ100の周りの処理ステーション110の間隔はさまざまであり得る。いくつかの実施態様では、処理ステーション110は、ステーション間の間隔を最小限に抑えるのに十分近いため、基板は、最小限の時間及びステーションのうちの一つの外側の移動距離を費やしながら処理ステーション110間を迅速に移動することができる。いくつかの実施態様では、処理ステーション110は、ヒータ230の支持表面231上に移送されているウエハが常に処理ステーション110の一つの中にあるのに十分近く位置決めされている。 The spacing of processing stations 110 around processing chamber 100 may vary. In some embodiments, the processing stations 110 are close enough to minimize the spacing between the stations so that the substrate can pass through the processing stations while spending a minimum amount of time and travel distance outside one of the stations. 110 can be moved quickly. In some embodiments, processing stations 110 are positioned close enough that a wafer being transferred onto support surface 231 of heater 230 is always within one of processing stations 110 .

図17は、本開示の一又は複数の実施態様による処理プラットフォーム400を示す。図17に示す実施態様は、1つの可能な構成を単に表すものであり、本開示の範囲を限定するものとして解釈するべきではない。例えば、ある実施態様では、処理プラットフォーム400は、示されている実施態様とは異なる数の、処理チャンバ100、バッファステーション420、及び/又はロボット430構成のうちの一又は複数を有する。 FIG. 17 illustrates a processing platform 400 according to one or more implementations of the disclosure. The embodiment shown in FIG. 17 merely represents one possible configuration and should not be construed as limiting the scope of the present disclosure. For example, in some implementations, the processing platform 400 has one or more of a different number of processing chambers 100, buffer stations 420, and/or robot 430 configurations than the implementation shown.

例示の処理プラットフォームには、複数の側面411、412、413、414を有する中央移送ステーション410が含まれる。示されている移送ステーション410は、第1の側面411、第2の側面412、第3の側面413及び第4の側面414を有する。ここでは4つの側面が示されているが、当業者であれば、例えば、処理プラットフォーム400の全体的な構成に応じて、移送ステーション410に任意の適切な数の側面があってもよいことを理解するであろう。いくつかの実施態様では、移送ステーション410は、3つの側面、4つの側面、5つの側面、6つの側面、7つの側面又は8つの側面を有する。 The exemplary processing platform includes a central transfer station 410 having multiple sides 411 , 412 , 413 , 414 . The transfer station 410 shown has a first side 411 , a second side 412 , a third side 413 and a fourth side 414 . Although four sides are shown here, those skilled in the art will appreciate that the transfer station 410 may have any suitable number of sides depending, for example, on the overall configuration of the processing platform 400. will understand. In some implementations, the transfer station 410 has three sides, four sides, five sides, six sides, seven sides, or eight sides.

移送ステーション410は、その中に位置するロボット430を有する。ロボット430は、処理中にウエハを移動させることが可能な任意の適切なロボットであり得る。いくつかの実施態様では、ロボット430は、第1のアーム431及び第2のアーム432を有する。第1のアーム431及び第2のアーム432は、他方のアームから独立して移動することができる。第1のアーム431及び第2のアーム432は、x-y面において及び/又はz軸に沿って移動することができる。いくつかの実施態様では、ロボット430は、第3のアーム(図示せず)又は第4のアーム(図示せず)を含む。各アームは、他方のアームから独立して移動することができる。 Transfer station 410 has a robot 430 positioned therein. Robot 430 may be any suitable robot capable of moving wafers during processing. In some implementations, robot 430 has a first arm 431 and a second arm 432 . The first arm 431 and the second arm 432 can move independently from the other arm. First arm 431 and second arm 432 can move in the xy plane and/or along the z-axis. In some implementations, robot 430 includes a third arm (not shown) or a fourth arm (not shown). Each arm can move independently from the other arm.

示されている実施態様には、6つの処理チャンバ100が含まれており、2つは中央移送ステーション410の第2の側面412、第3の側面413及び第4の側面414のそれぞれに接続している。処理チャンバ100のそれぞれは、異なるプロセスを実施するよう構成され得る。 The illustrated embodiment includes six processing chambers 100 , two connected to each of second side 412 , third side 413 and fourth side 414 of central transfer station 410 . ing. Each of the processing chambers 100 can be configured to perform different processes.

処理プラットフォーム400には、中央移送ステーション410の第1の側面411に接続した一又は複数のバッファステーション420も含まれ得る。バッファステーション420は同じ又は異なる機能を実施することができる。例えば、バッファステーションは、ウエハのカセットを保持し得る。このウエハのカセットは、処理されて元のカセットに戻される。又は、バッファステーションの一つは、未処理のウエハを保持し得る。このウエハは、処理された後に他のバッファステーションに移動する。いくつかの実施態様では、バッファステーションのうちの一又は複数は、処理の前及び/又は後にウエハを前処理、予加熱、又は洗浄するように構成されている。 Processing platform 400 may also include one or more buffer stations 420 connected to first side 411 of central transfer station 410 . Buffer station 420 may perform the same or different functions. For example, a buffer station may hold a cassette of wafers. This wafer cassette is processed and returned to the original cassette. Alternatively, one of the buffer stations may hold unprocessed wafers. This wafer moves to another buffer station after being processed. In some implementations, one or more of the buffer stations are configured to pretreat, preheat, or clean the wafers before and/or after processing.

処理プラットフォーム400は、中央移送ステーション410と任意の処理チャンバ100との間に一又は複数のスリット弁418をさらに含み得る。スリット弁418は、開閉して、中央移送ステーション410内の環境から処理チャンバ100内の内部空間を隔離することができる。例えば、処理チャンバが処理中にプラズマを生成する場合、浮遊プラズマが移送ステーション内のロボットを損傷することを防止するために、その処理チャンバのスリット弁を閉じることが役立つ場合がある。 Processing platform 400 may further include one or more slit valves 418 between central transfer station 410 and any of the processing chambers 100 . Slit valve 418 can be opened and closed to isolate the interior space within processing chamber 100 from the environment within central transfer station 410 . For example, if a processing chamber generates plasma during processing, it may be helpful to close the slit valve for that processing chamber to prevent stray plasma from damaging the robot in the transfer station.

処理プラットフォーム400をファクトリインターフェース450に接続することができ、それにより、処理プラットフォーム400にウエハ又はウエハのカセットをロードすることが可能になる。ファクトリインターフェース450内のロボット455は、ウエハ又はカセットをバッファステーションに出入りするように使用することができる。中央移送ステーション410内のロボット430によって、ウエハ又はカセットを処理プラットフォーム400内で移動させることができる。いくつかの実施態様では、ファクトリインターフェース450は、別のクラスタツールの移送ステーション(すなわち、別の複数のチャンバ処理プラットフォーム)である。 The processing platform 400 can be connected to a factory interface 450, which allows loading the processing platform 400 with wafers or cassettes of wafers. A robot 455 within the factory interface 450 can be used to move wafers or cassettes in and out of the buffer station. Wafers or cassettes may be moved within the processing platform 400 by a robot 430 within the central transfer station 410 . In some implementations, the factory interface 450 is another cluster tool transfer station (ie, another multiple chamber processing platform).

コントローラ495を処理プラットフォーム400のさまざまな構成要素に提供及び連結させて、それらの動作を制御することができる。コントローラ495は、処理プラットフォーム400全体を制御する単一のコントローラ、又は、処理プラットフォーム400の個々の部分を制御する複数のコントローラであり得る。例えば、処理プラットフォーム400には、個々の処理チャンバ100、中央移送ステーション410、ファクトリインターフェース450及びロボット430のそれぞれ用の別個のコントローラが含まれ得る。 A controller 495 may be provided and coupled to various components of processing platform 400 to control their operation. Controller 495 may be a single controller that controls the entire processing platform 400 or multiple controllers that control individual portions of the processing platform 400 . For example, processing platform 400 may include separate controllers for each of the individual processing chambers 100 , central transfer station 410 , factory interface 450 and robot 430 .

いくつかの実施態様では、コントローラ495は、中央処理装置(CPU)496、メモリ497、及びサポート回路498を含む。コントローラ495は、直接的に、又は、特定の処理チャンバ及び/若しくは支援システムの構成要素と関連づけられたコンピュータ(若しくはコントローラ)を介して、処理プラットフォーム400を制御し得る。 In some implementations, controller 495 includes a central processing unit (CPU) 496 , memory 497 , and support circuitry 498 . Controller 495 may control processing platform 400 either directly or through a computer (or controller) associated with a particular processing chamber and/or support system component.

コントローラ495は、さまざまなチャンバ及びサブプロセッサを制御するための工業環境で使用され得る任意の形態の汎用コンピュータプロセッサのうちの一つであり得る。コントローラ495のメモリ又はコンピュータ可読媒体497は、ランダムアクセスメモリ(RAM:random access memory)、読取り専用メモリ(ROM:read only memory)、フロッピーディスク、ハードディスク、光記憶媒体(例えば、コンパクトディスク若しくはデジタルビデオディスク)、フラッシュドライブ、又はローカル若しくは遠隔の任意の他の形態のデジタルストレージなど、容易に入手可能なメモリのうちの一又は複数であり得る。メモリ497は、処理プラットフォーム400のパラメータ及び構成要素を制御するためにプロセッサ(CPU496)によって動作可能な命令セットを保持し得る。 Controller 495 can be one of any form of general purpose computer processor that can be used in an industrial environment to control the various chambers and sub-processors. The memory or computer readable medium 497 of the controller 495 may be random access memory (RAM), read only memory (ROM), floppy disk, hard disk, optical storage medium (e.g., compact disk or digital video disk). ), a flash drive, or any other form of digital storage, local or remote. Memory 497 may retain a set of instructions operable by processor (CPU 496 ) to control parameters and components of processing platform 400 .

支援回路498は、従来の様態でプロセッサを支持するためにCPU496に連結される。これらの回路は、キャッシュ、電力供給部、クロック回路、入出力回路、及びサブシステムなどを含む。一又は複数の処理は、プロセッサにより実行され又は呼び出されるときに、プロセッサに本明細書に記載されるやり方で処理プラットフォーム400又は個別の処理チャンバの動作を制御させるソフトウェアルーチンとしてメモリ498に記憶され得る。ソフトウェアルーチンは、CPU496によって制御されるハードウェアから遠隔に位置付けられた第2のCPU(図示せず)によっても、格納及び/又は実行され得る。 Support circuitry 498 is coupled to CPU 496 for supporting the processor in a conventional manner. These circuits include cache, power supplies, clock circuits, input/output circuits, subsystems, and the like. One or more processes may be stored in memory 498 as software routines that, when executed or invoked by the processor, cause the processor to control the operation of processing platform 400 or individual processing chambers in the manner described herein. . Software routines may also be stored and/or executed by a second CPU (not shown) located remotely from the hardware controlled by CPU 496 .

本開示の処理及び方法の一部又はすべてをハードウェアで実行することもできる。したがって、処理は、ソフトウェア内に実装され、コンピュータシステムを使用して、例えば、特定用途向け集積回路若しくは他の種類のハードウェア実装形態としての、又はソフトウェアとハードウェアとの組合せとしてのハードウェア内で実行され得る。ソフトウェアルーチンは、プロセッサによって実行されると、汎用コンピュータを、処理が実行されるようにチャンバの動作を制御する特定用途コンピュータ(コントローラ)に変換する。 Some or all of the processes and methods of this disclosure may also be implemented in hardware. Accordingly, the processing may be implemented in software and implemented in hardware using a computer system, e.g., as an application specific integrated circuit or other type of hardware implementation, or as a combination of software and hardware. can be run with The software routines, when executed by the processor, transform a general-purpose computer into a specific-purpose computer (controller) that controls the operation of the chamber so that the process is performed.

いくつかの実施態様では、コントローラ495は、個々の処理又は二次処理を実行して当該方法を実行するための一又は複数の構成を有する。コントローラ495は、中間構成要素に接続され、中間構成要素を作動させて方法の機能を実行するように構成され得る。例えば、コントローラ495は、ガス弁、アクチュエータ、モータ、スリット弁、真空制御又は他の構成要素などの一又は複数に接続され、これらを制御するように構成され得る。 In some implementations, the controller 495 has one or more configurations for performing individual or sub-processes to carry out the method. A controller 495 may be connected to the intermediate components and configured to operate the intermediate components to perform the functions of the method. For example, controller 495 may be configured to connect to and control one or more of gas valves, actuators, motors, slit valves, vacuum controls, or other components.

図18Aから18Iは、異なる処理ステーション110を有する処理チャンバ100のさまざまな構成を示している。文字を付した円は、異なる処理ステーション110及び処理条件を表す。例えば、図18Aでは、それぞれ異なる文字の4つの処理ステーション110が存在する。これは、それぞれが他のステーションとは異なる条件を有する4つの処理ステーション110を表す。矢印で示しているように、ウエハを有するヒータをステーションAからDへ移動させることにより処理が生じ得る。Dへの曝露後、サイクルは継続するか又は反転する。 18A through 18I show various configurations of processing chamber 100 having different processing stations 110. FIG. Lettered circles represent different processing stations 110 and processing conditions. For example, in Figure 18A there are four processing stations 110, each with a different character. This represents four processing stations 110 each having different conditions than the other stations. Processing may occur by moving the heater with the wafer from station A to D, as indicated by the arrows. After exposure to D, the cycle continues or reverses.

図18Bでは、2から4のウエハが同時に処理され得る。ウエハは、ヒータ上でAの位置とBの位置との間を往復する。2つのウエハはAの位置で開始し、また2つのウエハはBの位置から開始し得る。独立した処理ステーション110は、各ウエハがA曝露で開始するように、第1のサイクル中にステーションのうちの二つがオフになること可能にする。ヒータ及びウエハは、時計回り又は反時計回りのいずれかで継続して回転され得る。いくつかの実施態様では、ヒータ及びウエハは、第1の方向(例えば、AからB)に90°回転され、その後、第2の方向(例えば、BからAへ戻る)に90°回転される。この回転は繰り返されて、支持アセンブリを約90°超回転させることなく4つのウエハ/ヒータが処理され得る。 In FIG. 18B, 2 to 4 wafers can be processed simultaneously. The wafer shuttles between positions A and B on the heater. Two wafers may start at the A position and two wafers may start at the B position. Independent processing stations 110 allow two of the stations to be turned off during the first cycle so that each wafer starts with an A exposure. The heater and wafer can be continuously rotated either clockwise or counterclockwise. In some implementations, the heater and wafer are rotated 90° in a first direction (eg, A to B) and then rotated 90° in a second direction (eg, B back to A). . This rotation can be repeated to process four wafers/heaters without rotating the support assembly more than about 90°.

図18Bで示されている実施態様はまた、4つの処理ステーション110で2つのウエハを処理するのに有用な場合がある。これは、処理の一つが非常に異なる圧力であるか、又はAとBの処理時間が非常に異なる場合に、特に有用であり得る。 The embodiment shown in FIG. 18B may also be useful for processing two wafers at four processing stations 110. FIG. This can be particularly useful if one of the treatments is at very different pressures or if A and B have very different treatment times.

図18Cでは、3つのウエハが、単一処理チャンバ100において及びABCプロセスにおいて処理され得る。一つのステーションは、オフにされ得るか又は異なる機能(例えば、予備加熱)を実施し得る。 In FIG. 18C, three wafers can be processed in a single processing chamber 100 and in the ABC process. One station may be turned off or perform a different function (eg preheating).

図18Dでは、2つのウエハがAB処理プロセスで処理され得る。例えば、ウエハはBヒータ上にのみ置かれ得る。時計回りに1/4回転すると、1つのウエハがAステーションに置かれ、第2のウエハがTステーションに置かれる。元に戻すと、両方のウエハがBステーションに移動し、反時計回りにさらに1/4回転すると、第2番のウエハがAステーションに置かれ、第1のウエハがBステーションに置かれる。 In FIG. 18D, two wafers may be processed with the AB processing process. For example, a wafer can only be placed on the B heater. A clockwise quarter turn places one wafer at the A station and the second wafer at the T station. When put back, both wafers move to the B station, and another 1/4 turn counterclockwise places the second wafer at the A station and the first wafer at the B station.

図18Eでは、最大4つのウエハが同時に処理され得る。例えば、AステーションがCVD又はALDプロセスを実施するよう構成されている場合、4つのウエハは同時に処理され得る。 In Figure 18E, up to four wafers can be processed simultaneously. For example, if the A station is configured to perform a CVD or ALD process, four wafers can be processed simultaneously.

図18Fから18Iは、3つの処理ステーション110を有する処理チャンバ100の同様のタイプの構成を示している。簡潔には、図18Fでは、単一ウエハ(又は複数)はABCプロセスに供され得る。図18Gでは、2つのウエハが、一方をAの位置に、他方をBの位置に置くことにより、ABプロセスに供され得る。その後、Bの位置で開始するウエハが第1の移動でAの位置に移動し、その後同じBの位置に戻るように、ウエハは往復し得る。図18Hでは、ウエハはAB処理プロセスに供され得る。図18Iでは、3つのウエハが同時に処理され得る。 18F through 18I show a similar type of configuration for a processing chamber 100 having three processing stations 110. FIG. Briefly, in Figure 18F, a single wafer (or multiple) may be subjected to the ABC process. In FIG. 18G, two wafers can be subjected to the AB process by placing one in position A and the other in position B. In FIG. The wafer can then be shuttled such that starting at position B, the wafer moves to position A in a first move and then back to the same B position. In Figure 18H, the wafer may be subjected to an AB treatment process. In FIG. 18I, three wafers can be processed simultaneously.

図19A及び19Bは、本開示の別の実施態様を示している。図19Aは、ヒータ230、及びウエハ101がガスインジェクタ112に隣接するように処理ステーション110の下の位置に回転された支持プレート245の部分図を示す。支持プレート245上、又はヒータ230の外側部分上のOリング329は、緩和状態である。 Figures 19A and 19B illustrate another embodiment of the present disclosure. FIG. 19A shows a partial view of heater 230 and support plate 245 rotated to a position below processing station 110 so that wafer 101 is adjacent gas injector 112 . The O-ring 329 on the support plate 245 or on the outer portion of the heater 230 is relaxed.

図19Bは、ヒータ230の支持表面231が処理ステーション110のガスインジェクタ112の前面114と接触するか又はほぼ接触するように処理ステーション110へ向かって移動した後の、支持プレート245及びヒータ230を示す。この位置では、Oリング329は圧縮されて、支持プレート245の外縁又はヒータ230の外側部分の周りにシールが形成される。これは、ウエハ101が可能な限りガスインジェクタ112の近くに移動し、反応領域219が迅速にパージされ得るように反応領域219の空間を最小化することを可能にする。 FIG. 19B shows support plate 245 and heater 230 after it has been moved toward processing station 110 such that support surface 231 of heater 230 contacts or nearly contacts front surface 114 of gas injector 112 of processing station 110 . . In this position, O-ring 329 is compressed to form a seal around the outer edge of support plate 245 or outer portion of heater 230 . This allows the wafer 101 to move as close to the gas injector 112 as possible and minimize the space in the reaction area 219 so that the reaction area 219 can be quickly purged.

反応領域219から流れ出る可能性のあるガスは、開口部338を通ってプレナム336中へ及び排気孔又はフォアライン(図示せず)へ排気される。開口部338の外側のパージガスカーテンは、パージガスプレナム370及びパージガスポート371により生成され得る。さらに、ヒータ230と支持プレート245との間の間隙137は、反応領域219をさらにカーテンで仕切り、反応性ガスが処理チャンバ100の内部空間109中へ流れることを防ぐのに役立つ可能性がある。 Gases that may flow out of reaction region 219 are vented through opening 338 into plenum 336 and to an exhaust or foreline (not shown). A purge gas curtain outside opening 338 may be created by purge gas plenum 370 and purge gas port 371 . Additionally, the gap 137 between the heater 230 and the support plate 245 may further curtain the reaction region 219 to help prevent reactive gases from flowing into the interior space 109 of the processing chamber 100 .

図17に戻ると、いくつかの実施態様のコントローラ495は、複数の処理チャンバ間でロボット上の基板を移動させる構成;システムから基板をロード及び/又はアンロードする構成;スリット弁を開閉する構成;ヒータの一又は複数に電力を提供する構成;ヒータの温度を測定する構成;ヒータ上のウエハの温度を測定する構成;ヒータからウエハをロード又はアンロードする構成;温度測定とヒータ電力制御との間でフィードバックを提供する構成;回転軸を中心に支持アセンブリを回転させる構成;支持アセンブリを回転軸に沿って(すなわち、z軸に沿って)移動させる構成;支持アセンブリの回転速度を設定又は変更する構成;ガスインジェクタへのガスの流れを提供する構成;一又は複数の電極へ電力を提供してガスインジェクタ中でプラズマを生成する構成;プラズマ源の電力供給を制御する構成;プラズマ源電力供給の頻度及び/若しくは電力を制御する構成;並びに/又は熱アニール処理ステーションの制御を提供する構成から選択される一又は複数の構成を有する。 Returning to FIG. 17, the controller 495 of some embodiments is configured to move substrates on a robot between multiple processing chambers; configured to load and/or unload substrates from the system; configured to open and close slit valves. configuration for providing power to one or more of the heaters; configuration for measuring the temperature of the heater; configuration for measuring the temperature of the wafer on the heater; configuration for loading or unloading the wafer from the heater; temperature measurement and heater power control; configuration to rotate the support assembly about the axis of rotation; configuration to move the support assembly along the axis of rotation (i.e., along the z-axis); configuration for providing gas flow to the gas injector; configuration for providing power to one or more electrodes to generate a plasma in the gas injector; configuration for controlling power supply to the plasma source; plasma source power. and/or a configuration that provides control of the thermal annealing station.

一又は複数の実施態様は、処理チャンバ100を操作する方法に関する。一又は複数の実施態様では、該方法は、xの数の空間的に分離され隔離された処理ステーション110を含む処理チャンバ100を提供することを含む。一又は複数の実施態様では、xは2から10の範囲の整数である。一又は複数の実施態様では、xは基板支持表面の数を指す。他の実施態様では、xは基板表面の数又は処理ステーションの数を指す。いくつかの実施態様では、基板支持表面の数及び処理ステーションの数は、同一であり、xに等しい。一又は複数の実施態様では、xは2から6の範囲の整数である。一又は複数の実施態様では、xは、2、3、4、5、6、7、8、9、又は10から選択される。他の実施態様では、xは、2、3、4、5、又は6から選択される。一又は複数の実施態様では、xは4である。 One or more embodiments relate to a method of operating processing chamber 100 . In one or more embodiments, the method includes providing a processing chamber 100 that includes x number of spatially separated and isolated processing stations 110 . In one or more embodiments, x is an integer in the range of 2-10. In one or more embodiments, x refers to the number of substrate support surfaces. In other embodiments, x refers to the number of substrate surfaces or the number of processing stations. In some implementations, the number of substrate support surfaces and the number of processing stations are the same and equal to x. In one or more embodiments, x is an integer ranging from 2 to 6. In one or more embodiments, x is selected from 2, 3, 4, 5, 6, 7, 8, 9, or 10. In other embodiments, x is selected from 2, 3, 4, 5, or 6. In one or more embodiments, x is four.

いくつかの実施態様では、x’は、異なる空間的に分離され隔離された処理ステーションの数を指す。異なる空間的に分離され隔離された処理ステーションは、処理ステーションにおける異なる処理条件を指す。例えば、2つの異なる処理条件を含む4つの処理ステーションが存在するシステムでは、x’は2に等しい。この種の実施態様は、各タイプのプロセス条件を有する同数のステーションを有する。一又は複数の実施態様では、第1の処理ステーションが第1の処理条件を有し、第2の処理ステーションが第2の処理条件を有し、処理ステーションのすべての周りを回転するウエハが各処理条件に2回曝露されるように、処理チャンバは、交互する第1の処理ステーションと第2の処理ステーションとに分離される4つの処理ステーションを有する。例えば、図7は、4つの処理ステーションにおいて2つの異なるタイプの処理条件(A及びB)が存在する実施態様を説明している。この例では、x=4であり、x’=2である。 In some embodiments, x' refers to the number of different spatially separated and isolated processing stations. Different spatially separated and isolated processing stations refer to different processing conditions at the processing stations. For example, in a system with four processing stations containing two different processing conditions, x' equals two. An embodiment of this kind would have the same number of stations with each type of process condition. In one or more embodiments, a first processing station has a first processing condition, a second processing station has a second processing condition, and a wafer rotating around all of the processing stations is The processing chamber has four processing stations separated into alternating first processing stations and second processing stations so that they are exposed twice to the processing conditions. For example, FIG. 7 describes an embodiment in which there are two different types of process conditions (A and B) at four process stations. In this example, x=4 and x'=2.

一又は複数の実施態様では、処理チャンバ100は処理チャンバ温度を有し、各処理ステーション110は、独立して処理ステーション温度を有し、処理チャンバ温度は処理ステーション温度とは異なる。一又は複数の実施態様では、xの数の空間的に分離され隔離された処理ステーション110に位置合わせされた複数の基板支持表面231を有する基板支持アセンブリ200は、各基板支持表面231が隣接する基板支持表面231へ第1の方向に(360/x)度回転するよう、(rx-1)回回転する。本明細書で使用される場合、用語「(rx-1)」は、基板支持アセンブリの回数(すなわち、回転数)を指す。一又は複数の実施態様では、rは、処理サイクル(すなわち、ALDサイクル)の数を表し、1以上の整数である。いくつかの実施態様では、rは、10超、50超、又は100超である。一又は複数の実施態様では、rは、1から10の範囲、又は1から8の範囲、又は1から6の範囲、又は1から4の範囲にあるか、又は1、2、3若しくは4から選択される。他の実施態様出は、rは1である。またさらなる実施態様では、rは、2、3又は4である。 In one or more embodiments, the processing chamber 100 has a processing chamber temperature and each processing station 110 independently has a processing station temperature, the processing chamber temperature being different than the processing station temperature. In one or more embodiments, a substrate support assembly 200 having a plurality of substrate support surfaces 231 aligned with x number of spatially separated and isolated processing stations 110 is such that each substrate support surface 231 is adjacent Rotate (rx−1) times to rotate (360/x) degrees in the first direction to the substrate support surface 231 . As used herein, the term “(rx−1)” refers to the number of times (ie, revolutions) of the substrate support assembly. In one or more embodiments, r represents the number of treatment cycles (ie, ALD cycles) and is an integer greater than or equal to 1. In some embodiments, r is greater than 10, greater than 50, or greater than 100. In one or more embodiments, r ranges from 1 to 10, or from 1 to 8, or from 1 to 6, or from 1 to 4, or from 1, 2, 3, or 4 to selected. In another embodiment, r is 1. In a still further embodiment, r is 2, 3 or 4.

一又は複数の実施態様では、基板支持アセンブリ200は、その後、各基板支持表面231が隣接する基板支持表面231へ第2の方向に(360/x)度回転するよう、(rx-1)回回転する。 In one or more embodiments, the substrate support assembly 200 is then rotated (rx−1) times such that each substrate support surface 231 rotates (360/x) degrees in the second direction to the adjacent substrate support surface 231 . Rotate.

一又は複数の実施態様では、第1の方向と第2の方向は互いに対向している。一又は複数の実施態様では、第1の方向は、反時計回り又は時計回りから選択される。一又は複数の実施態様では、第2の方向は、反時計回り又は時計回りの他方である。 In one or more implementations, the first direction and the second direction are opposite each other. In one or more embodiments, the first direction is selected from counterclockwise or clockwise. In one or more embodiments, the second direction is the other of counterclockwise or clockwise.

一又は複数の実施態様では、複数の基板支持表面231は実質的に同一平面にある。このように使用される場合、「実質的に同一平面にある」とは、個別の支持表面231により形成された平面が、他の支持表面231により形成された平面の±5°、±4°、±3°、±2°、又は±1°内にあることを意味する。いくつかの実施態様では、用語「実質的に同一平面にある」とは、個別の支持表面により形成された平面が±50μm、±40μm、±30μm、±20μm又は±10μm内であることを意味する。 In one or more embodiments, the substrate support surfaces 231 are substantially coplanar. As used in this manner, "substantially coplanar" means that the plane formed by an individual support surface 231 is ±5°, ±4° from the plane formed by the other support surface 231. , ±3°, ±2°, or ±1°. In some embodiments, the term "substantially coplanar" means that the planes formed by the individual support surfaces are within ±50 μm, ±40 μm, ±30 μm, ±20 μm, or ±10 μm. do.

一又は複数の実施態様では、基板支持表面は、ウエハを支持することができるヒータ230を含む。いくつかの実施態様では、基板支持表面又はヒータ230は、静電チャックを含む。 In one or more embodiments, the substrate support surface includes heaters 230 that can support the wafer. In some implementations, substrate support surface or heater 230 includes an electrostatic chuck.

一又は複数の実施態様では、方法は、処理チャンバ温度又は処理ステーション温度の一又は複数を制御することをさらに含む。 In one or more embodiments, the method further comprises controlling one or more of the process chamber temperature or the process station temperature.

一又は複数の実施態様では、方法は、複数の基板支持アセンブリ200の回転速度(rx-1)を制御することをさらに含む。 In one or more implementations, the method further includes controlling a rotational speed (rx−1) of the plurality of substrate support assemblies 200 .

本開示の一又は複数の実施態様は、処理チャンバ100を操作する方法に関する。一又は複数の実施態様では、少なくとも二つの異なる処理ステーション110、第1の基板支持表面231、第2の基板支持表面231、第3の基板支持表面231、及び第4の基板支持表面231を含む基板支持アセンブリ200であって、各基板支持表面231が処理ステーション110に位置合わせされた初期位置にある、基板支持アセンブリを有する処理チャンバ100を提供することを含む。第1の基板支持表面231上の第1のウエハは、第1の処理条件に曝露される。基板支持アセンブリ200は第1の方向に回転し、第1のウエハを第2の基板支持表面231の初期位置に移動させる。第1のウエハは第2の処理条件に曝露される。基板支持アセンブリ200は第1の方向に回転し、第1のウエハを第3の基板支持表面231の初期位置に移動させる。第1のウエハは第3の処理条件に曝露される。基板支持アセンブリ200は第1の方向に回転し、第1のウエハを第4の基板支持表面231の初期位置に移動させる。第1のウエハは第4の処理条件に曝露される。基板支持アセンブリ200は第2の方向に回転し、第1のウエハを第3の基板支持表面231の初期位置に移動させる。第1のウエハは第3の処理条件に曝露される。基板支持アセンブリ200は第2の方向に回転し、第1のウエハを第2の基板支持表面231の初期位置に移動させる。第1のウエハは第2の処理条件に曝露される。基板支持アセンブリ200は第2の方向に回転し、第1のウエハを第1の基板支持表面231の初期位置に移動させ、第1のウエハは第1の処理条件に曝露される。一又は複数の実施態様では、処理条件は、温度、圧力、反応性ガスなどのうちの一又は複数を含む。 One or more embodiments of the present disclosure relate to a method of operating processing chamber 100 . One or more embodiments include at least two different processing stations 110, a first substrate supporting surface 231, a second substrate supporting surface 231, a third substrate supporting surface 231, and a fourth substrate supporting surface 231. This includes providing the processing chamber 100 with the substrate support assembly 200 in an initial position with each substrate support surface 231 aligned with the processing station 110 . A first wafer on first substrate support surface 231 is exposed to first processing conditions. Substrate support assembly 200 rotates in a first direction to move the first wafer to an initial position on second substrate support surface 231 . The first wafer is exposed to second processing conditions. Substrate support assembly 200 rotates in a first direction to move the first wafer to an initial position on third substrate support surface 231 . The first wafer is exposed to third processing conditions. Substrate support assembly 200 rotates in a first direction to move the first wafer to an initial position on fourth substrate support surface 231 . The first wafer is exposed to fourth processing conditions. Substrate support assembly 200 rotates in a second direction to move first wafer to an initial position on third substrate support surface 231 . The first wafer is exposed to third processing conditions. The substrate support assembly 200 rotates in a second direction to move the first wafer to an initial position on the second substrate support surface 231 . The first wafer is exposed to second processing conditions. Substrate support assembly 200 rotates in a second direction to move the first wafer to an initial position on first substrate support surface 231 and expose the first wafer to a first process condition. In one or more embodiments, processing conditions include one or more of temperature, pressure, reactive gases, and the like.

一又は複数の実施態様では、方法は、第2の基板支持表面231上の第2のウエハを第2の処理条件に曝露することと;基板支持アセンブリ200を第1の方向に回転させて、第2のウエハを第3の基板支持表面231の初期位置に移動させることと;第2のウエハを第3の処理条件に曝露することと;基板支持アセンブリ200を第1の方向に回転させて、第2のウエハを第4の基板支持表面231の初期位置に移動させることと;第2のウエハを第4の処理条件に曝露することと;基板支持アセンブリ200を第1の方向に回転させて、第2のウエハを第1の基板支持表面231の初期位置に移動させることと;第2のウエハを第1の処理条件に曝露することと;基板支持アセンブリ200を第2の方向に回転させて、第2のウエハを第4の基板支持表面231の初期位置に移動させることと;第2のウエハを第4の処理条件に曝露することと;基板支持アセンブリ200を第2の方向に回転させて、第2のウエハを第3の基板支持表面231の初期位置に移動させることと;第2のウエハを第3の処理条件に曝露することと;基板支持アセンブリ200を第2の方向に回転させて、第2のウエハを第2の基板支持表面231の初期位置に移動させることと;第2のウエハを第2の処理条件に曝露することと;をさらに含む。 In one or more embodiments, the method includes exposing a second wafer on the second substrate support surface 231 to a second processing condition; rotating the substrate support assembly 200 in a first direction; moving the second wafer to an initial position on the third substrate support surface 231; exposing the second wafer to a third process condition; rotating the substrate support assembly 200 in the first direction to , moving the second wafer to an initial position on the fourth substrate support surface 231; exposing the second wafer to fourth processing conditions; rotating the substrate support assembly 200 in the first direction. to move the second wafer to an initial position on the first substrate support surface 231; expose the second wafer to a first process condition; rotate the substrate support assembly 200 in a second direction. moving the second wafer to an initial position on the fourth substrate support surface 231; exposing the second wafer to fourth processing conditions; and moving the substrate support assembly 200 in the second direction. rotating to move the second wafer to an initial position on the third substrate support surface 231; exposing the second wafer to a third process condition; and orienting the substrate support assembly 200 in a second orientation. to move the second wafer to an initial position on the second substrate support surface 231; and exposing the second wafer to a second processing condition.

一又は複数の実施態様では、方法は、第3の基板支持表面231上の第3のウエハを第3の処理条件に曝露することと;基板支持アセンブリ200を第1の方向に回転させて、第3のウエハを第4の基板支持表面231の初期位置に移動させることと;第3のウエハを第4の処理条件に曝露することと;基板支持アセンブリ200を第1の方向に回転させて、第3のウエハを第1の基板支持表面231の初期位置に移動させることと;第3のウエハを第1の処理条件に曝露することと;基板支持アセンブリ200を第1の方向に回転させて、第3のウエハを第2の基板支持表面231の初期位置に移動させることと;第3のウエハを第2の処理条件に曝露することと;基板支持アセンブリ200を第2の方向に回転させて、第3のウエハを第1の基板支持表面231の初期位置に移動させることと;第3のウエハを第1の処理条件に曝露することと;基板支持アセンブリ200を第2の方向に回転させて、第3のウエハを第4の基板支持表面231の初期位置に移動させることと;第3のウエハを第4の処理条件に曝露することと;基板支持アセンブリ200を第2の方向に回転させて、第3のウエハを第3の基板支持表面231の初期位置に移動させることと;第3のウエハを第3の処理条件に曝露することと;をさらに含む。 In one or more embodiments, the method comprises exposing a third wafer on the third substrate support surface 231 to a third processing condition; rotating the substrate support assembly 200 in a first direction; moving the third wafer to an initial position on the fourth substrate support surface 231; exposing the third wafer to fourth processing conditions; rotating the substrate support assembly 200 in the first direction to , moving the third wafer to an initial position on the first substrate support surface 231; exposing the third wafer to the first processing conditions; rotating the substrate support assembly 200 in the first direction. to move the third wafer to an initial position on the second substrate support surface 231; expose the third wafer to second processing conditions; and rotate the substrate support assembly 200 in the second direction. moving the third wafer to an initial position on the first substrate support surface 231; exposing the third wafer to the first processing conditions; and moving the substrate support assembly 200 in the second direction. rotating to move the third wafer to an initial position on the fourth substrate support surface 231; exposing the third wafer to fourth processing conditions; and orienting the substrate support assembly 200 in a second orientation. to move the third wafer to an initial position on the third substrate support surface 231; and exposing the third wafer to a third processing condition.

他の実施態様では、方法は、第4の基板支持表面231上の第4のウエハを第4の処理条件に曝露することと;基板支持アセンブリ200を第1の方向に回転させて、第4のウエハを第1の基板支持表面231の初期位置に移動させることと;第4のウエハを第1の処理条件に曝露することと;基板支持アセンブリ200を第1の方向に回転させて、第4のウエハを第2の基板支持表面231の初期位置に移動させることと;第4のウエハを第2の処理条件に曝露することと;基板支持アセンブリ200を第1の方向に回転させて、第4のウエハを第3の基板支持表面231の初期位置に移動させることと;第4のウエハを第3の処理条件に曝露することと;基板支持アセンブリ200を第2の方向に回転させて、第4のウエハを第2の基板支持表面231の初期位置に移動させることと;第4のウエハを第2の処理条件に曝露することと;基板支持アセンブリ200を第2の方向に回転させて、第4のウエハを第1の基板支持表面231の初期位置に移動させることと;第4のウエハを第1の処理条件に曝露することと;基板支持アセンブリ200を第2の方向に回転させて、第4のウエハを第4の基板支持表面231の初期位置に移動させることと;第4のウエハを第4の処理条件に曝露することと;をさらに含む。 In other embodiments, the method includes exposing a fourth wafer on fourth substrate support surface 231 to a fourth process condition; rotating substrate support assembly 200 in a first direction to produce a fourth exposing a fourth wafer to a first process condition; rotating substrate support assembly 200 in a first direction to produce a first wafer; moving the four wafers to an initial position on the second substrate support surface 231; exposing the fourth wafer to second processing conditions; rotating the substrate support assembly 200 in the first direction to moving the fourth wafer to an initial position on the third substrate support surface 231; exposing the fourth wafer to a third process condition; rotating the substrate support assembly 200 in the second direction to , moving the fourth wafer to an initial position on the second substrate support surface 231; exposing the fourth wafer to second processing conditions; rotating the substrate support assembly 200 in a second direction. to move the fourth wafer to an initial position on the first substrate support surface 231; expose the fourth wafer to the first process conditions; and rotate the substrate support assembly 200 in the second direction. and moving the fourth wafer to an initial position on the fourth substrate support surface 231; and exposing the fourth wafer to a fourth processing condition.

図21は、本開示の一又は複数の実施態様による膜を堆積する方法600のフロー図を示している。図22は、本開示の一又は複数の実施態様による処理チャンバ構成を説明している。図21及び22を参照すると、方法600は動作620で開始し、ここで、少なくとも一つのウエハはxの数の基板支持表面上にロードされる。一又は複数の実施態様では、xは2から10の範囲の整数である。一又は複数の実施態様では、xは基板支持表面の数を指す。他の実施態様では、xは、基板表面の数又は処理ステーション110の数のうちの一又は複数を指す。いくつかの実施態様では、基板支持表面の数とウエハ及び/又は処理ステーションの数とは、同一であり、xに等しい。一又は複数の実施態様では、xは2から6の範囲の整数である。一又は複数の実施態様では、xは、2、3、4、5、6、7、8、9、又は10から選択される。他の実施態様では、xは、2、3、4、5、又は6から選択される。一又は複数の実施態様では、xは4である。 FIG. 21 shows a flow diagram of a method 600 of depositing a film according to one or more embodiments of the disclosure. FIG. 22 illustrates a processing chamber configuration according to one or more embodiments of the present disclosure. 21 and 22, method 600 begins with operation 620, where at least one wafer is loaded onto x number of substrate support surfaces. In one or more embodiments, x is an integer in the range of 2-10. In one or more embodiments, x refers to the number of substrate support surfaces. In other embodiments, x refers to one or more of the number of substrate surfaces or the number of processing stations 110 . In some implementations, the number of substrate support surfaces and the number of wafers and/or processing stations are the same and equal to x. In one or more embodiments, x is an integer ranging from 2 to 6. In one or more embodiments, x is selected from 2, 3, 4, 5, 6, 7, 8, 9, or 10. In other embodiments, x is selected from 2, 3, 4, 5, or 6. In one or more embodiments, x is four.

動作630では、各基板支持表面が隣接する処理ステーション110へ(360/x)度回転するよう、基板支持アセンブリは第1の方向に(rx-1)回回転する。rは1以上の整数である。数rは、処理サイクル(すなわち、ALDサイクル)の数を表す。本明細書で使用される場合、用語「(rx-1)」又は「(rx’-1)」は、基板支持アセンブリの回数(すなわち、回転数)を指す。 In operation 630 , the substrate support assembly rotates (rx−1) times in the first direction such that each substrate support surface rotates (360/x) degrees to the adjacent processing station 110 . r is an integer of 1 or more. The number r represents the number of processing cycles (ie ALD cycles). As used herein, the term “(rx−1)” or “(rx′−1)” refers to the number of times (ie, revolutions) of the substrate support assembly.

いくつかの実施態様では、処理チャンバの周りを完全に回転するために複数の処理サイクル(r)が存在する。例えば、図22は方法600による処理を説明しており、この方法には、x=4の処理ステーション110が存在し、x’=2の異なるタイプの処理条件(A及びB)が存在する。この実施態様では、基板支持アセンブリは各方向に奇数回回転して、両方の処理条件への交互の曝露が提供され得る。いくつかの実施態様では、各方向における回転数は(rx’-1)回に等しい。図7に示している実施態様では、r=2であり、x’=2であるため、第1の方向に3回の回転117a、117b、117cが存在する。 In some embodiments, there are multiple processing cycles (r) for a complete rotation around the processing chamber. For example, FIG. 22 describes processing according to method 600, in which there are x=4 processing stations 110 and x'=2 different types of processing conditions (A and B). In this embodiment, the substrate support assembly can be rotated an odd number of times in each direction to provide alternating exposure to both processing conditions. In some implementations, the number of rotations in each direction is equal to (rx'-1) times. In the embodiment shown in FIG. 7, there are three rotations 117a, 117b, 117c in the first direction since r=2 and x'=2.

動作640では、処理ステーションで、少なくとも一つのウエハの上面が処理条件に曝露されて、膜が形成される。一又は複数の実施態様では、処理条件は、温度、圧力、反応性ガスなどのうちの一又は複数を含む。一又は複数の実施態様では、形成された膜は実質的に均一な厚さを有する。本明細書で使用される場合、「実質的に均一」とは、形成された膜の±5nm、±4nm、±3nm、±2nm又は±1nm内である膜厚を指す。 At operation 640, the top surface of at least one wafer is exposed to process conditions to form a film at a process station. In one or more embodiments, processing conditions include one or more of temperature, pressure, reactive gases, and the like. In one or more embodiments, the film formed has a substantially uniform thickness. As used herein, "substantially uniform" refers to a film thickness that is within ±5 nm, ±4 nm, ±3 nm, ±2 nm, or ±1 nm of the formed film.

動作650では、各基板支持表面が隣接する処理ステーション110へ(360/x)度回転するよう、基板支持アセンブリは第2の方向に(rx-1)回又は(rx’-1)回回転する。図22に示しているように、第2の方向には3回の回転118a、118b、118cが存在する。 In operation 650, the substrate support assembly rotates (rx−1) or (rx′−1) times in the second direction such that each substrate support surface rotates (360/x) degrees to the adjacent processing station 110. . As shown in FIG. 22, there are three rotations 118a, 118b, 118c in the second direction.

決定点660では、所定の厚さの膜が基板上で形成された場合、方法は停止する。決定点660で所定の厚さの膜が基板上で得られなかった場合、所定の厚さが得られるまで処理サイクル625が繰り返される。 At decision point 660, the method stops if a predetermined thickness of film has been formed on the substrate. If at decision point 660 a film of the desired thickness has not been obtained on the substrate, then the processing cycle 625 is repeated until the desired thickness is obtained.

図23は、本開示の一又は複数の実施態様による膜を堆積する方法700のフロー図を示している。図24は、本開示の一又は複数の実施態様による処理チャンバ構成を説明している。図23及び24を参照すると、方法700は動作720で開始し、ここで、少なくとも一つのウエハはxの数の基板支持表面上にロードされる。一又は複数の実施態様では、xは2から10の範囲の整数である。一又は複数の実施態様では、xは基板支持表面の数を指す。他の実施態様では、xは、基板支持表面の数又は処理ステーション110の数のうちの一又は複数を指す。いくつかの実施態様では、基板支持表面の数とウエハ及び/又は処理ステーション110の数とは、同一であり、xに等しい。一又は複数の実施態様では、xは2から6の範囲の整数である。一又は複数の実施態様では、xは、2、3、4、5、6、7、8、9、又は10から選択される。他の実施態様では、xは、2、3、4、5、又は6から選択される。一又は複数の実施態様では、xは4である。 FIG. 23 shows a flow diagram of a method 700 of depositing a film according to one or more embodiments of the present disclosure. FIG. 24 illustrates a processing chamber configuration according to one or more embodiments of the present disclosure. 23 and 24, method 700 begins with operation 720, where at least one wafer is loaded onto x number of substrate support surfaces. In one or more embodiments, x is an integer in the range of 2-10. In one or more embodiments, x refers to the number of substrate support surfaces. In other embodiments, x refers to one or more of the number of substrate support surfaces or the number of processing stations 110 . In some implementations, the number of substrate support surfaces and the number of wafers and/or processing stations 110 are the same and equal to x. In one or more embodiments, x is an integer ranging from 2 to 6. In one or more embodiments, x is selected from 2, 3, 4, 5, 6, 7, 8, 9, or 10. In other embodiments, x is selected from 2, 3, 4, 5, or 6. In one or more embodiments, x is four.

動作730では、各基板支持表面が隣接する処理ステーション110へ回転するよう、基板支持アセンブリは第1の方向にrx回回転する。rは1以上の整数である。本明細書で使用される場合、用語「(rx)」は、基板支持アセンブリの回数(すなわち、回転数)を指す。例えば、図23及び24に示している実施態様では、4つの処理ステーションが存在するとき(すなわち、x=4のとき)、基板支持体は、第1の方向に少なくとも4回、及び第2の方向に少なくとも4回回転する。 In operation 730 , the substrate support assembly is rotated rx times in the first direction such that each substrate support surface is rotated to the adjacent processing station 110 . r is an integer of 1 or more. As used herein, the term "(rx)" refers to the number of times (ie, revolutions) of the substrate support assembly. For example, in the embodiment shown in Figures 23 and 24, when there are four processing stations (i.e., when x = 4), the substrate support moves at least four times in the first direction and in the second direction. Rotate at least 4 times in each direction.

いくつかの実施態様では、処理チャンバの周りを完全に回転するために複数の処理サイクルが存在する。例えば、図24は方法700による処理を説明しており、この方法には、x=4の処理ステーション110が存在し、x’=2の異なるタイプの処理条件(A及びB)が存在する。この実施態様では、基板支持アセンブリは各方向に回転して、両方の処理条件への交互の曝露が提供され得る。いくつかの実施態様では、各方向における回転数はrx回に等しい。図24に示している実施態様では、第1の方向における4回の回転117a、117b、117c、117dにより、二つの完全なALDサイクルがもたらされる。基板は初期処理ステーション110へ戻る。 In some embodiments, there are multiple processing cycles to complete a full rotation around the processing chamber. For example, FIG. 24 describes processing according to method 700, in which there are x=4 processing stations 110 and x'=2 different types of processing conditions (A and B). In this embodiment, the substrate support assembly can be rotated in each direction to provide alternating exposure to both processing conditions. In some implementations, the number of revolutions in each direction is equal to rx times. In the embodiment shown in Figure 24, four rotations 117a, 117b, 117c, 117d in the first direction provide two complete ALD cycles. The substrate returns to initial processing station 110 .

動作740では、処理ステーションで、少なくとも一つのウエハの上面が処理条件に曝露されて、膜が形成される。一又は複数の実施態様では、処理条件は、温度、圧力、反応性ガスなどのうちの一又は複数を含む。一又は複数の実施態様では、形成された膜は実質的に均一な厚さを有する。本明細書で使用される場合、「実質的に均一」とは、形成された膜の±5nm、±4nm、±3nm、±2nm又は±1nm内である膜厚を指す。 At operation 740, the top surface of at least one wafer is exposed to process conditions to form a film at a process station. In one or more embodiments, processing conditions include one or more of temperature, pressure, reactive gases, and the like. In one or more embodiments, the film formed has a substantially uniform thickness. As used herein, "substantially uniform" refers to a film thickness that is within ±5 nm, ±4 nm, ±3 nm, ±2 nm, or ±1 nm of the formed film.

動作750では、各基板支持表面が隣接する処理ステーション110へ(360/x)度回転するよう、基板支持アセンブリは第2の方向に(rx)回回転する。図24に示しているように、第2の方向には4回の回転118a、118b、118cが存在する。 In operation 750 , the substrate support assembly rotates (rx) times in the second direction such that each substrate support surface rotates (360/x) degrees to the adjacent processing station 110 . As shown in Figure 24, there are four rotations 118a, 118b, 118c in the second direction.

決定点760では、所定の厚さの膜が基板上で形成された場合、方法は停止する。決定点760で所定の厚さの膜が基板上で得られなかった場合、所定の厚さが得られるまでサイクル725が繰り返される。 At decision point 760, the method stops if a predetermined thickness of film has been formed on the substrate. If at decision point 760 a film of the desired thickness has not been obtained on the substrate, the cycle 725 is repeated until the desired thickness is obtained.

図25は、本開示の一又は複数の実施態様による膜を堆積する方法800のフロー図を示している。図26は、本開示の一又は複数の実施態様による処理チャンバ構成を説明している。図25及び26を参照すると、方法800は動作820で開始し、ここで、少なくとも一つのウエハはxの数の基板支持表面上にロードされる。一又は複数の実施態様では、xは2から10の範囲の整数である。一又は複数の実施態様では、xは基板支持表面の数を指す。他の実施態様では、xは、基板表面の数又は処理ステーション110の数のうちの一又は複数を指す。いくつかの実施態様では、基板支持表面の数とウエハ及び/又は処理ステーションの数とは、同一であり、xに等しい。一又は複数の実施態様では、xは2から6の範囲の整数である。一又は複数の実施態様では、xは、2、3、4、5、6、7、8、9、又は10から選択される。他の実施態様では、xは、2、3、4、5、又は6から選択される。一又は複数の実施態様では、xは4である。 FIG. 25 illustrates a flow diagram of a method 800 of depositing a film according to one or more embodiments of the disclosure. FIG. 26 illustrates a processing chamber configuration according to one or more embodiments of the present disclosure. 25 and 26, method 800 begins with operation 820, where at least one wafer is loaded onto x number of substrate support surfaces. In one or more embodiments, x is an integer in the range of 2-10. In one or more embodiments, x refers to the number of substrate support surfaces. In other embodiments, x refers to one or more of the number of substrate surfaces or the number of processing stations 110 . In some implementations, the number of substrate support surfaces and the number of wafers and/or processing stations are the same and equal to x. In one or more embodiments, x is an integer ranging from 2 to 6. In one or more embodiments, x is selected from 2, 3, 4, 5, 6, 7, 8, 9, or 10. In other embodiments, x is selected from 2, 3, 4, 5, or 6. In one or more embodiments, x is four.

動作830では、基板支持アセンブリは、各基板支持表面が隣接する処理ステーション120へ回転するよう、第1の方向に(360/x)度回転し、続いて第2の方向に(360/x)度回転する。第1の方向及び第2の方向における回転はn回繰り返され得る。nは1以上の整数である。数nは処理サイクル(すなわちALDサイクル)の数を表す。つまり、それぞれの処理、処理に続く第1の方向における回転、及び第2の方向における回転は、基板がそれぞれ第1のステーション及び第2のステーションにおいて第1の反応性ガス及び第2の反応性ガスのそれぞれに曝露するような、処理サイクルである。 In operation 830, the substrate support assembly is rotated (360/x) degrees in a first direction, followed by (360/x) in a second direction such that each substrate support surface rotates to an adjacent processing station 120. rotate by degrees. The rotation in the first direction and the second direction can be repeated n times. n is an integer of 1 or more. The number n represents the number of processing cycles (ie ALD cycles). That is, each processing, rotation in a first direction following processing, and rotation in a second direction causes the substrate to react with the first reactive gas and the second reactive gas at the first station and the second station, respectively. A processing cycle, such as exposure to each of the gases.

図26は方法800による処理を説明しており、この方法には、x=4の処理ステーション120が存在し、x’=4の異なるタイプの処理条件(A、B、C及びD)が存在する。この実施態様では、基板支持アセンブリ100は、処理ステーション120a上に置かれた基板が処理ステーション120bへ回転する117aように、第1の方向117に回転し、その後、基板支持アセンブリ100は、基板(ここでは処理ステーション120bに位置する)が処理ステーション120aへ逆回転する118aように、第2の方向118に回転する。この回転はn回繰り返され得る。nは1以上の整数である。数nは処理サイクル(すなわちALDサイクル)の数を表す。 FIG. 26 describes processing according to method 800, in which there are x=4 processing stations 120 and x'=4 different types of processing conditions (A, B, C and D). do. In this embodiment, substrate support assembly 100 rotates in a first direction 117 such that a substrate placed on processing station 120a rotates 117a to processing station 120b, after which substrate support assembly 100 rotates the substrate ( (here at processing station 120b) rotates in a second direction 118 such that it rotates 118a back to processing station 120a. This rotation can be repeated n times. n is an integer of 1 or more. The number n represents the number of processing cycles (ie ALD cycles).

動作840では、処理ステーションで、少なくとも一つのウエハの上面が処理条件に曝露されて、膜が形成される。一又は複数の実施態様では、処理条件は、温度、圧力、反応性ガスなどのうちの一又は複数を含む。一又は複数の実施態様では、形成された膜は実質的に均一な厚さを有する。本明細書で使用される場合、「実質的に均一」とは、形成された膜の±5nm、±4nm、±3nm、±2nm又は±1nm内である膜厚を指す。 At operation 840, the top surface of at least one wafer is exposed to process conditions to form a film at a process station. In one or more embodiments, processing conditions include one or more of temperature, pressure, reactive gases, and the like. In one or more embodiments, the film formed has a substantially uniform thickness. As used herein, "substantially uniform" refers to a film thickness that is within ±5 nm, ±4 nm, ±3 nm, ±2 nm, or ±1 nm of the formed film.

動作850では、その後、基板支持アセンブリは、第1の方向117に(360/x)度回転し、続いて、第1の方向117に別の(360/x)度回転する。図26を参照すると、処理ステーション120a上にある基板は処理ステーション120bへ回転し117a、その後、処理ステーション120へ回転する117b。いくつかの実施態様の動作850では、基板支持体は、基板を処理ステーションの第2のセットへ移動させるのに十分な回数回転する。例えば、基板支持体は、最初にステーションAにある基板をステーションCへ移動させるのに2回回転する。 At operation 850 , the substrate support assembly is then rotated (360/x) degrees in first direction 117 followed by another (360/x) degree rotation in first direction 117 . Referring to FIG. 26, the substrate on processing station 120a rotates 117a to processing station 120b and then rotates 117b to processing station 120b. In operation 850 of some embodiments, the substrate support rotates a sufficient number of times to move the substrate to the second set of processing stations. For example, the substrate support rotates twice to move the substrate, which is initially at station A, to station C;

いくつかの実施態様(記載せず)では、基板支持体がステーションAからステーションBへ回転するとき、少なくとも一つのウエハの上面は処理条件に曝露されて、膜が形成される。一又は複数の実施態様では、処理条件は、温度、圧力、反応性ガスなどのうちの一又は複数を含む。一又は複数の実施態様では、形成された膜は実質的に均一な厚さを有する。本明細書で使用される場合、「実質的に均一」とは、形成された膜の±5nm、±4nm、±3nm、±2nm又は±1nm内である膜厚を指す。 In some embodiments (not described), as the substrate support rotates from station A to station B, the top surface of at least one wafer is exposed to process conditions to form a film. In one or more embodiments, processing conditions include one or more of temperature, pressure, reactive gases, and the like. In one or more embodiments, the film formed has a substantially uniform thickness. As used herein, "substantially uniform" refers to a film thickness that is within ±5 nm, ±4 nm, ±3 nm, ±2 nm, or ±1 nm of the formed film.

いくつかの実施態様(記載せず)では、基板支持体がステーションBからステーションCへ回転するとき、少なくとも一つのウエハの上面は処理条件に曝露されて、膜が形成される。一又は複数の実施態様では、処理条件は、温度、圧力、反応性ガスなどのうちの一又は複数を含む。一又は複数の実施態様では、形成された膜は実質的に均一な厚さを有する。本明細書で使用される場合、「実質的に均一」とは、形成された膜の±5nm、±4nm、±3nm、±2nm又は±1nm内である膜厚を指す。 In some embodiments (not described), as the substrate support rotates from station B to station C, the top surface of at least one wafer is exposed to process conditions to form a film. In one or more embodiments, processing conditions include one or more of temperature, pressure, reactive gases, and the like. In one or more embodiments, the film formed has a substantially uniform thickness. As used herein, "substantially uniform" refers to a film thickness that is within ±5 nm, ±4 nm, ±3 nm, ±2 nm, or ±1 nm of the formed film.

動作860では、基板支持アセンブリ100は、各基板支持表面が隣接する処理ステーション120へ回転するよう、第1の方向117に(360/x)度回転し、続いて第2の方向118に回転する。この回転はm回繰り返され得る。mは1以上の整数である。数mは処理サイクル(すなわちALDサイクル)の数を表す。 At operation 860 , the substrate support assembly 100 rotates (360/x) degrees in the first direction 117 and then rotates in the second direction 118 such that each substrate support surface rotates to the adjacent processing station 120 . . This rotation can be repeated m times. m is an integer of 1 or more. The number m represents the number of processing cycles (ie ALD cycles).

この実施態様では、基板支持アセンブリ100は、ここで処理ステーション120c上に置かれた基板が処理ステーション120dへ回転する117cように、第1の方向117に回転し、その後、基板支持アセンブリ100は、基板(ここでは処理ステーション120dに位置する)が処理ステーション120cへ逆回転する118bように、第2の方向118に回転する。この回転はm回繰り返され得る。mは1以上の整数である。数mは処理サイクル(すなわちALDサイクル)の数を表す。 In this embodiment, substrate support assembly 100 rotates in a first direction 117 such that a substrate now placed on processing station 120c rotates 117c to processing station 120d, after which substrate support assembly 100: The substrate (now located at processing station 120d) is rotated in a second direction 118 such that it is rotated 118b back to processing station 120c. This rotation can be repeated m times. m is an integer of 1 or more. The number m represents the number of processing cycles (ie ALD cycles).

動作870では、処理ステーションで、少なくとも一つのウエハの上面が処理条件に曝露されて、膜が形成される。一又は複数の実施態様では、処理条件は、温度、圧力、反応性ガスなどのうちの一又は複数を含む。一又は複数の実施態様では、形成された膜は実質的に均一な厚さを有する。本明細書で使用される場合、「実質的に均一」とは、形成された膜の±5nm、±4nm、±3nm、±2nm又は±1nm内である膜厚を指す。 At operation 870, the top surface of at least one wafer is exposed to process conditions to form a film at a process station. In one or more embodiments, processing conditions include one or more of temperature, pressure, reactive gases, and the like. In one or more embodiments, the film formed has a substantially uniform thickness. As used herein, "substantially uniform" refers to a film thickness that is within ±5 nm, ±4 nm, ±3 nm, ±2 nm, or ±1 nm of the formed film.

動作880では、その後、基板支持アセンブリは第2の方向118に(360/x)度回転する。図26を参照すると、処理ステーション120c上にある基板は、処理ステーション120bへ回転する118c。 At operation 880 , the substrate support assembly is then rotated (360/x) degrees in the second direction 118 . Referring to FIG. 26, the substrate on processing station 120c is rotated 118c to processing station 120b.

決定点890では、所定の厚さの膜が基板上で形成された場合、方法は停止する。決定点890で所定の厚さの膜が基板上で得られなかった場合、所定の厚さが得られるまでサイクル825が繰り返される。 At decision point 890, the method stops if a predetermined thickness of film has been formed on the substrate. If at decision point 890 a film of the desired thickness has not been obtained on the substrate, the cycle 825 is repeated until the desired thickness is obtained.

一又は複数の実施態様では、膜が形成されるとき、少なくとも一つのウエハは静止している。 In one or more embodiments, at least one wafer is stationary as the film is formed.

一又は複数の実施態様では、基板支持表面はヒータを含む。一又は複数の実施態様では、基板支持表面又はヒータは、静電チャックを含む。 In one or more embodiments, the substrate support surface includes heaters. In one or more implementations, the substrate support surface or heater includes an electrostatic chuck.

この明細書全体を通じて、「一実施態様」、「特定の実施態様」、「一又は複数の実施態様」、又は「実施態様」に対する言及は、実施態様に関連して説明されている特定の特徴、構造、材料、又は特性が、本開示の少なくとも一つの実施態様に含まれることを意味する。したがって、この明細書全体のさまざまな箇所での「一又は複数の実施態様で」、「特定の実施態様で」、「一実施態様で」、又は「実施態様で」などの表現は、必ずしも、本開示の同一の実施態様に言及するものではない。さらに、特定の特徴、構造、材料、又は特性は、一又は複数の実施態様において任意の適切なやり方で組み合わせられ得る。 Throughout this specification, references to "one embodiment," "a particular embodiment," "one or more embodiments," or "an embodiment" refer to the particular feature being described in connection with the embodiment. , structures, materials, or properties are meant to be included in at least one embodiment of the present disclosure. Thus, phrases such as "in one or more embodiments," "in a particular embodiment," "in one embodiment," or "in an embodiment" in various places throughout this specification do not necessarily They are not all referring to the same embodiment of the disclosure. Moreover, the particular features, structures, materials, or properties may be combined in any suitable manner in one or more embodiments.

本明細書の開示は特定の実施態様を参照して説明されているが、これらの実施態様は、本開示の原理及び用途の例示にすぎないことを理解されたい。本開示の精神及び範囲から逸脱することなく、本開示の方法及び装置に対して様々な改変及び変形を行い得ることが、当業者には明らかになろう。したがって、本開示は、添付の特許請求の範囲及びその均等物の範囲内である改変例及び変形例を含むことが意図されている。 Although the disclosure herein has been described with reference to particular implementations, it is to be understood that these implementations are merely illustrative of the principles and applications of the disclosure. It will be apparent to those skilled in the art that various modifications and variations can be made to the disclosed method and apparatus without departing from the spirit and scope of the disclosure. Thus, it is intended that the present disclosure include modifications and variations that come within the scope of the appended claims and their equivalents.

Claims (15)

方法であって、
xの数の空間的に分離され隔離された処理ステーションを含む処理チャンバを提供することであって、処理チャンバが処理チャンバ温度を有し、各処理ステーションが独立して処理ステーション温度を有し、処理チャンバ温度が処理ステーション温度とは異なる、処理チャンバを提供することと;
xの数の空間的に分離され隔離された処理ステーションに位置合わせされた複数の基板支持表面を有する基板支持アセンブリを、各基板支持表面が隣接する基板支持表面へ第1の方向に(360/x)度回転するよう、rx回回転させることであって、rが1以上の整数である、基板支持アセンブリをrx回回転させることと;
基板支持アセンブリを、各基板支持表面が隣接する基板支持表面へ第2の方向に(360/x)度回転するよう、rx回回転させることと;
を含む、方法。
a method,
providing a processing chamber including x number of spatially separated and isolated processing stations, each processing chamber having a processing chamber temperature, each processing station independently having a processing station temperature; providing a processing chamber in which the processing chamber temperature is different than the processing station temperature;
A substrate support assembly having a plurality of substrate support surfaces aligned with x number of spatially separated and isolated processing stations is moved in a first direction (360/360/50), with each substrate support surface toward an adjacent substrate support surface. x) rotating the substrate support assembly rx times to rotate by degrees, where r is an integer greater than or equal to 1;
rotating the substrate support assembly rx times such that each substrate support surface rotates (360/x) degrees in a second direction to an adjacent substrate support surface;
A method, including
xが2から10の範囲の整数である、請求項1に記載の方法。 2. The method of claim 1, wherein x is an integer in the range 2-10. rが1から10の範囲にある、請求項1に記載の方法。 2. The method of claim 1, wherein r ranges from 1-10. 複数の基板支持表面が実質的に同一平面にある、請求項1に記載の方法。 2. The method of claim 1, wherein the plurality of substrate support surfaces are substantially coplanar. 複数の基板支持表面がヒータを含む、請求項4に記載の方法。 5. The method of claim 4, wherein the plurality of substrate support surfaces includes heaters. 処理チャンバ温度又は処理ステーション温度のうちの一又は複数を制御することをさらに含む、請求項1に記載の方法。 2. The method of claim 1, further comprising controlling one or more of processing chamber temperature or processing station temperature. 複数の基板支持アセンブリの回転速度を制御することをさらに含む、請求項1に記載の方法。 3. The method of claim 1, further comprising controlling rotational speeds of the plurality of substrate support assemblies. 方法であって、
xの数の空間的に分離され隔離された処理ステーションを含む処理チャンバを提供することであって、処理チャンバが処理チャンバ温度を有し、各処理ステーションが独立して処理ステーション温度を有し、処理チャンバ温度が処理ステーション温度とは異なる、処理チャンバを提供することと;
xの数の空間的に分離され隔離された処理ステーションに位置合わせされた複数の基板支持表面を有する基板支持アセンブリを、隣接する基板支持表面へ第1の方向に(360/x)度回転させることと;
基板支持アセンブリを隣接する基板支持表面へ第2の方向に(360/x)度回転させることであって、第1の方向における回転及び第2の方向における回転がn回繰り返され、nが1以上の整数である、基板支持アセンブリを隣接する基板表面へ第2の方向に(360/x)度回転させることと;
基板支持アセンブリを第1の方向に(360/x)度2回回転させることと;
基板支持アセンブリを第1の方向に(360/x)度回転させ、その後基板支持アセンブリを第2の方向に(360/x)度回転させることであって、第1の方向及び第2の方向における回転がm回繰り返され、mが1以上の整数である、基板支持アセンブリを第1の方向に(360/x)度回転させ、その後基板支持アセンブリを第2の方向に(360/x)度回転させることと;
基板支持アセンブリを第2の方向に(360/x)度回転させることと;
を含む、方法。
a method,
providing a processing chamber including x number of spatially separated and isolated processing stations, each processing chamber having a processing chamber temperature, each processing station independently having a processing station temperature; providing a processing chamber in which the processing chamber temperature is different than the processing station temperature;
A substrate support assembly having a plurality of substrate support surfaces aligned with x number of spatially separated and isolated processing stations is rotated (360/x) degrees in a first direction to an adjacent substrate support surface. things;
rotating the substrate support assembly to an adjacent substrate support surface in a second direction (360/x) degrees, wherein the rotation in the first direction and the rotation in the second direction are repeated n times, where n is 1; rotating the substrate support assembly to an adjacent substrate surface in a second direction by an integer greater than or equal to (360/x) degrees;
rotating the substrate support assembly two (360/x) degrees in the first direction;
rotating the substrate support assembly (360/x) degrees in a first direction and then rotating the substrate support assembly (360/x) degrees in a second direction, wherein the first direction and the second direction are rotated; is repeated m times, where m is an integer greater than or equal to 1, rotating the substrate support assembly (360/x) degrees in a first direction, and then rotating the substrate support assembly (360/x) in a second direction rotating by degrees;
rotating the substrate support assembly (360/x) degrees in a second direction;
A method, including
xが2から10の範囲の整数である、請求項8に記載の方法。 9. The method of claim 8, wherein x is an integer in the range 2-10. 複数の基板支持表面が実質的に同一平面にある、請求項8に記載の方法。 9. The method of claim 8, wherein the plurality of substrate support surfaces are substantially coplanar. 処理チャンバ温度又は処理ステーション温度のうちの一又は複数を制御することをさらに含む、請求項8に記載の方法。 9. The method of claim 8, further comprising controlling one or more of processing chamber temperature or processing station temperature. 複数の基板支持アセンブリの回転速度を制御することをさらに含む、請求項8に記載の方法。 9. The method of claim 8, further comprising controlling rotational speeds of the plurality of substrate support assemblies. 膜を形成する方法であって、
少なくとも一つのウエハを基板支持アセンブリ中のxの数の基板支持表面上にロードすることであって、基板支持表面のそれぞれがxの数の空間的に分離され隔離された処理ステーションに位置合わせされた、少なくとも一つのウエハを基板支持アセンブリ中のxの数の基板支持表面上にロードすることと;
各基板支持表面が隣接する基板支持表面へ第1の方向に(360/x)度回転するよう、基板支持アセンブリをrx回回転させることであって、rが1以上の整数である、基板支持アセンブリをrx回回転させることと;
各基板支持表面が隣接する基板支持表面へ第2の方向に(360/x)度回転するよう、基板支持アセンブリをrx回回転させることと;
各処理ステーションで、少なくとも一つのウエハの上面を処理条件に曝露して、実質的に均一な厚さを有する膜を形成することと;
を含む、方法。
A method of forming a membrane, comprising:
loading at least one wafer onto x number of substrate support surfaces in a substrate support assembly, each of the substrate support surfaces being aligned with x number of spatially separated and isolated processing stations; and loading at least one wafer onto x number of substrate support surfaces in the substrate support assembly;
substrate support by rotating the substrate support assembly rx times such that each substrate support surface rotates (360/x) degrees in a first direction to an adjacent substrate support surface, where r is an integer greater than or equal to 1 rotating the assembly rx times;
rotating the substrate support assembly rx times such that each substrate support surface rotates (360/x) degrees in a second direction to an adjacent substrate support surface;
exposing the top surface of at least one wafer to process conditions at each processing station to form a film having a substantially uniform thickness;
A method, including
膜が形成されるとき、少なくとも一つのウエハが静止している、請求項13に記載の方法。 14. The method of claim 13, wherein at least one wafer is stationary when the film is formed. xが2から10の範囲の整数であり、rが1から10の範囲にある、請求項13に記載の方法。 14. The method of claim 13, wherein x is an integer in the range 2-10 and r is in the range 1-10.
JP2023080971A 2018-10-29 2023-05-16 Methods of operating spatial deposition tool Pending JP2023113690A (en)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201862751909P 2018-10-29 2018-10-29
US62/751,909 2018-10-29
JP2021521999A JP2022505601A (en) 2018-10-29 2019-10-28 How to operate the spatial deposition tool
PCT/US2019/058248 WO2020092184A1 (en) 2018-10-29 2019-10-28 Methods of operating a spatial deposition tool

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
JP2021521999A Division JP2022505601A (en) 2018-10-29 2019-10-28 How to operate the spatial deposition tool

Publications (1)

Publication Number Publication Date
JP2023113690A true JP2023113690A (en) 2023-08-16

Family

ID=70464585

Family Applications (2)

Application Number Title Priority Date Filing Date
JP2021521999A Pending JP2022505601A (en) 2018-10-29 2019-10-28 How to operate the spatial deposition tool
JP2023080971A Pending JP2023113690A (en) 2018-10-29 2023-05-16 Methods of operating spatial deposition tool

Family Applications Before (1)

Application Number Title Priority Date Filing Date
JP2021521999A Pending JP2022505601A (en) 2018-10-29 2019-10-28 How to operate the spatial deposition tool

Country Status (6)

Country Link
JP (2) JP2022505601A (en)
KR (1) KR20210070383A (en)
CN (1) CN113166938A (en)
SG (1) SG11202104098RA (en)
TW (1) TWI780369B (en)
WO (1) WO2020092184A1 (en)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11818810B2 (en) * 2021-03-26 2023-11-14 Applied Materials, Inc. Heater assembly with purge gap control and temperature uniformity for batch processing chambers

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6143082A (en) * 1998-10-08 2000-11-07 Novellus Systems, Inc. Isolation of incompatible processes in a multi-station processing chamber
CN100358097C (en) * 2005-08-05 2007-12-26 中微半导体设备(上海)有限公司 Semiconductor technology processing system and method
US20070218702A1 (en) * 2006-03-15 2007-09-20 Asm Japan K.K. Semiconductor-processing apparatus with rotating susceptor
JP5572515B2 (en) * 2010-10-15 2014-08-13 東京エレクトロン株式会社 Film forming apparatus and film forming method
US20120222620A1 (en) * 2011-03-01 2012-09-06 Applied Materials, Inc. Atomic Layer Deposition Carousel with Continuous Rotation and Methods of Use
US20130192761A1 (en) * 2012-01-31 2013-08-01 Joseph Yudovsky Rotary Substrate Processing System
KR20130106906A (en) * 2012-03-21 2013-10-01 주식회사 윈텔 Substrate processing apparatus and substrate processing method
TWI643971B (en) * 2014-01-05 2018-12-11 美商應用材料股份有限公司 Film deposition using spatial atomic layer deposition or pulsed chemical vapor deposition
WO2015103358A1 (en) * 2014-01-05 2015-07-09 Applied Materials, Inc. Film deposition using spatial atomic layer deposition or pulsed chemical vapor deposition
US9797042B2 (en) * 2014-05-15 2017-10-24 Lam Research Corporation Single ALD cycle thickness control in multi-station substrate deposition systems
US10096464B2 (en) * 2014-10-04 2018-10-09 Applied Materials, Inc. Atomic layer deposition of high density silicon dioxide

Also Published As

Publication number Publication date
TW202033819A (en) 2020-09-16
WO2020092184A1 (en) 2020-05-07
SG11202104098RA (en) 2021-05-28
KR20210070383A (en) 2021-06-14
CN113166938A (en) 2021-07-23
JP2022505601A (en) 2022-01-14
TWI780369B (en) 2022-10-11

Similar Documents

Publication Publication Date Title
JP7451601B2 (en) Single wafer processing environment with spatial separation
US20200090978A1 (en) Methods Of Operating A Spatial Deposition Tool
JP2023058481A (en) Atomic layer self aligned substrate processing and integrated toolset
JP2023113690A (en) Methods of operating spatial deposition tool
US20200066572A1 (en) Methods Of Operating A Spatial Deposition Tool
US20210087681A1 (en) Dithering Or Dynamic Offsets For Improved Uniformity
JP7200367B2 (en) Spatial wafer processing with improved temperature uniformity
JP7249407B2 (en) Complementary pattern station design
TWI838222B (en) Single wafer processing environments with spatial separation

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20230613

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20230613

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20240424