JP2022123017A - Capacity coupling type nonvolatile thin film transistor strings in three dimension array - Google Patents

Capacity coupling type nonvolatile thin film transistor strings in three dimension array Download PDF

Info

Publication number
JP2022123017A
JP2022123017A JP2022094508A JP2022094508A JP2022123017A JP 2022123017 A JP2022123017 A JP 2022123017A JP 2022094508 A JP2022094508 A JP 2022094508A JP 2022094508 A JP2022094508 A JP 2022094508A JP 2022123017 A JP2022123017 A JP 2022123017A
Authority
JP
Japan
Prior art keywords
semiconductor
memory
sublayer
layer
active
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2022094508A
Other languages
Japanese (ja)
Other versions
JP7379593B2 (en
Inventor
ハラリ、エリ
Harari Eli
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Sunrise Memory Corp
Original Assignee
Sunrise Memory Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US15/248,420 external-priority patent/US10121553B2/en
Application filed by Sunrise Memory Corp filed Critical Sunrise Memory Corp
Publication of JP2022123017A publication Critical patent/JP2022123017A/en
Application granted granted Critical
Publication of JP7379593B2 publication Critical patent/JP7379593B2/en
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/20EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C16/00Erasable programmable read-only memories
    • G11C16/02Erasable programmable read-only memories electrically programmable
    • G11C16/06Auxiliary circuits, e.g. for writing into memory
    • G11C16/08Address circuits; Decoders; Word-line control circuits
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C16/00Erasable programmable read-only memories
    • G11C16/02Erasable programmable read-only memories electrically programmable
    • G11C16/06Auxiliary circuits, e.g. for writing into memory
    • G11C16/10Programming or data input circuits
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78606Thin film transistors, i.e. transistors with a channel being at least partly a thin film with supplementary region or layer in the thin film or in the insulated bulk substrate supporting it for controlling or increasing the safety of the device
    • H01L29/78618Thin film transistors, i.e. transistors with a channel being at least partly a thin film with supplementary region or layer in the thin film or in the insulated bulk substrate supporting it for controlling or increasing the safety of the device characterised by the drain or the source properties, e.g. the doping structure, the composition, the sectional shape or the contact structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78696Thin film transistors, i.e. transistors with a channel being at least partly a thin film characterised by the structure of the channel, e.g. multichannel, transverse or longitudinal shape, length or width, doping structure, or the overlap or alignment between the channel and the gate, the source or the drain, or the contacting structure of the channel
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B41/00Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates
    • H10B41/20Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B41/00Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates
    • H10B41/30Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by the memory core region
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/30EEPROM devices comprising charge-trapping gate insulators characterised by the memory core region
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/11Device type
    • H01L2924/14Integrated circuits
    • H01L2924/143Digital devices
    • H01L2924/1434Memory
    • H01L2924/1435Random access memory [RAM]
    • H01L2924/1443Non-volatile random-access memory [NVRAM]

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Ceramic Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Non-Volatile Memory (AREA)
  • Semiconductor Memories (AREA)
  • Read Only Memory (AREA)

Abstract

PROBLEM TO BE SOLVED: To provide a high density, low read latency memory structures.
SOLUTION: A pair of NOR strings reads out one TFT from multiple TFTs along an active strip, with the TFTs on both sides of the active strip initially set to a non-conducting state, and all global word lines and local word lines in the selected block held at 0 volts. Addressed NOR strings 202 share a sensing circuit among several NOR strings via a decoding circuit in a substrate 201. A parasitic accumulation capacitance C is used to eliminate ground connection to a shared source and make it a semi-floating or virtual source. A pre-charge TFT 303 shares a source line 221 and a bit line or a drain line 223 of the NOR string. In addition, the drain line of the pre-charge TFT is connected to a bit line voltage Vbl in the substrate 201 via a connecting part 270.
SELECTED DRAWING: Figure 3A
COPYRIGHT: (C)2022,JPO&INPIT

Description

(関連出願との相互参照)
本出願は、(i)2015年9月30日に出願された「垂直制御ゲートを備えた積層水平アクティブストリップに構成されたマルチゲートNORフラッシュ薄膜トランジスタストリング」と題する同時係属の米国仮特許出願第62/235、322号(同時係属仮特許出願I)、(ii)2015年11月25日に出願された「3次元垂直NORフラッシュ薄膜トランジスタストリング」と題する同時係属の米国仮特許出願第62/260、137号(同時係属仮特許出願II)、(iii)2016年7月26日に出願された「垂直制御ゲートを備えた積層水平アクティブストリップに構成されたマルチゲートNORフラッシュ薄膜トランジスタストリング」と題する同時係属の米国非仮特許出願第15/220、375号(同時係属非仮特許出願II)、及び、(iv)2016年7月15日に出願された「容量結合型不揮発性薄膜トランジスタストリング」と題する同時係属の米国仮特許出願第62/363、189号(同時係属仮特許出願IV)に関連し、それら優先権の利益を主張する。同時係属仮特許出願I、同時係属仮特許出願II、同時係属非仮特許出願III、及び同時係属仮特許出願IVの開示内容の全体は、参照により本明細書に援用されるものとする。
(Cross-reference with related application)
This application is based on (i) co-pending U.S. Provisional Patent Application No. 62, entitled "Multi-Gate NOR Flash Thin Film Transistor Strings Configured in Stacked Horizontal Active Strips with Vertical Control Gates," filed September 30, 2015. /235,322 (co-pending provisional patent application I); 137 (co-pending provisional patent application II), (iii) filed Jul. 26, 2016, co-pending entitled "Multigate NOR Flash Thin Film Transistor Strings Configured in Stacked Horizontal Active Strips with Vertical Control Gates." No. 15/220,375 (co-pending nonprovisional patent application II), and (iv) co-pending application entitled "Capacitively-Coupled Non-Volatile Thin Film Transistor Strings," filed Jul. 15, 2016; It is related to and claims the benefit of priority from pending US Provisional Patent Application No. 62/363,189 (co-pending provisional patent application IV). The entire disclosures of co-pending provisional patent application I, co-pending provisional patent application II, co-pending non-provisional patent application III, and co-pending provisional patent application IV are incorporated herein by reference.

(技術分野)
本発明は、高密度メモリ構造体に関する。特に、本発明は、相互接続された薄膜記憶素子によって形成された、高密度かつ読み出し待ち時間(読み出しレイテンシ)が短いメモリ構造体(例えば、NOR型TFTストリングまたは「NORストリング」として構成された薄膜蓄積トランジスタ、すなわち「TFT」のスタック)に関する。
(Technical field)
The present invention relates to high density memory structures. In particular, the present invention relates to high density, low read latency memory structures formed by interconnected thin film storage elements (e.g., thin films configured as NOR TFT strings or "NOR strings"). storage transistors, or stacks of "TFTs").

本開示には、メモリ回路構造体が記載されている。これらのメモリ回路構造体は、従来の製造プロセスを用いて、平坦な半導体基板(例えば、シリコンウェハ)上に製造することができる。本明細書の明確化のために、「垂直」という用語は、半導体基板の表面に対して垂直な方向を指し、「水平」という用語は、半導体基板の表面に対して平行な任意の方向を指すものとする。 This disclosure describes a memory circuit structure. These memory circuit structures can be fabricated on flat semiconductor substrates (eg, silicon wafers) using conventional fabrication processes. For clarity herein, the term "vertical" refers to a direction perpendicular to the surface of the semiconductor substrate, and the term "horizontal" refers to any direction parallel to the surface of the semiconductor substrate. shall point to

「3次元垂直NANDストリング」とも呼ばれる多数の高密度不揮発性メモリ構造体が、従来技術で知られている。これらの高密度メモリ構造体の多くは、堆積された薄膜(例えば、ポリシリコン薄膜)から形成された薄膜蓄積トランジスタを使用して作製され、「メモリストリング」のアレイとして構成される。メモリストリングの1つのタイプは、NANDメモリストリング、または単に「NANDストリング」と呼ばれる。NANDストリングは、直列接続された多数のTFTからなる。直列接続されたTFTのいずれかの内容を読み出すまたはプログラミングするためには、NANDストリング内の全ての直列接続されたTFTのアクティブ化が必要となる。このNAND構成下では、読み出しまたはプログラムされていないアクティブ化されたTFTは、望ましくないプログラム阻害または読み出し阻害状態を受ける恐れがある。さらに、ポリシリコン薄膜から形成されたTFTは、単結晶シリコン基板に形成された従来のトランジスタよりもはるかに低いチャネル移動度を有するため、抵抗率が高い。NANDストリングにおけるより高い直列抵抗は、ストリング内の実際のTFTの数を64個または128個以下に制限する。長いNANDストリングを通じて導通する必要がある低い読み出し電流では、読み出し待ち時間が長くなる。 A number of high density non-volatile memory structures, also called "three-dimensional vertical NAND strings", are known in the prior art. Many of these high density memory structures are fabricated using thin film storage transistors formed from deposited thin films (eg, polysilicon thin films) and configured as an array of "memory strings." One type of memory string is called a NAND memory string, or simply "NAND string." A NAND string consists of a number of TFTs connected in series. To read or program the contents of any of the series-connected TFTs requires activation of all series-connected TFTs in the NAND string. Under this NAND configuration, activated TFTs that have not been read or programmed may experience undesirable program disturb or read inhibit conditions. In addition, TFTs formed from polysilicon thin films have much lower channel mobilities than conventional transistors formed on single crystal silicon substrates, and thus have higher resistivities. The higher series resistance in NAND strings limits the number of practical TFTs in a string to 64 or 128 or less. The low read currents that must be conducted through long NAND strings result in long read latencies.

別のタイプの高密度メモリ構造体は、NORメモリストリングまたは「NORストリング」と呼ばれる。NORストリングは、その各々が共有ソース領域及び共有ドレイン領域にそれぞれ接続された多数のストレージトランジスタを含む。したがって、NORストリング内のトランジスタは、NORストリング内の読み出し電流が、NANDストリングを通る読み出し電流よりもはるかに小さい抵抗で導通するように、互いに並列に接続されている。NORストリング内のストレージトランジスタを読み出すまたはプログラミングするためには、そのストレージトランジスタのみをアクティブ化(すなわち、「オン」または導通状態)する必要があり、NORストリング内の他の全てのストレージトランジスタは休止状態(すなわち、「オフ」または非導通状態)に保たれる。この結果、NORストリングにより、読み出すべきアクティブ化されたストレージトランジスタのより迅速な検出が可能となる。従来のNORトランジスタは、チャネルホットエレクトロン注入技術によってプログラミングされ、この場合、電子は、ソース領域とドレイン領域との間の電圧差によってチャネル領域内で加速され、適切な電圧が制御ゲートに印加されると制御ゲートとチャネル領域との間の電荷トラップ層に注入される。チャネルホットエレクトロン注入プログラミングは、チャネル領域を流れるために比較的大きな電子流を必要とするため、並列にプログラミング可能なトランジスタの数が制限される。ホットエレクトロン注入によってプログラミングされたトランジスタとは異なり、ファウラー・ノルドハイムトンネリングまたは直接トンネリングによってプログラミングされたトランジスタでは、電子は、制御ゲートとソース領域及びドレイン領域との間に印加される高電界によってチャネル領域から電荷トラップ層に注入される。ファウラー・ノルドハイムトンネリングと直接トンネリングは、チャネルホットエレクトロン注入よりもはるかに効率的で、大規模並列プログラミングが可能であるが、このようなトンネリングは、プログラム阻害条件の影響をより受けやすい。 Another type of high density memory structure is called a NOR memory string or "NOR string." A NOR string includes multiple storage transistors each connected to a shared source region and a shared drain region, respectively. Therefore, the transistors in the NOR string are connected in parallel such that the read current in the NOR string conducts with much less resistance than the read current through the NAND string. To read or program a storage transistor within a NOR string, only that storage transistor must be activated (i.e., "on" or conducting); all other storage transistors within the NOR string are dormant. (ie, "off" or non-conducting state). As a result, the NOR string allows faster detection of an activated storage transistor to be read. A conventional NOR transistor is programmed by a channel hot electron injection technique, where electrons are accelerated in the channel region by a voltage difference between the source and drain regions and an appropriate voltage is applied to the control gate. is injected into the charge trapping layer between the control gate and the channel region. Channel hot electron injection programming requires a relatively large electron current to flow through the channel region, which limits the number of transistors that can be programmed in parallel. Unlike transistors programmed by hot electron injection, in transistors programmed by Fowler-Nordheim tunneling or direct tunneling, electrons are trapped in the channel region by a high electric field applied between the control gate and the source and drain regions. is injected into the charge trapping layer from Fowler-Nordheim tunneling and direct tunneling are much more efficient than channel hot electron injection and allow massively parallel programming, but such tunneling is more susceptible to program-inhibiting conditions.

3次元NORメモリアレイは、2011年3月11日に出願され2014年1月14日に公報発行された「3D NORアレイのメモリアーキテクチャ」と題する、H.T Lueによる米国特許第8、630、114号明細書(特許文献1)に開示されている。 A three-dimensional NOR memory array is disclosed in H.264, entitled "3D NOR Array Memory Architecture," filed March 11, 2011 and published January 14, 2014. It is disclosed in US Pat. No. 8,630,114 to T Lue.

2015年9月21日に出願され2016年3月24日に公開された「3次元不揮発性NOR型フラッシュメモリ」と題する、Haibing Pengによる米国特許出願公開第2016/0086970A1号明細書(特許文献2)には、ベーシックNORメモリグループのアレイからなる不揮発性NORフラッシュメモリデバイスが開示されており、このデバイスでは、個々のメモリセルが、半導体基板に対して平行な水平方向に沿って積層され、ソース電極及びドレイン電極が、導通チャネルの片側または両側に配置される全ての電界効果トランジスタによって共有される。 U.S. Patent Application Publication No. 2016/0086970A1 by Haibing Peng, entitled "Three-Dimensional Nonvolatile NOR Flash Memory," filed September 21, 2015 and published March 24, 2016; ) discloses a non-volatile NOR flash memory device consisting of an array of basic NOR memory groups, in which individual memory cells are stacked along a horizontal direction parallel to a semiconductor substrate and source An electrode and a drain electrode are shared by all field effect transistors placed on one or both sides of the conducting channel.

3次元NANDメモリ構造体は、例えば、2013年1月30日に出願され2014年11月4日に公報発行された「コンパクトな3次元垂直NAND及びその製造方法」と題する、Alsmeierらによる米国特許第8、878、278号明細書(特許文献3)に開示されている。この特許文献3には、様々なタイプの高密度NANDメモリ構造体、例えば、「テラビット・セル・アレイ・トランジスタ」(TCAT)NANDアレイ(図1A)、「パイプ形状のビット・コスト・スケーラブルな(P-BiCS)フラッシュメモリ」(図1B)及び「垂直NAND」メモリストリング構造などが開示されている。同様に、2002年12月31日に出願され2006年2月28日に公報発行された「直列接続されたトランジスタストリングを組み込んだプログラマブルメモリアレイ構造の製造方法」と題する、Walkerらによる米国特許第7、005、350号明細書(特許文献4)(Walker I)にも、多数の3次元高密度NANDメモリ構造体が開示されている。 A three-dimensional NAND memory structure is disclosed, for example, in a U.S. patent by Alsmeier et al. No. 8,878,278 (Patent Document 3). This patent describes various types of high-density NAND memory structures, such as "Terabit Cell Array Transistor" (TCAT) NAND arrays (FIG. 1A), "Pipe-shaped Bit-Cost Scalable P-BiCS) flash memory” (FIG. 1B) and a “vertical NAND” memory string structure are disclosed. Similarly, Walker et al., U.S. Pat. 7,005,350 (Walker I) also discloses a number of three-dimensional high density NAND memory structures.

2005年8月3日に出願され2009年11月3日に公報発行された「デュアルゲートデバイス及び方法」と題する、Walkerによる米国特許第7、612、411号明細書(特許文献5)(Walker II)には、「デュアルゲート」メモリ構造体が開示されている。この構造では、共有アクティブ領域により、共有アクティブ領域の反対側に形成された2つのNANDストリング内の独立制御される記憶素子が提供される。 Walker, U.S. Pat. No. 7,612,411, entitled "Dual Gate Device and Method," filed Aug. 3, 2005 and published Nov. 3, 2009 (Walker II) discloses a "dual gate" memory structure. In this structure, the shared active area provides independently controlled storage elements in two NAND strings formed on opposite sides of the shared active area.

2004年5月3日に出願され2006年10月3日に公報発行された「垂直ボディに隣接する水平ゲートレイヤーを有する浮遊ゲート・トランジスタ」と題するForbesによる米国特許第6、744、094号明細書(特許文献6)(Forbes)には、隣接する平行な水平ゲートレイヤーを備えた垂直ボディトランジスタを有するメモリ構造体が開示されている。 Forbes, U.S. Pat. No. 6,744,094, entitled "Floating Gate Transistor with Horizontal Gate Layer Adjacent to Vertical Body," filed May 3, 2004 and issued October 3, 2006; US Pat. No. 6,301,503 (Forbes) discloses a memory structure having vertical body transistors with adjacent parallel horizontal gate layers.

2000年8月14日出願され2003年6月17日公報発行された「垂直チャネル電流を有するマルチゲート半導体デバイス及び製造方法」と題するCleavesらによる米国特許第6、580、124号明細書(特許文献7)には、トランジスタの垂直面に沿って形成された2つまたは4つの電荷蓄積媒体を有するマルチビットメモリトランジスタが開示されている。 U.S. Patent No. 6,580,124 to Cleaves et al. Document 7) discloses a multi-bit memory transistor with two or four charge storage media formed along the vertical planes of the transistor.

垂直ポリシリコンゲートによって制御される水平NANDストリングを含む3次元メモリ構造体が、W.Kimらによる論文「テラビット密度記憶のためのスタック限界を克服する多層垂直ゲートNANDフラッシュ」(2009年VLSIシンポジウム:技術論文のテクニカルダイジェスト、pp.188-189)(非特許文献1)(Kim)に開示されている。垂直ポリシリコンゲートを有する水平NANDストリングも含む、別の3次元メモリ構造体が、H.T.Liuらによる論文「接合フリー埋込チャネルBE-SONOSデバイスを使用した高スケーラブル8レイヤー3D垂直ゲート(VG)TFT NANDフラッシュ」(2010年VLSIシンポジウム:技術論文のテクニカルダイジェスト、pp.131-132)(非特許文献2)に開示されている。 A three-dimensional memory structure comprising horizontal NAND strings controlled by vertical polysilicon gates is disclosed in W.W. In the paper by Kim et al. "Multilayer Vertical Gate NAND Flash Overcoming Stack Limits for Terabit Density Storage" (2009 VLSI Symposium: Technical Digest of Technical Papers, pp.188-189) (Kim) disclosed. Another three-dimensional memory structure, which also includes horizontal NAND strings with vertical polysilicon gates, is disclosed in H.T. T. A paper by Liu et al., "Highly Scalable 8-Layer 3D Vertical Gate (VG) TFT NAND Flash Using Junction-Free Buried-Channel BE-SONOS Devices" (2010 VLSI Symposium: Technical Digest of Technical Papers, pp.131-132) ( Non-Patent Document 2).

2010年10月11日に出願され2011年9月27日に公報発行された「半導体デバイス及び構造」と題する、Zvi Or-Bachらによる米国特許第8、026、521号明細書(特許文献8)には、第1の層及び第2の層が水平に配向されたトランジスタを含む、層転写された単結晶シリコンの第1の層及び第2の層が開示されている。この構造では、水平方向に配向されたトランジスタの第2の層が、水平方向に配向されたトランジスタの第1の層を覆い、水平方向に配向されたトランジスタの各グループは側部ゲートを有する。 US Patent No. 8,026,521 by Zvi Or-Bach et al. ) disclose layer-transferred first and second layers of monocrystalline silicon comprising transistors in which the first and second layers are horizontally oriented. In this structure, a second layer of horizontally oriented transistors overlays a first layer of horizontally oriented transistors, and each group of horizontally oriented transistors has side gates.

ここで議論されているメモリ構造体では、記憶されている情報は、蓄積された電荷によって表され、電荷は、様々な技術を用いて導入される。例えば、1996年7月23日に出願され1998年6月16日に公報発行された「非対称電荷トラップを利用したメモリセル」と題する、Eitanによる米国特許第5、768、192号明細書(特許文献9)には、ホットエレクトロンチャネル注入技術に基づくNROM型メモリトランジスタ動作が開示されている。 In the memory structures discussed herein, stored information is represented by stored charge, which is introduced using various techniques. See, for example, Eitan, U.S. Pat. No. 5,768,192, entitled "Memory Cell Using Asymmetric Charge Trapping," filed Jul. 23, 1996 and issued Jun. 16, 1998. Reference 9) discloses an NROM type memory transistor operation based on hot electron channel injection technology.

従来の不揮発性メモリトランジスタ構造を有するが、データ保持時間が短いトランジスタは、「準揮発性(quasi-volatile)」と呼ぶことができる。これに関連して、従来の不揮発性メモリのデータ保持時間は、数十年を超える。単結晶シリコン基板上の平坦な準揮発性メモリトランジスタは、H.C. Wann及びC.Huによる論文「ダイナミックメモリ用途のためのモノデバイス構造における高耐久性極薄トンネル酸化物」(IEEE Electron Device letters, Vol. 16, No. 11, November 1995, pp 491-493)(非特許文献3)に開示されている。また、準揮発性メモリを有する準揮発性3-D NORアレイが、上述の米国特許第8、630、114号明細書(特許文献1)に開示されている。 A transistor that has a conventional non-volatile memory transistor structure but has a short data retention time can be referred to as "quasi-volatile." In this context, the data retention time of conventional non-volatile memory exceeds decades. A planar quasi-volatile memory transistor on a single crystal silicon substrate is disclosed in H.T. C. Wann and C.I. Hu, "High Durability Ultra-Thin Tunnel Oxide in Mono-Device Structures for Dynamic Memory Applications," IEEE Electron Device letters, Vol. 16, No. 11, November 1995, pp 491-493. ). A semi-volatile 3-D NOR array with semi-volatile memory is also disclosed in the aforementioned US Pat. No. 8,630,114.

米国特許第8、630、114号明細書U.S. Pat. No. 8,630,114 米国特許出願公開第2016/0086970A1号明細書U.S. Patent Application Publication No. 2016/0086970A1 米国特許第8、878、278号明細書U.S. Pat. No. 8,878,278 米国特許第7、005、350号明細書U.S. Pat. No. 7,005,350 米国特許第7、612、411号明細書U.S. Pat. No. 7,612,411 米国特許第6、744、094号明細書U.S. Pat. No. 6,744,094 米国特許第6、580、124号明細書U.S. Pat. No. 6,580,124 米国特許第8、026、521号明細書U.S. Pat. No. 8,026,521 米国特許第5、768、192号明細書U.S. Pat. No. 5,768,192

W. Kim et al., "Multi-layered Vertical gate NAND Flash Overcoming Stacking Limit for Terabit Density Storage" ("Kim"), (2009) Symposium on VLSI Tech. Dig. of Technical Papers, pp 188-189W. Kim et al., "Multi-layered Vertical gate NAND Flash Overcoming Stacking Limit for Terabit Density Storage" ("Kim"), (2009) Symposium on VLSI Tech. Dig. of Technical Papers, pp 188-189 H.T. Lue et al., "A Highly Scalable 8- Layer 3D Vertical-gate (VG) TFT NAND Flash Using Junction-Free Buried Channel BE-SONOS Device," 2010 Symposium on VLSI: Tech. Dig. Of Technical Papers, pp.131-13H.T. Lue et al., "A Highly Scalable 8- Layer 3D Vertical-gate (VG) TFT NAND Flash Using Junction-Free Buried Channel BE-SONOS Device," 2010 Symposium on VLSI: Tech. Dig. Of Technical Papers, pp. 131-13 H.C. Wann and C.Hu, "High-Endurance Ultra-Thin Tunnel Oxide in Monos Device Structure for Dynamic Memory Application", IEEE Electron Device letters, Vol. 16, No. 11, November 1995, pp 491-493H.C. Wann and C.Hu, "High-Endurance Ultra-Thin Tunnel Oxide in Monos Device Structure for Dynamic Memory Application", IEEE Electron Device letters, Vol. 16, No. 11, November 1995, pp 491-493

本発明の一実施形態によれば、メモリセルのアレイは、シリコン基板の表面に対して平行に延びる水平アクティブストリップのスタックに形成されたTFTと、アクティブストリップの一方または両方の側壁に沿って延びる垂直ローカルワードライン内の制御ゲートとを含む。制御ゲートは、1以上の電荷蓄積素子によってアクティブストリップから分離されている。各アクティブストリップは、2つの共有ソース層またはドレイン層の間に形成された少なくとも1つのチャネル層を含む。TFTはNORストリングとして構成されている。各アクティブストリップに関連するTFTは、各アクティブストリップの片側または両側のいずれが使用されるかに応じて、1つまたは2つのNORストリングに属することができる。 According to one embodiment of the present invention, the array of memory cells is TFTs formed in stacks of horizontal active strips extending parallel to the surface of the silicon substrate and extending along one or both sidewalls of the active strips. and control gates in vertical local word lines. A control gate is separated from the active strip by one or more charge storage elements. Each active strip includes at least one channel layer formed between two shared source or drain layers. The TFTs are configured as NOR strings. The TFTs associated with each active strip can belong to one or two NOR strings, depending on whether one or both sides of each active strip are used.

一実施形態では、アクティブストリップ内の共有されたソース層及びドレイン層のうちの一方のみが選択回路を介して導体によって供給電圧に接続され、ソース層及びドレイン層の他方は、ソース層またはドレイン層に提供された電荷量によって決定させる電圧に保持される。読み出し、書き込みまたは消去動作の前に、読み出し、書き込みまたは消去動作のために選択されていないアクティブストリップに沿ったNORストリング内のTFTのいくつかまたは全ては、一方のキャパシタプレートを提供するチャネル層及びソース層またはドレイン層と、他方のキャパシタプレートを提供する、接地基準を基準とするNORストリングのTFT内の制御ゲート電極と共に、ストリップキャパシタとして機能する。ストリップキャパシタは、読み出し、書き込みまたは消去動作の前に、導体によって電圧源に接続されているソースまたはドレイン層からストリップキャパシタに電荷を転送するために1以上のTFT(「プリチャージTFT」)を瞬間的にオンにすることによってプリチャージされる。プリチャージ動作に続いて、選択回路は非活性化され、それにより、プリチャージされたソースまたはドレイン層は実質的にプリチャージされた電圧でフローティング状態に保たれる。その状態で、充電されたストリップキャパシタが、読み出し、書き込み、または消去動作のための仮想基準電圧源を提供する。このプリチャージ状態は、多数のアドレス指定されたTFTに対する大規模な並列読み出し、書き込みまたは消去動作を可能にする。このようにして、メモリアレイの1以上のブロック内の1以上のアクティブストリップ上の多数のNORストリングのTFTを、同時に読み出し、書き込み、または消去することができる。実際、メモリアレイ内のブロックはプログラムまたは消去動作のためにプリチャージするとともに、メモリアレイ内の他のブロックは同時に読み出し動作を行うためにプリチャージすることができる。 In one embodiment, only one of the shared source and drain layers in the active strip is connected to the supply voltage by a conductor through the selection circuit, and the other of the source and drain layers is the source or drain layer. is held at a voltage determined by the amount of charge provided to it. Prior to a read, write or erase operation, some or all of the TFTs in a NOR string along an active strip not selected for a read, write or erase operation have a channel layer providing one capacitor plate and Together with the source or drain layer and the control gate electrode in the ground-referenced NOR string TFT that provides the other capacitor plate, it functions as a strip capacitor. A strip capacitor instantaneously activates one or more TFTs ("precharge TFTs") to transfer charge to the strip capacitor from a source or drain layer that is connected by a conductor to a voltage source prior to a read, write or erase operation. It is precharged by turning it on statically. Following the precharge operation, the select circuit is deactivated, thereby leaving the precharged source or drain layer floating at substantially the precharged voltage. In that state, the charged strip capacitor provides a virtual reference voltage source for read, write, or erase operations. This precharged state allows massively parallel read, write or erase operations on a large number of addressed TFTs. In this manner, TFTs of multiple NOR strings on one or more active strips in one or more blocks of the memory array can be read, written, or erased simultaneously. In fact, blocks in the memory array can be precharged for program or erase operations while other blocks in the memory array are precharged for read operations at the same time.

一実施形態では、TFTは、各アクティブストリップの垂直側縁部の両方を使用して形成され、垂直ローカルワード線は、アクティブストリップの垂直側縁部の両方に沿って設けられる。この実施形態では、アクティブストリップの垂直側縁部の一方に沿ったローカルワードラインを、アクティブストリップの上側に設けられた水平グローバルワードラインと接触させるともに、アクティブストリップの垂直側縁部の他方に沿ったローカルワード線を、アクティブストリップの下側に設けられた水平グローバルワードラインと接触させることによって、倍密度が達成される。全てのグローバルワード線は、対応するアクティブストリップの長さに沿った方向を横切る方向に延びることができる。各TFTに2ビット以上のデータを記憶することによって、さらに高い記憶密度を達成することができる。 In one embodiment, TFTs are formed using both vertical side edges of each active strip, and vertical local word lines are provided along both vertical side edges of the active strips. In this embodiment, a local wordline along one of the vertical side edges of the active strip is in contact with a horizontal global wordline provided above the active strip and along the other vertical side edge of the active strip. Double density is achieved by contacting the local wordlines with the horizontal global wordlines provided below the active strips. All global word lines can extend in a direction transverse to the direction along the length of the corresponding active strip. Even higher storage densities can be achieved by storing more than one bit of data in each TFT.

従来技術のNANDストリングではなく、TFTをメモリアレイ内のNORストリングに構成することにより、(i)ダイナミックランダムアクセスメモリ(DRAM)アレイに近い短縮された読み出しレイテンシ、(ii)長いNANDストリングに関連することが知られている読み出し阻害条件及びプログラム阻害条件に対する感度の低減、(iii)平面NANDアレイまたは3D-NANDアレイと比較した、電力消費の低減、及びビットあたりコストの低減、並びに、(iv)データスループットを高めるために、複数のアクティブストリップ上のTFTを同時に読み出し、書き込み、または消去する機能、が提供される。 By organizing the TFTs into NOR strings within the memory array, rather than conventional NAND strings, (i) reduced read latency close to dynamic random access memory (DRAM) arrays, (ii) associated with long NAND strings. (iii) reduced power consumption and reduced cost per bit compared to planar NAND arrays or 3D-NAND arrays, and (iv) To increase data throughput, the ability to read, write, or erase TFTs on multiple active strips simultaneously is provided.

本発明の一実施形態によれば、ブロック内のNORストリング内の閾値電圧の変動は、ブロック内に電気的にプログラム可能な基準NORストリングを設けることによって補償することができる。NORストリングに固有のバックグランドリーク電流に起因する読み出し動作への影響は、読み出されているTFTの検出結果と、基準NORストリング内の同時に読み出されたTFTの結果とを比較することによって実質的に排除することができる。他の実施形態では、各TFTの電荷蓄積素子は、高い書き込み/消去サイクル耐久性を提供するように、その構造を修正することができる(ただし、データ保持時間は短いため、定期的なリフレッシュを必要とする)。本開示の詳細な説明では、従来のメモリTFT(例えば、従来のNANDストリング内のTFT)よりも高い書き込み/消去サイクル耐久性を有するが、データ保持時間は短い、このようなTFTは「準揮発性」と称される。しかしながら、この準揮発性TFTは、従来のDRAM回路よりもリフレッシュの頻度が著しく少ないので、本発明のNORストリングは、いくつかの用途においてDRAMの代わりに使用することができる。本発明のNORストリングをDRAM用途に使用することにより、従来のDRAMと比較して実質的に低いビットあたりのコスト性能指数、及び、従来のNANDストリングと比較して実質的に低い読み出しレイテンシが可能となる。 According to one embodiment of the present invention, variations in threshold voltages within the NOR strings within the block can be compensated for by providing an electrically programmable reference NOR string within the block. The effect on the read operation due to background leakage currents inherent in NOR strings can be substantiated by comparing the sensing results of the TFT being read with the results of simultaneously read TFTs in a reference NOR string. can be ruled out. In other embodiments, the charge storage element of each TFT can be modified in its structure to provide high write/erase cycle endurance (although the data retention time is short, so periodic refreshing is required). I need). In the detailed description of this disclosure, having higher write/erase cycle endurance but shorter data retention time than conventional memory TFTs (e.g., TFTs in conventional NAND strings), such TFTs are described as "semi-volatile called "sex". However, because the semi-volatile TFTs are refreshed significantly less frequently than conventional DRAM circuits, the NOR strings of the present invention can be used to replace DRAM in some applications. Using the NOR strings of the present invention in DRAM applications enables substantially lower cost-per-bit figure of merit compared to conventional DRAMs and substantially lower read latency compared to conventional NAND strings. becomes.

本発明のいくつかの実施形態によれば、アクティブストリップは、ソースまたはドレイン層、及びチャネル層がスタック内の各プレーンに対して個別に形成されアニールされる半導体プロセスで製造される。他の実施形態では、チャネル層を単一工程で同時に形成する前に、ソース層またはドレイン層は、個別にまたはまとめて(すなわち、全てのソース層またはドレイン層を単一工程で)アニールされる。 According to some embodiments of the present invention, the active strips are manufactured in a semiconductor process in which source or drain layers and channel layers are individually formed and annealed for each plane in the stack. In other embodiments, the source or drain layers are annealed individually or collectively (i.e., all source or drain layers in a single step) before simultaneously forming the channel layer in a single step. .

本発明は、添付の図面と併せて、以下の詳細な説明を参照することにより、より良く理解できるであろう。 The invention can be better understood by reference to the following detailed description in conjunction with the accompanying drawings.

図1A-1は、本発明の実施形態による、概念化されたメモリ構造体を示す図であり、基板101上に形成された1つのメモリアレイまたはブロック100内のプレーン(例えば、プレーン110)及びアクティブストリップ(例えば、アクティブストリップ112)に構成されたメモリセルアレイを示す。FIG. 1A-1 is a diagram illustrating a conceptualized memory structure, including planes (eg, plane 110) and active memory arrays in a memory array or block 100 formed on a substrate 101, in accordance with embodiments of the present invention. A memory cell array organized into strips (eg, active strip 112) is shown. 図1A-2は、本発明の一実施形態による、概念化されたメモリ構造体を示す図であり、このメモリ構造体では、図1A-1のメモリアレイまたはブロック100のメモリセルは、ページ(例えば、ページ113)、スライス(例えば、スライス114)及び列(例えば、列115)に交互に構成されている。FIG. 1A-2 illustrates a conceptualized memory structure, in which the memory cells of the memory array or block 100 of FIG. , pages 113), slices (eg slice 114) and columns (eg column 115). 図1Bは、本発明の一実施形態による、4つのNORストリング対の基本回路図を示し、各NORストリング対は、4つのプレーンのそれぞれに配置されている。各NORストリングの互いに対応するTFTは、共通の垂直ローカルワード線を共有する。FIG. 1B shows a basic circuit diagram of four NOR string pairs, each NOR string pair located in each of four planes, according to one embodiment of the present invention. Corresponding TFTs of each NOR string share a common vertical local word line. 図1Cは、本発明の一実施形態による、4つのNORストリングの基本回路図を示し、各NORストリングは4つのプレーンのそれぞれに配置されている。各NORストリングの互いに対応するTFTは、共通のローカルワード線を共有する。FIG. 1C shows a basic circuit diagram of four NOR strings, each arranged in one of four planes, according to one embodiment of the present invention. Corresponding TFTs of each NOR string share a common local word line. 図2Aは、本発明の一実施形態による、半導体構造体200のY-Z平面における断面図であり、アクティブ層202-0~202-7(各々、絶縁層203-0~203-7によって隣のアクティブ層から絶縁されている)が半導体基板201上に形成された後、かつ、個々のアクティブストリップが形成される前の状態を示す。FIG. 2A is a cross-sectional view in the YZ plane of semiconductor structure 200, showing active layers 202-0 through 202-7 (each surrounded by insulating layers 203-0 through 203-7), according to one embodiment of the present invention. , is formed on the semiconductor substrate 201 and before the individual active strips are formed. 副層221及び223とP副層222とを有する半導体構造体220aを示す。本発明の一実施形態によれば、半導体構造体220aを使用して、図2Aのアクティブ層202-0~202-7のいずれかを実現することができる。A semiconductor structure 220a with N + sublayers 221 and 223 and a P sublayer 222 is shown. According to one embodiment of the present invention, semiconductor structure 220a may be used to implement any of active layers 202-0 through 202-7 of FIG. 2A. 図2B-2は、本発明の一実施形態による、図2B-1の半導体構造220aに金属副層224を追加した半導体構造220bを示す。金属副層224は、N副層223に隣接して形成される。FIG. 2B-2 illustrates a semiconductor structure 220b that adds a metal sublayer 224 to the semiconductor structure 220a of FIG. 2B-1, according to one embodiment of the present invention. A metal sublayer 224 is formed adjacent to the N + sublayer 223 . 図2B-3は、本発明の一実施形態による、図2B-1の半導体構造220aに金属副層224を追加した半導体構造220cを示す。金属副層224はそれぞれ、N副層221またはN副層223のいずれかに隣接して形成される。FIG. 2B-3 illustrates a semiconductor structure 220c with the addition of a metal sublayer 224 to the semiconductor structure 220a of FIG. 2B-1, according to one embodiment of the present invention. Metal sublayers 224 are formed adjacent to either N + sublayer 221 or N + sublayer 223, respectively. 図2B-4は、本発明の一実施形態による、シャロー急速レーザアニールステップ(レーザ装置207で表される)による部分的アニール処理後の、図2B-1の半導体構造220aを示す。FIG. 2B-4 shows the semiconductor structure 220a of FIG. 2B-1 after partial annealing by a shallow rapid laser annealing step (represented by laser device 207), according to one embodiment of the present invention. 図2B-5は、本発明の一実施形態による、図2B-1の半導体構造220aに追加的な極薄副層221-d及び223-dを含めた後の、図2B-1の半導体構造220dを示す。FIG. 2B-5 illustrates the semiconductor structure of FIG. 2B-1 after including additional ultra-thin sublayers 221-d and 223-d in the semiconductor structure 220a of FIG. 2B-1, according to one embodiment of the present invention. 220d. 図2Cは、アクティブ層202-0及び202-1のN副層223を半導体基板201内のコンタクト206-0及び206-1に接続する埋込コンタクト205-0及び205-1を通る、図2Aの半導体構造200のY-Z平面における断面図である。FIG. 2C is through buried contacts 205-0 and 205-1 connecting N + sublayers 223 of active layers 202-0 and 202-1 to contacts 206-0 and 206-1 in semiconductor substrate 201. FIG. 2A is a cross-sectional view in the YZ plane of the semiconductor structure 200 of FIG. 2A. 図2Dは、図2Aの半導体構造体200の一部のアクティブ層202-7を通るX-Y平面における断面図であり、図2Aの半導体構造体200にトレンチ230を形成した状態を示す。FIG. 2D is a cross-sectional view in the XY plane through active layer 202-7 of a portion of semiconductor structure 200 of FIG. 2A, showing trench 230 formed in semiconductor structure 200 of FIG. 2A. 図2Eは、図2Aの半導体構造体200の一部におけるアクティブ層202-7を通るX-Y平面における断面図であり、アクティブストリップの互いに対向する側壁上にトレンチ230に沿って電荷トラップ層231L及び231Rを堆積させた状態を示す。FIG. 2E is a cross-sectional view in the XY plane through active layer 202-7 of a portion of semiconductor structure 200 of FIG. 2A with charge trapping layer 231L along trenches 230 on opposite sidewalls of the active strip. and 231R are deposited. 図2Fは、図2Eのトレンチ230を充填するために、導体208(例えば、NまたはPをドープしたポリシリコンまたは金属)を堆積させた状態を示す。FIG. 2F shows the deposition of conductor 208 (eg, N + or P doped polysilicon or metal) to fill trench 230 of FIG. 2E. 図2Fの半導体構造体上のフォトリソグラフィパターニング及びエッチングステップ後に、堆積した導体208の露出部分を除去し、それにより形成されたシャフトを絶縁材料209で充填するかまたはエアギャップ絶縁として残すことによって、ローカル導体(ワード線)208W及びプリチャージワード線208-CHGを実現した状態を示す。After the photolithographic patterning and etching steps on the semiconductor structure of FIG. 2F, by removing the exposed portions of the deposited conductor 208 and filling the shaft thus formed with an insulating material 209 or leaving it as an air gap insulation, The implementation of local conductor (wordline) 208W and precharge wordline 208-CHG is shown. 図2Hは、図2Gのローカルワード線208Wの或る列を通るZ-X平面における断面図であり、アクティブ層202-7及び202-6内のアクティブストリップを示す。FIG. 2H is a cross-sectional view in the ZX plane through a column of local word lines 208W of FIG. 2G, showing active strips in active layers 202-7 and 202-6. 図2Iは、本発明の実施形態EMB-1を示し、この実施形態EMB-1では、図2Hの各ローカルワード線208Wは、グローバルワード線208g-aのいずれか1つに接続されているか(アクティブ層202-0~202-7の上側に設けられた1以上の層に配線されている)、または、グローバルワード線208g-sのうちの1つに接続されている(アクティブ層202-0~202-7の下側、すなわちアクティブ層202-0と基板201との間に設けられた1以上の層に配線されている)(図4Aも参照)。FIG. 2I illustrates an embodiment EMB-1 of the present invention in which each local word line 208W of FIG. 2H is connected to any one of the global word lines 208g-a ( active layers 202-0 through 202-7), or connected to one of the global word lines 208g-s (active layer 202-0 through 202-7). 202-7, ie one or more layers provided between the active layer 202-0 and the substrate 201) (see also FIG. 4A). 図2Iの実施形態EMB-1の水平アクティブ層202-4~202-7の3次元図であり、グローバルワード線208g-sに接続されたローカルワード線208W-sまたはローカルプリチャージワード線208-CHGと、グローバルワード線208g-aに接続されたローカルワード線208W-aとを示す。また、各アクティブ層が、N層223(ドレイン領域として機能する)を有していることにより、選択回路を介して、任意の電源(例えば、Vss、Vbl、Vpgm、Vinhibit、及びVerase)、あるいは、メモリアレイに隣接するかまたはメモリアレイの真下に配置された復号化回路、センシング回路、または他の回路に接続されることを示す。これらの回路は、基板201内の206-0及び206-1によって概略的に表されている。FIG. 2I is a three-dimensional view of horizontal active layers 202-4 through 202-7 of embodiment EMB-1 of FIG. CHG and local word lines 208W-a connected to global word lines 208g-a are shown. In addition, since each active layer has an N + layer 223 (functioning as a drain region), any power supply (for example, V ss , V bl , V pgm , V inhibit , and V erase ), or connected to decoding circuitry, sensing circuitry, or other circuitry located adjacent to or beneath the memory array. These circuits are represented schematically by 206-0 and 206-1 in substrate 201. FIG. 図2Jは、本発明の実施形態EMB-2を示し、この実施形態EMB-2では、頂部のグローバルワード線のみが設けられている(すなわち、底部のグローバルワード線は設けられていない)。実施形態EMB-2では、アクティブストリップの一方の側縁部に沿ったプリチャージローカルワード線208W-STGは、アクティブストリップの反対側の側縁部に沿ったローカルワード線208W-aに対して互い違いに配置されている(図4Bも参照)。FIG. 2J shows an embodiment EMB-2 of the present invention in which only top global word lines are provided (ie no bottom global word lines are provided). In embodiment EMB-2, the precharged local word lines 208W-STG along one side edge of the active strip are staggered with respect to the local word lines 208W-a along the opposite side edge of the active strip. (see also FIG. 4B). 図2Kは、本発明の実施形態EMB-3を示し、この実施形態EMB-3では、各ローカルワード線208Wが、互いに隣接するアクティブストリップの互いに対向する側壁、及び該側壁にそれぞれ隣接する電荷トラップ層(例えば、電荷トラップ層231L及び231R)に形成された一対のTFT(例えば、TFT281及び283)を制御する。絶縁トレンチ209をエッチングして、各TFT対(例えば、TFT281及び283)をそれに隣接するTFT対(例えば、TFT285及び287)から絶縁する(図4Cも参照)。FIG. 2K illustrates an embodiment EMB-3 of the present invention in which each local word line 208W has opposite sidewalls of adjacent active strips and charge traps adjacent the sidewalls, respectively. A pair of TFTs (eg, TFTs 281 and 283) formed in a layer (eg, charge trapping layers 231L and 231R) is controlled. Isolation trenches 209 are etched to isolate each TFT pair (eg, TFTs 281 and 283) from its adjacent TFT pair (eg, TFTs 285 and 287) (see also FIG. 4C). 図2K-1は、図2Kの実施形態EMB-3を示し、この実施形態EMB-3では、P副層222を基板回路に選択的に接続するように、任意選択のPドープピラー290が絶縁トレンチ209の一部または全部を充填するために設けられている。Pドープピラー290は、バックバイアス電圧VBBまたは消去電圧VERASEをP副層222に供給することができる(図3A及び図4Cも参照)。FIG. 2K-1 shows embodiment EMB-3 of FIG. 2K, in which optional P doped pillars 290 are added to selectively connect the P sublayer 222 to substrate circuitry. It is provided to fill part or all of the isolation trench 209 . P - doped pillars 290 can provide back bias voltage V BB or erase voltage V ERASE to P- sublayer 222 (see also FIGS. 3A and 4C). 図3Aは、N副層221において電源電圧Vssを設定するために使用される方法及び回路要素を示す。具体的には、電源電圧Vssは、ハードワイヤ復号化ソース線接続280(破線で示す)を介して、あるいはプリチャージTFT303及び復号化ビット線接続270をビット線電圧Vss、Vbl、Vpgm、Vinhibit、及びVeraseのいずれかに対してアクティブ化することにより設定される。FIG. 3A shows the method and circuitry used to set the power supply voltage V ss in the N + sublayer 221. FIG. Specifically, the power supply voltage V ss is applied either through a hardwired decode source line connection 280 (shown in dashed lines) or through precharge TFT 303 and decode bit line connections 270 to bit line voltages V ss , V bl , V. Set by activating for any of pgm , V inhibit , and V erase . 図3Bは、図3Aの回路の読み出し動作中における、ソース、ドレイン、選択されたワード線、及び選択されていないワード線の電圧の例示的な波形を示す。N副層221には、配線接続280を介して電源電圧VSSが印加されている。FIG. 3B shows exemplary waveforms of voltages on the source, drain, selected word lines, and unselected word lines during a read operation of the circuit of FIG. 3A. A power supply voltage V SS is applied to the N + sublayer 221 via a wiring connection 280 . 図3Cは、図3Aの回路の読み出し動作中における、ソース、ドレイン、選択されたワード線、選択されていないワード線、及びプリチャージワード線電圧の例示的な波形を示す。N副層221は、選択されていないワード線151Bを約0Vに保持しながら、ワード線208-CHGをプリチャージすることによってVSS(約0V)に瞬間的にプリチャージされた後に、セミフローティングソース領域を提供する。FIG. 3C shows exemplary waveforms of the source, drain, selected wordline, unselected wordline, and precharged wordline voltages during a read operation of the circuit of FIG. 3A. N + sublayer 221 is momentarily precharged to V SS (approximately 0V) by precharging wordline 208-CHG while holding unselected wordline 151B at approximately 0V, followed by semi-sublayer 221. Provide a floating source region. 図4Aは、図2I及び図2I-1の実施形態EMB-1のX-Y平面における断面図であり、メモリアレイの頂部でローカルワード線208W-aをグローバルワード線208g-aに接続するコンタクト291を示す。同様に、ローカルワード線208W-sは、メモリアレイの底部で、頂部のグローバルワード線と略平行に延びるグローバルワード線208g-s(図示せず)に接続されている。FIG. 4A is a cross-sectional view in the XY plane of embodiment EMB-1 of FIGS. 2I and 2I-1 with contacts connecting local word lines 208W-a to global word lines 208g-a at the top of the memory array. 291. Similarly, local word lines 208W-s are connected at the bottom of the memory array to global word lines 208g-s (not shown) that run substantially parallel to the top global word lines. 図4Bは、図2Jの実施形態EMB-2のX-Y平面における断面図であり、各アクティブストリップの両側に沿って互い違いに配置されたTFTにおいて、ローカルワード線208W-a及び互い違いのローカルワード線208W-STGを、頂部のグローバルワード線208g-aのみに、または底部のグローバルワード線(図示せず)のみに接続するコンタクト291を示す。FIG. 4B is a cross-sectional view in the XY plane of embodiment EMB-2 of FIG. Contacts 291 are shown connecting line 208W-STG to only top global word lines 208g-a or only to bottom global word lines (not shown). 図4Cは、図2K及び図2K-1の実施形態(EMB-3)のX-Y平面における断面図であり、メモリアレイの頂部でローカルワード線208W-aをグローバルワード線208g-aに接続するか、または、メモリアレイの底部でローカルワード線208W-aをグローバルワード線208g-s(図示せず)に接続するコンタクト291を示す。また、アクティブ層202-7の互いに隣接するアクティブストリップ上のTFT対281及び283は、絶縁トレンチ209によって、TFT対285及び287から分離されている。FIG. 4C is a cross-sectional view in the XY plane of the embodiment (EMB-3) of FIGS. 2K and 2K-1 connecting local word lines 208W-a to global word lines 208g-a at the top of the memory array. Alternatively, contacts 291 are shown connecting local word lines 208W-a to global word lines 208g-s (not shown) at the bottom of the memory array. TFT pairs 281 and 283 on adjacent active strips of active layer 202 - 7 are also separated from TFT pairs 285 and 287 by isolation trenches 209 . 図4Dは、図2K及び図2K-1の実施形態EMB-3のアクティブ層202-7を通るX-Y平面における断面図であり、この実施形態EMB-3では、P副層222への基板バックバイアス電圧Vbb及び消去電圧Veraseを選択的に提供する1以上の任意選択のPドープピラー290をさらに含む。FIG. 4D is a cross-sectional view in the XY plane through active layer 202-7 of embodiment EMB - 3 of FIGS. It further includes one or more optional P - doped pillars 290 that selectively provide substrate back bias voltage V bb and erase voltage V erase . 図5Aは、半導体構造体500のY-Z平面を通る断面図であり、半導体基板201上にアクティブ層502-0~502-7を互いに重ね合わせて8つのプレーンのスタックに形成するとともに、各アクティブ層をISL材料の絶縁層503-0~503-7によって互いに絶縁した状態を示すFIG. 5A is a cross-sectional view through the YZ plane of semiconductor structure 500, in which active layers 502-0 through 502-7 are stacked on top of semiconductor substrate 201 to form a stack of eight planes, each of which has a plane. The active layers are shown isolated from each other by insulating layers 503-0 to 503-7 of ISL material. 図5Bは、N副層523-1及び523-0を半導体基板201の回路206-0及び206-1に接続する埋込コンタクト205-0及び205-1を通るY-Z平面における断面図である。5B is a cross-sectional view in the YZ plane through buried contacts 205-0 and 205-1 connecting N + sublayers 523-1 and 523-0 to circuits 206-0 and 206-1 of semiconductor substrate 201. FIG. is. 図5Cは、Z-X平面の断面図であり、Y方向に沿ったトレンチ530がアクティブ層502-7~502-0を貫通して異方的にエッチングされ、図5Bのランディングパッド264まで到達した後の、半導体構造体500のアクティブ層502-6及び502-7を示す。SAC2材料充填トレンチ530は、SAC1材料とは異なるエッチング特性を有する。FIG. 5C is a cross-sectional view in the ZX plane where trenches 530 along the Y direction are anisotropically etched through active layers 502-7 to 502-0 down to landing pads 264 in FIG. 5B. The active layers 502-6 and 502-7 of the semiconductor structure 500 are shown after shaving. The SAC2 material filled trenches 530 have different etch characteristics than the SAC1 material. 図5Dは、SAC1材料の副層522を通るX-Y平面における頂部プレーンまたはアクティブ層502-7を示し、トレンチ530に充填されているSAC2材料内に異方的にエッチングして形成された、アクティブ層502-7~552-0のスタックの底部に達する第2のトレンチ545を示す。異方性エッチングにより、スタックの側壁547を露出させて副層522内のSAC1材料を除去し、それにより、アクティブ層502-0~502-7の各アクティブストリップ内でN副層521とN副層523との間にキャビティを形成する。FIG. 5D shows the top plane or active layer 502-7 in the XY plane through sublayer 522 of SAC1 material, anisotropically etched into SAC2 material filling trench 530. A second trench 545 is shown reaching the bottom of the stack of active layers 502-7 through 552-0. An anisotropic etch exposes the sidewalls 547 of the stack and removes the SAC1 material in the sublayer 522, thereby forming the N + It forms a cavity with the + sublayer 523 . 図5Eは、トレンチ545から離れた(例えば、図5Dの線1-1´に沿って)Z-X平面を通る断面図であり、各アクティブストリップの両側でSAC2材料によって支持される隣接アクティブ層におけるアクティブストリップを示す。副層522内のSAC1材料を掘削して形成されたキャビティ537内には、任意選択の極薄ドーパント拡散防止層521-Dが設けられ、その上にアンドープまたはPドープのポリシリコン521が堆積される。FIG. 5E is a cross-sectional view through the ZX plane away from trench 545 (eg, along line 1-1′ in FIG. 5D) with adjacent active layers supported by SAC2 material on either side of each active strip. shows the active strip in An optional ultra-thin dopant diffusion barrier layer 521-D is provided in a cavity 537 formed by excavating the SAC1 material in sublayer 522, over which undoped or P - doped polysilicon 521 is deposited. be done. 図5Fは、本発明の実施形態EMB-1AのX-Y平面における断面であり、Pドープピラー290、ローカルワード線280W及びプリチャージワード線208-CHGがアクティブ層502-7の互いに隣接するアクティブストリップに設けられた状態を示す。ワード線は、トレンチ530内のSAC2材料が選択的に除去された後に形成される。ワード線を形成する前に、電荷トラップ層231L及び231Rがアクティブストリップの側壁上に共形的に堆積される(超薄ドーパント拡散防止層521-Dは任意選択である)。FIG. 5F is a cross-section in the XY plane of embodiment EMB-1A of the present invention, showing P - doped pillar 290, local wordline 280W and precharge wordline 208-CHG adjacent to each other in active layer 502-7. It shows the state provided on the strip. Wordlines are formed after the SAC2 material in trenches 530 is selectively removed. Before forming the wordlines, charge trapping layers 231L and 231R are conformally deposited on the sidewalls of the active strips (ultra-thin dopant diffusion prevention layer 521-D is optional). 図5Gは、実施形態EMB-3Aのアクティブ層502-6及び502-7のZ-X平面における断面図であり、任意選択の極薄ドーパント拡散防止層521-dを形成し、TFT585、TR587のチャネル領域を形成する副層522に非ドープまたはPドープのポリシリコン、アモルファスシリコン、またはシリコンゲルマニウムを堆積した後の状態を示す。スタック内のチャネル領域(すなわち、P副層522)を基板回路262に接続するために、副層522(P)も、ピラー290としてトレンチ側壁上に堆積させられる。FIG. 5G is a cross-sectional view in the ZX plane of active layers 502-6 and 502-7 of embodiment EMB-3A, forming an optional ultra-thin dopant diffusion barrier layer 521-d, and forming TFTs 585, TR587. It is shown after deposition of undoped or P - doped polysilicon, amorphous silicon, or silicon germanium in sublayer 522 forming the channel region. A sublayer 522 (P ) is also deposited on the trench sidewalls as pillars 290 to connect the channel region (ie, P sublayer 522 ) in the stack to substrate circuitry 262 . 図5H-1は、本発明の一実施形態による、半導体構造500のZ-X平面における断面図であり、本発明の一実施形態による、N副層521及び522間の犠牲SAC1材料をエッチングする直前のアクティブストリップを示す。FIG. 5H-1 is a cross-sectional view in the ZX plane of semiconductor structure 500, according to one embodiment of the present invention, etching the sacrificial SAC1 material between N + sublayers 521 and 522, according to one embodiment of the present invention. Shows the active strip just before 図5H-2は、図5H-1の半導体構造500の断面図であり、SAC1材料から選択的支持スパイン(例えばスパインSAC1-a)を形成するために、SAC1材料を横方向に(符号537で示す方向に沿って)選択的にエッチングし、その後、凹部及びアクティブストリップの側壁に、Pドープチャネル材料(例えばポリシリコン)を充填した状態を示す。FIG. 5H-2 is a cross-sectional view of the semiconductor structure 500 of FIG. (along the indicated direction), followed by filling the sidewalls of the recesses and active strips with P - doped channel material (eg, polysilicon). 図5H-3は、本発明の一実施形態による、図5H-2の半導体構造500の断面図であり、凹部内にP副層522を残したまま、アクティブストリップの側壁に沿って領域525からP材料を除去した後の状態を示す。図5H-3はまた、トレンチ530からの絶縁材料を除去し、電荷トラップ層531及びローカルワード線208-Wを形成することにより、アクティブストリップの両側にトランジスタT583及びT585を形成した状態を示す。FIG. 5H-3 is a cross-sectional view of the semiconductor structure 500 of FIG. 5H-2, showing regions 525 along the sidewalls of the active strip while leaving the P sublayer 522 in the recess, according to one embodiment of the present invention. 2 shows the state after removal of the P - material from . FIG. 5H-3 also formed transistors T L 583 and T R 585 on either side of the active strip by removing insulating material from trenches 530 and forming charge trapping layers 531 and local wordlines 208-W. Indicates status. 図6Aは、半導体構造体600を示し、これは象限Q1~Q4に構成されたメモリアレイの3次元図である。各象限において、(i)多数のNORストリングの各々は、Y方向に沿って延びるアクティブストリップに形成されている(例えば、NORストリング112);(ii)ページはX方向に沿って延び(例えば、113ページ)、各ページは、対応するY位置の各NORストリングからの1つのTFTからなり、ページ内のNORストリングは対応するZ位置(すなわち、同一のアクティブ層)に存在する;(iii)スライスはX方向及びZ方向の両方向に延び(例えば、スライス114)、各スライスは、同一の対応するY位置のページからなり(各プレーンから1ページずつ);(iv)プレーンはX方向及びY方向の両方に沿って延びる(例えば、プレーン110)、各プレーンは所与のZ位置(すなわち、同一のアクティブ層)に存在する全てのページからなる。FIG. 6A shows a semiconductor structure 600, which is a three-dimensional view of a memory array organized into quadrants Q1-Q4. In each quadrant, (i) each of a number of NOR strings is formed in an active strip extending along the Y direction (eg NOR string 112); (ii) a page extending along the X direction (eg 113), each page consists of one TFT from each NOR string at the corresponding Y location, and the NOR strings within the page are at the corresponding Z location (i.e., same active layer); (iii) slices extends in both the X and Z directions (e.g., slice 114), each slice consisting of pages at the same corresponding Y position (one page from each plane); (iv) planes in the X and Y directions (eg, plane 110), each plane consisting of all pages present at a given Z-position (ie, the same active layer). 図6Aの半導体構造体600を示し、象限Q4内のプログラム可能基準NORストリング112-Ref内のTFT、及び象限Q2内のNORストリング112内のTFTを示し、Q2及びQ4は「鏡像象限」である。図6Bはまた、(i)対応する基準TFTを鏡像象限Q1のスライス114に同様に提供し、かつ、センスアンプSA(b)を共有する、象限Q3のプログラム可能な基準スライス114-Ref(領域Bで示す)と、(ii)対応する基準TFTを鏡像象限Q1のプレーン110に提供し、センスアンプSA(c)を共有し、かつ、対応する基準TFTを同一の象限のNORストリング(例えば、NORストリング112)に提供する、プログラム可能な基準象限Q2のプレーン110-Refとを示す。FIG. 6A shows semiconductor structure 600, showing the TFTs in programmable reference NOR string 112-Ref in quadrant Q4, and the TFTs in NOR string 112 in quadrant Q2, where Q2 and Q4 are "mirror quadrants." . FIG. 6B also shows (i) a programmable reference slice 114-Ref (Region B) and (ii) providing corresponding reference TFTs to plane 110 in mirror quadrant Q1, sharing sense amplifier SA(c), and providing corresponding reference TFTs to the same quadrant's NOR strings (e.g., Plane 110-Ref of the programmable reference quadrant Q2 that provides the NOR string 112) is shown. 図6Cは、図6Aの半導体構造体600を示す図であり、スライス116がそれらのセンスアンプ及び電圧源206に非常に近いため、高速キャッシュとして使用されることを示す。図6Cはまた、象限Q2のNORストリングまたはページを置換または代替するために使用され得るスペアプレーン117も示している。FIG. 6C is a diagram illustrating the semiconductor structure 600 of FIG. 6A, showing that the slices 116 are so close to their sense amplifiers and voltage sources 206 that they are used as a high speed cache. FIG. 6C also shows a spare plane 117 that can be used to replace or substitute NOR strings or pages in quadrant Q2. 実施形態EMB-3Aのアクティブ層502-7のZ-X平面における断面図であり、図5Gの短チャネルTFT T 585をより詳細に示している。この短チャネルTFT T 585では、N副層521はソースとして機能し、N副層523はドレインとして機能し、P副層522は、電荷蓄積材料531及びワード線208Wと共にチャネルとして機能する。図7は、フリンジ電界574により支援されて、電荷蓄積材料531内(例えば、領域577及び578内)にトラップされた電子をN副層521及びN副層523に移動させる消去動作を示す。5G is a cross-sectional view in the ZX plane of active layer 502-7 of embodiment EMB-3A, showing short-channel TFT T R 585 of FIG. 5G in greater detail. In this short-channel TFT T R 585, the N + sublayer 521 functions as the source, the N + sublayer 523 functions as the drain, and the P sublayer 522 functions as the channel along with the charge storage material 531 and word line 208W. do. FIG. 7 illustrates an erase operation assisted by fringing field 574 to move electrons trapped within charge storage material 531 (eg, within regions 577 and 578) to N + sublayer 521 and N + sublayer 523. . 図8Aは、従来技術のストレージシステム800を簡略化した形で示す図であり、このストレージシステム800では、マイクロプロセッサ(CPU)801が、NANDフラッシュチップ804を使用するフラッシュソリッドステートドライブ(SSD)内のシステムコントローラ803と通信する。SSDはハードディスクドライブをエミュレートし、NANDフラッシュチップ804はCPU801と直接通信せず、比較的長い読み出しレイテンシを有する。FIG. 8A is a simplified diagram of a prior art storage system 800 in which a microprocessor (CPU) 801 resides in a flash solid state drive (SSD) using NAND flash chips 804. communicates with the system controller 803 of the The SSD emulates a hard disk drive, the NAND flash chip 804 does not communicate directly with the CPU 801 and has relatively long read latencies. 図8Bは、本発明のメモリデバイスを使用するシステムアーキテクチャ850を簡略化した形で示す図であり、このシステムアーキテクチャ850では、不揮発性NORストリングアレイ854または準揮発性NORストリングアレイ855(またはその両方)は、CPU801と、1以上の入出力(I/O)ポート861を介して直接的に、あるいはコントローラ863を介して間接的に通信する。FIG. 8B illustrates in simplified form a system architecture 850 using the memory device of the present invention, in which a non-volatile NOR string array 854 and/or a semi-volatile NOR string array 855 are shown. ) communicates with CPU 801 directly through one or more input/output (I/O) ports 861 or indirectly through controller 863 .

図1A-1及び図1A-2は、概念化された半導体構造体100を示す図であり、この詳細な説明は、本発明の一実施形態によるメモリセルの構造を示す。図1A-1に示すように、半導体構造体100は、基板層101の表面上に製造された堆積薄膜として形成されたメモリセルの3次元メモリアレイまたはブロックに相当する。基板層101は、例えば、当業者によく知られている、集積回路の製造に使用される従来のシリコンウェハであり得る。この詳細な説明では、デカルト座標系(例えば、図1A-1に示すような)は、説明を容易にする目的のためだけに用いられる。この座標系では、基板層101の表面は、X-Y平面に対して平行な平面と見なされる。したがって、本明細書で使用する「水平」という用語は、X-Y平面に対して平行な任意の方向を指し、一方、「垂直」という用語はZ方向を指す。図示のように、ブロック100は、垂直方向に互いに積み重ねられ、かつ互いに絶縁された4つのプレーン(plane)(例えば、プレーン110)からなる。各プレーンは、NORストリングの水平アクティブストリップ(例えば、アクティブストリップ112)からなる。各NORストリングは、アクティブストリップに沿って並んで形成された複数のTFT(例えば、TFT111)を含み、以下でさらに詳細に説明するように、薄膜トランジスタ電流は垂直方向に流れる。従来のNANDストリングとは異なり、本発明のNORストリングでは、NORストリング内の1つのTFTの書き込み、読み出しまたは消去は、NORストリング内の他のTFTの活性化を必要としない。したがって、各NORストリングはランダムにアドレス指定可能であり、そのようなNORストリング内では、各TFTはランダムにアクセス可能である。 1A-1 and 1A-2 are diagrams illustrating a conceptualized semiconductor structure 100, the detailed description of which illustrates the structure of a memory cell according to one embodiment of the present invention. As shown in FIG. 1A-1, semiconductor structure 100 corresponds to a three-dimensional memory array or block of memory cells formed as deposited thin films fabricated on the surface of substrate layer 101 . Substrate layer 101 can be, for example, a conventional silicon wafer used in the manufacture of integrated circuits, well known to those skilled in the art. In this detailed description, a Cartesian coordinate system (eg, as shown in FIG. 1A-1) is used only for ease of explanation purposes. In this coordinate system, the surface of substrate layer 101 is viewed as a plane parallel to the XY plane. Thus, the term "horizontal" as used herein refers to any direction parallel to the XY plane, while the term "vertical" refers to the Z direction. As shown, block 100 consists of four planes (eg, plane 110) that are vertically stacked on top of each other and insulated from each other. Each plane consists of horizontal active strips (eg, active strips 112) of NOR strings. Each NOR string includes a plurality of TFTs (eg, TFT 111) formed side-by-side along an active strip, with thin-film transistor current flowing vertically, as described in more detail below. Unlike conventional NAND strings, in the NOR string of the present invention, writing, reading or erasing one TFT in the NOR string does not require activation of other TFTs in the NOR string. Therefore, each NOR string is randomly addressable and within such a NOR string each TFT is randomly accessible.

プレーン110は、互いに積み重ねられ、かつ互いに絶縁された4つのプレーンのうちの1つとして示されている。水平アクティブストリップ112の長さに沿って、並んだTFT(例えば、TFT111)が形成されている。図1A-1では、説明の目的のためだけに、各プレーンは、互いに絶縁された4つの水平アクティブストリップを有する。プレーン及びNORストリングは両方とも、個別にアドレス指定可能である。 Plane 110 is shown as one of four planes stacked on top of each other and insulated from each other. Along the length of horizontal active strip 112 are formed side-by-side TFTs (eg, TFT 111). In FIG. 1A-1, for illustration purposes only, each plane has four horizontal active strips insulated from each other. Both planes and NOR strings are individually addressable.

図1A-2は、メモリセルの、追加のランダムにアドレス指定可能な単位である、「列(column)」、「ページ(page)」及び「スライス(slice)」を示す。図1A-2では、各列(例えば、列115)は、共通の制御ゲートまたはローカルワード線を共有する複数のNORストリングのTFTに相当し、NORストリングは複数のプレーンのアクティブストリップに沿って形成されている。概念化された構造体であるので、半導体構造体100は、単に、本発明の半導体構造体の特定の顕著な特徴を抽象的化したものであることに留意されたい。また、図1A-1では、各々が4つのTFTを有する4×4のアクティブストリングのアレイとして示されているが、本発明の半導体構造体は、X、Y及びZ方向のいずれに沿っても、任意の数のTFTを有することができる。例えば、Z方向に沿って、1、2、4、8、16、32、64個またはそれ以上のストリングのプレーンが存在してもよく、X方向に沿って、2、4、8、16、32、64個またはそれ以上のNORストリングのアクティブストリングが存在してもよく、各norストリングが、Y方向において2、4、8、16、・・・、8192個またはそれ以上の並んだtftを有してもよい。2の整数乗(すなわち、2、nは整数)の数値の使用は、従来のメモリ設計における通例に従う。バイナリアドレスを復号化することによって、アドレス指定可能な各メモリユニットにアクセスするのが通例である。したがって、例えば、本発明の半導体構造体は、X方向及びZ方向のそれぞれに沿ってm個のnorストリングを有し得る。mは、任意の整数nについて、必ずしも2ではない数である。本発明の半導体構造体100のtftは、個々のページ単位または個々のスライス単位で、読み出し、プログラム、または消去を同時に行うことができる。図1A-2に示すように、「ページ」は、Y方向に沿ったTFTの行を指す。また、「スライス」は、X方向及びZ方向の両方に沿って延び、1つのメモリがY方向に沿って深くなる連続的なメモリセルの構成を指す。また、消去動作は、メモリブロック100全体に対して1つのステップで実行することもできる。 FIG. 1A-2 shows additional randomly addressable units of memory cells: "columns,""pages," and "slices." In FIG. 1A-2, each column (eg, column 115) corresponds to multiple NOR strings of TFTs sharing a common control gate or local word line, the NOR strings formed along active strips of multiple planes. It is Note that being a conceptual structure, semiconductor structure 100 is merely an abstraction of certain salient features of the semiconductor structure of the present invention. Also, although shown in FIG. 1A-1 as an array of 4×4 active strings each having four TFTs, the semiconductor structure of the present invention can be used along any of the X, Y and Z directions. , can have any number of TFTs. For example, along the Z direction there may be 1, 2, 4, 8, 16, 32, 64 or more string planes and along the X direction 2, 4, 8, 16, There may be an active string of 32, 64 or more NOR strings, each nor string having 2, 4, 8, 16, . may have. The use of numbers that are integer powers of 2 (ie, 2 n , where n is an integer) follows convention in conventional memory design. It is customary to access each addressable memory unit by decoding the binary address. Thus, for example, a semiconductor structure of the present invention may have m nor strings along each of the X and Z directions. m is a number that is not necessarily 2n for any integer n . The TFTs of the semiconductor structure 100 of the present invention can be read, programmed, or erased simultaneously on an individual page basis or an individual slice basis. A “page” refers to a row of TFTs along the Y direction, as shown in FIG. 1A-2. A "slice" also refers to a configuration of contiguous memory cells extending along both the X and Z directions, with one memory deep along the Y direction. The erase operation can also be performed on the entire memory block 100 in one step.

概念的な構造体であるので、半導体構造体100は、X、Y、及びZ方向のいずれにおいても正確なスケールで描かれていない。 Being a conceptual structure, semiconductor structure 100 is not drawn to scale in any of the X, Y, and Z directions.

図1Bは、本発明の一実施形態による、4つのNORストリング対の基本回路図を示し、各NORストリング対は、4つのプレーンのそれぞれに配置されている。各NORストリングの互いに対応するTFTは、共通のローカルワード線(例え ば、ローカルワード線151n)を共有する。この構成の詳細な構造は、図2Kを参照して以下で説明及び図示される。図1Bに示すように、この基本回路構成は、共通のローカルワード線を共有する半導体構造体100の隣接する列115に設けられた4つの別個のプレーンに4つのNORストリング対を含む(例えば、プレーン159-4のNORストリング150L及び150R)。 FIG. 1B shows a basic circuit diagram of four NOR string pairs, each NOR string pair located in each of four planes, according to one embodiment of the present invention. Corresponding TFTs in each NOR string share a common local word line (eg, local word line 151n). The detailed structure of this configuration is described and illustrated below with reference to FIG. 2K. As shown in FIG. 1B, this basic circuit configuration includes four NOR string pairs in four separate planes in adjacent columns 115 of semiconductor structure 100 that share a common local word line (e.g., NOR strings 150L and 150R in plane 159-4).

図1Bに示すように、NORストリング150L及び150Rは、共通ワード線151aの互いに反対側に位置する2つのアクティブストリップに沿って形成されたNORストリングであり得る。TFT152R-1~152R-4及び152L-1~152L-4は、ローカルワード線151aの互いに反対側に位置する右側の4つのアクティブストリップ及び左側の4つのアクティブストリップにそれぞれ設けられたTFTであり得る。この実施形態では、図2K及び図4Cを参照して以下に詳細に説明するように、隣接するアクティブストリップのTFTを制御する共通の垂直ローカルワード線を有することにより、より大きな記憶密度を実現することができる。例えば、ローカルワード線151aは、4つのプレーン上に設けられた4つのNORストリングからのTFT153R-1、153R-2、153R-3及び153R-4、並びに、対応するプレーン上に設けられた隣接する4つのNORストリングからのTFT153L-1、153L-2、153L-3及び153L-4を制御する。以下でより詳細に説明するように、いくつかの実施形態では、いくつかの動作条件下で、ソース電圧VSSを提供するために、各NORストリングに固有の寄生キャパシタンスC(例えば、NORストリングの共通のNソース領域またはNドレイン領域と、その複数の関連するローカルワード線との間の分布キャパシタンス)が仮想電源として使用される。 As shown in FIG. 1B, NOR strings 150L and 150R may be NOR strings formed along two active strips located on opposite sides of common word line 151a. The TFTs 152R-1 to 152R-4 and 152L-1 to 152L-4 may be TFTs respectively provided in the four right active strips and the four left active strips located on opposite sides of the local word line 151a. . This embodiment achieves greater storage density by having a common vertical local word line that controls the TFTs of adjacent active strips, as described in detail below with reference to FIGS. 2K and 4C. be able to. For example, local word line 151a includes TFTs 153R-1, 153R-2, 153R-3, and 153R-4 from four NOR strings provided on four planes and adjacent TFTs provided on corresponding planes. It controls TFTs 153L-1, 153L-2, 153L-3 and 153L-4 from four NOR strings. As described in more detail below, in some embodiments, a parasitic capacitance C inherent in each NOR string (e.g. The distributed capacitance between a common N + source or N + drain region and its associated local wordlines) is used as a virtual power supply.

図1Cは、本発明の一実施形態による、4つのNORストリングの基本回路図を示し、各NORストリングは、4つのプレーンのそれぞれに配置されている。図1Cでは、各NORストリングの互いに対応するTFTは、共通のローカルワード線を共有する。各NORストリングはY方向に沿って水平に延び、ソース線153-mとドレインまたはビット線154-mとの間に接続された記憶素子(すなわち、TFT)を有する。mは、互いに対応するアクティブストリップの1~4の間のインデックスである。4つのNORストリングの互いに対応するTFTは、ローカル垂直ワード線151-nのうちの対応するものを共有する。nは、ローカルワード線のインデックスである。本発明のNORストリング内のTFTは、可変閾値電圧薄膜記憶トランジスタであり、従来のプログラム、プログラム禁止、消去及び読み出し電圧を用いて、プログラム、プログラム禁止、消去、または読み出しを行うことができる。本発明の1以上の実施形態では、TFTは、ファウラー・ノルドハイムトンネリングメカニズムまたは直接トンネリングメカニズムを使用してプログラムまたは消去を行う薄膜蓄積トランジスタによって実現される。別の実施形態では、チャネルホットエレクトロン注入をプログラムに使用することができる。 FIG. 1C shows a basic circuit diagram of four NOR strings, each arranged in one of four planes, according to one embodiment of the present invention. In FIG. 1C, corresponding TFTs of each NOR string share a common local word line. Each NOR string extends horizontally along the Y direction and has a storage element (ie, TFT) connected between a source line 153-m and a drain or bit line 154-m. m is an index between 1 and 4 of the active strips corresponding to each other. Corresponding TFTs of the four NOR strings share corresponding ones of the local vertical word lines 151-n. n is the index of the local word line. The TFTs in the NOR strings of the present invention are variable threshold voltage thin film storage transistors and can be programmed, program inhibited, erased or read using conventional program, program inhibit, erase and read voltages. In one or more embodiments of the present invention, TFTs are implemented by thin film storage transistors that are programmed or erased using a Fowler-Nordheim tunneling mechanism or a direct tunneling mechanism. In another embodiment, channel hot electron injection can be used for programming.

プロセスフローprocess flow

図2Aは、本発明の一実施形態による、半導体構造体200のY-Z平面における断面図であり、アクティブ層202-0~202-7(各々、絶縁層203-0~203-7によって隣のアクティブ層から絶縁されている)が半導体基板201上に形成された後、かつ、個々のアクティブストリップが形成される前の状態を示す。半導体基板201は、例えば、アクティブ層を形成する前に、半導体構造体200用のサポート回路が形成され得るPドープバルクシリコンウエハに相当する。図2C及び図2I-1において接点206-0及び206-1と並んで形成され得るそのようなサポート回路は、アナログ回路とデジタル回路との両方を含み得る。そのようなサポート回路のいくつかの例には、シフトレジスタ、ラッチ、センスアンプ、基準セル、電力供給ライン、バイアス及び基準電圧発生器、インバータ、NAND、NOR、排他的論理和及び他の論理ゲート、入力/出力ドライバ、アドレスデコーダ(例えば、ビット線及びワード線)、他のメモリ素子、シーケンサ及び状態機械が含まれる。当業者には知られているように、これらのサポート回路は、従来の装置のビルディングブロック(例えば、N+ウェル、Pウェル、トリプルウェル、N+拡散領域、P拡散領域、絶縁領域、低電圧トランジスタ、高電圧トランジスタ、キャパシタ、抵抗器、ビア、相互接続、導体)から形成され得る。 FIG. 2A is a cross-sectional view in the YZ plane of semiconductor structure 200, showing active layers 202-0 through 202-7 (each surrounded by insulating layers 203-0 through 203-7), according to one embodiment of the present invention. , is formed on the semiconductor substrate 201 and before the individual active strips are formed. Semiconductor substrate 201 corresponds, for example, to a P - doped bulk silicon wafer on which support circuitry for semiconductor structure 200 may be formed prior to forming active layers. Such support circuitry, which may be formed alongside contacts 206-0 and 206-1 in FIGS. 2C and 2I-1, may include both analog and digital circuitry. Some examples of such support circuitry include shift registers, latches, sense amplifiers, reference cells, power supply lines, bias and reference voltage generators, inverters, NAND, NOR, exclusive OR and other logic gates. , input/output drivers, address decoders (eg, bitlines and wordlines), other memory elements, sequencers and state machines. As known to those skilled in the art, these support circuits are conventional device building blocks (e.g., N + well, P - well, triple-well, N + diffusion, P - diffusion, isolation region, low voltage transistors, high voltage transistors, capacitors, resistors, vias, interconnects, conductors).

サポート回路が半導体基板201の内部及び表面に形成された後、絶縁層203-0が設けられる。絶縁層203-0は、例えば、堆積させたまたは成長させた厚い酸化シリコンであり得る。 After the support circuitry is formed in and on the semiconductor substrate 201, an insulating layer 203-0 is provided. The insulating layer 203-0 can be, for example, deposited or grown thick silicon oxide.

次に、いくつかの実施形態では、「グローバルワード線」(詳細については後述する)を含む、相互接続の1以上の層が形成され得る。このような金属相互接続線(例えば、後述する図2Cのグローバルワード線ランディングパッド264)は、後のステップで形成されるアクティブNORストリングに対して垂直であり得る所定の方向に沿って延びる水平な細長い導体ストリップとして設けられ得る。この詳細な説明での説明を容易にするために、グローバルワード線はX方向に沿って延びていると仮定する。金属相互接続線は、1以上の堆積された金属層上に、フォトリソグラフィパターニング及びエッチングステップを適用することによって形成することができる(あるいは、これらの金属相互接続線は、銅またはダマシンプロセスなどの従来のダマシンプロセスを用いて形成することができる)。そして、厚い酸化物を堆積させて絶縁層203-0を形成し、その後、従来の化学機械研磨(CMP)技術を用いて平坦化ステップを行う。 Next, in some embodiments, one or more layers of interconnects may be formed, including "global wordlines" (described in more detail below). Such metal interconnect lines (eg, global wordline landing pads 264 of FIG. 2C, described below) are horizontal lines extending along a predetermined direction that may be perpendicular to the active NOR strings formed in subsequent steps. It may be provided as an elongated conductor strip. For ease of explanation in this detailed description, the global word lines are assumed to run along the X direction. Metal interconnect lines can be formed by applying photolithographic patterning and etching steps on one or more deposited metal layers (alternatively, these metal interconnect lines can be formed using copper or damascene processes such as can be formed using conventional damascene processes). A thick oxide is then deposited to form insulating layer 203-0, followed by a planarization step using conventional chemical-mechanical polishing (CMP) techniques.

次いで、アクティブ層202-0~202-7を順次形成する。各アクティブ層は、絶縁層203-1~203-7のうちの対応する絶縁層によって、その真下のアクティブ層から電気的に絶縁されている。図2Aでは、8つのアクティブ層を示しているが、任意の数のアクティブ層が設けられ得る。実際には、アクティブ層の数はプロセス技術に依存し、例えば、良好に制御された異方性エッチングプロセスを用いる場合、アクティブ層の背の高いスタックを切断して半導体基板201に達することも可能となる。各アクティブ層は、後述するようにプレーンを選択的に切断するエッチングステップによってエッチングされ、それにより、各々がY方向に沿って延びる多数の平行なアクティブストリップが形成される。 Next, active layers 202-0 to 202-7 are sequentially formed. Each active layer is electrically isolated from the active layer beneath it by a corresponding one of insulating layers 203-1 through 203-7. Although eight active layers are shown in FIG. 2A, any number of active layers may be provided. In practice, the number of active layers will depend on the process technology, e.g. when using a well-controlled anisotropic etching process, it is possible to cut through tall stacks of active layers to reach the semiconductor substrate 201. becomes. Each active layer is etched by an etching step that selectively cuts through the planes, as described below, thereby forming a number of parallel active strips each extending along the Y direction.

図2B-1は、N副層221及び223とP副層222とを有する半導体構造体220aを示す。本発明の一実施形態によれば、半導体構造体220aを使用して、図2Aのアクティブ層202-0~202-7のいずれかを実現することができる。図2B-1に示すように、アクティブ層220aは、堆積されたポリシリコン副層221~223を含む。一実施形態では、副層221~223は、同一のプロセスチャンバ内で連続して堆積され得る(その間で除去することなく)。副層223は、その場でドープされたNポリシリコンを10~100nmの厚さで堆積させることによって形成され得る。次いで、副層222及び221は、非ドープまたは低濃度にドープされたポリシリコンを、10~100Nmの範囲の厚さで堆積させることによって形成され得る。その後、副層221(すなわち、堆積されたポリシリコンの頂部)は、Nドープされる。副層221及び223におけるNドーパント濃度は、N副層221及び223のシート抵抗率をできる限り低くするために、できるだけ高い濃度、例えば1×1020/cm~1×1021/cmの間であるべきである。このNドーピングは、(i)リン、ヒ素またはアンチモンの低エネルギーの浅い高ドーズイオン注入するか、または、(ii)リンまたはヒ素のその場でのドーピングによって、堆積したポリシリコンの上に10~100Nmの厚さのN副層221を形成することによって達成され得る。また、ホウ素(P)またはリン(N+)イオンの低ドーズ注入も、結果として得られたTFTにおいて固有のエンハンスメントモード閾値電圧を達成するように、注入されたまたはその場でドープされたN副層221をN副層221とN副層223との間に位置する副層222に浸透させるのに十分なエネルギーで行われ得る。副層222のホウ素またはPドーパント濃度は、1×1016/cm~1×1018/cmの範囲内であり得る。副層222中の実際のホウ素濃度は、アクティブストリップ202-0~202-7に沿って形成されたNTFTの様々な動作条件下での、ネイティブトランジスタのターンオン閾値電圧、チャネル移動度、Nパンチスルー電圧、N接合リーク及び逆ダイオード伝導特性、並びに、チャネル空乏層の深さを決定する。 FIG. 2B-1 shows a semiconductor structure 220a having N + sublayers 221 and 223 and a P sublayer 222. FIG. According to one embodiment of the present invention, semiconductor structure 220a may be used to implement any of active layers 202-0 through 202-7 of FIG. 2A. As shown in FIG. 2B-1, active layer 220a includes deposited polysilicon sublayers 221-223. In one embodiment, sublayers 221-223 may be deposited sequentially (without removal in between) in the same process chamber. Sublayer 223 may be formed by depositing in situ doped N + polysilicon to a thickness of 10-100 nm. Sublayers 222 and 221 may then be formed by depositing undoped or lightly doped polysilicon to a thickness in the range of 10-100 Nm. Sublayer 221 (ie, the top of the deposited polysilicon) is then N + doped. The N + dopant concentration in sublayers 221 and 223 is as high as possible, eg, between 1×10 20 /cm 3 and 1×10 21 /cm 3 , in order to keep the sheet resistivity of N + sublayers 221 and 223 as low as possible. should be between 3 . This N + doping is performed by (i) low energy shallow high dose ion implantation of phosphorus, arsenic or antimony, or (ii) in situ doping of phosphorus or arsenic over the deposited polysilicon by 10 μm. This can be achieved by forming the N + sublayer 221 with a thickness of ˜100 Nm. Low dose implants of boron (P ) or phosphorus (N + ) ions are also implanted or in situ doped N to achieve unique enhancement mode threshold voltages in the resulting TFTs. + sublayer 221 with sufficient energy to penetrate sublayer 222 located between N + sublayer 221 and N + sublayer 223 . The boron or P - dopant concentration of sublayer 222 may be in the range of 1×10 16 /cm 3 to 1×10 18 /cm 3 . The actual boron concentration in sublayer 222 is determined by the turn - on threshold voltage of the native transistor, channel Determine mobility, N + P - N + punchthrough voltage, N + P - junction leakage and reverse diode conduction characteristics, and channel depletion depth.

及びP注入種の熱活性化及び副層221、222及び223の再結晶化は、好ましくは、全てのアクティブ層202-0~202-7が形成された後に、従来の急速熱アニール技術(例えば、700℃以上)または従来の急速レーザアニール技術を用いて同時に行われるべきであり、これにより、全てのアクティブ層がほぼ同量の高温処理を受けることを確実にする。N副層223及び副層221からのドーパントの過度の拡散を回避し、チャネル領域として機能するTFTのP副層222の形成を排除するように、総サーマルバジェットを制限するように注意を払わなければならない。P副層222は、NN+トランジスタのパンチスルーまたはN副層221とN副層223との間の過度のリークを回避するために、十分な厚さ、または十分にPドープされた状態を維持することが必要とされる。 Thermal activation of the N + and P implanted species and recrystallization of sublayers 221, 222 and 223 are preferably performed by a conventional rapid thermal anneal after all active layers 202-0 through 202-7 are formed. (eg, 700° C. or higher) or conventional rapid laser annealing techniques to ensure that all active layers receive approximately the same amount of high temperature processing. Care should be taken to limit the total thermal budget to avoid excessive diffusion of dopants from the N + sublayers 223 and 221 and to eliminate the formation of the TFT's P- sublayer 222 , which functions as the channel region. have to pay. P sublayer 222 is sufficiently thick or sufficiently P to avoid punch-through of the N + P N+ transistor or excessive leakage between N + sublayer 221 and N + sublayer 223 . - Required to remain doped.

あるいは、アクティブ層202-0~202-7の各々のNドーパント及びPドーパントは、例えば、紫外線波長(例えば、308ナノメートル)でのエキシマレーザアニール(ELA)を用いたシャロー急速熱アニールによって、個別に活性化することができる。アニーリングエネルギーは、ポリシリコンまたはアモルファスシリコンに吸収されて副層221及び副層222の一部または全部を部分的に溶融させ、任意選択で副層223に浸透して、アニールされたアクティブ層220aの副層223の下側に位置する他のアクティブ層を過度に加熱することなく副層223に浸透してボリューム205(図2B-図4参照)に影響を及ぼす。 Alternatively, the N + and P dopants in each of active layers 202-0 through 202-7 are removed by shallow rapid thermal annealing, for example, using excimer laser annealing (ELA) at ultraviolet wavelengths (eg, 308 nanometers). , can be activated individually. The annealing energy is absorbed in the polysilicon or amorphous silicon to partially melt some or all of sublayers 221 and 222, and optionally penetrate sublayer 223, leaving annealed active layer 220a. It penetrates sublayer 223 and affects volume 205 (see FIGS. 2B-4) without excessively heating other active layers underlying sublayer 223 .

連続的な層ごとのエキシマレーザを用いたシャロー急速熱アニール工程は単一の深い急速熱アニール工程よりも高コストであるが、ELAは、ポリシリコン(またはアモルファスシリコン)の局所的な部分溶融がアニールされたボリューム205を再結晶化させて、移動度及び均一性が大幅に改善され、かつ影響を受けたボリュームの粒子境界におけるNドーパントの分離の減少に起因するTFTリークが減少した、より大きいシリコン多結晶粒子を形成することができるという利点を有する。ELAステップは、副層221及び副層222の両方、並びに任意選択で副層223を再結晶化するために、P副層222またはN副層223のいずれかに対して、その上にN副層221を形成する前、または十分に薄いN副層221を形成した後に適用することができる。このようなシャローエキシマレーザ低温アニール技術は、当業者にはよく知られている。例えば、このような技術は、太陽電池及びフラットパネルディスプレイ用途においてポリシリコン膜またはアモルファスシリコン膜を形成するために使用される。例えば、「H. Kuriyama et al. "Comprehensive Study of Lateral Grain Growth in Poly-Si Films by Excimer Laser Annealing (ELA) and its applications to Thin Film Transistors", Japanese Journal of Applied Physics, Vol.33, Part 1, Number 10, 20th August 1994」または「Annealing of Silicon Backplanes with 540W Excimer Lasers", technical publication by Coherent Inc. on their website」を参照されたい。 Although the successive layer-by-layer excimer laser shallow rapid thermal anneal processes are more costly than a single deep rapid thermal anneal process, ELA is less prone to localized partial melting of polysilicon (or amorphous silicon). The annealed volume 205 was recrystallized resulting in significantly improved mobility and uniformity and reduced TFT leakage due to reduced segregation of the N + dopants at the grain boundaries of the affected volume. It has the advantage of being able to form large silicon polycrystalline grains. The ELA step is performed on either the P− sublayer 222 or the N + sublayer 223 to recrystallize both sublayers 221 and 222, and optionally sublayer 223. It can be applied before forming the N + sublayer 221 or after forming a sufficiently thin N + sublayer 221 . Such shallow excimer laser low temperature annealing techniques are well known to those skilled in the art. For example, such techniques are used to form polysilicon or amorphous silicon films in solar cell and flat panel display applications. For example, "H. Kuriyama et al. "Comprehensive Study of Lateral Grain Growth in Poly-Si Films by Excimer Laser Annealing (ELA) and its applications to Thin Film Transistors", Japanese Journal of Applied Physics, Vol.33, Part 1, Number 10, 20th August 1994" or "Annealing of Silicon Backplanes with 540W Excimer Lasers", technical publication by Coherent Inc. on their website.

副層222の厚さは、形成されるTFTのチャネル長にほぼ対応し、これは、長いアクティブストリップ上で10Nm以下の小ささであり得る。一実施形態では(図2B-5参照)、N副層223の形成後に極薄(1または数原子層から3Nmの厚さ)のフィルムまたは、別の適切な拡散防止膜(図2B-5の副層223-d参照)を窒化シリコン(例えば、SiNまたはSi3N4)上に堆積させることによって、数回の熱処理サイクルの後でさえも、TFTのチャネル長を10Nm未満に制御することが可能である。任意選択で、窒化シリコンの第2の超薄膜、または他の適切な拡散防止膜(図2B-5の221-d参照)を、P副層222の堆積後、かつN副層221の堆積前に堆積させてもよい。極薄ドーパント拡散防止層221-d及び223-dは、化学気相成長法、原子層堆積法または任意の他の適切な手段(例えば、低温での高圧窒化)によって堆積させることができる。各極薄ドーパント拡散防止層は、N副層221及び223中のNドーパントがP副層222中に拡散するのを防止するバリアとしての役割を果たすが、N副層221(ソースとして機能する)及びN副層223(ドレインとして機能する)間のチャネル領域におけるMOSトランジスタ作用をごくわずかにしか妨げないように十分薄い(副層222の表面反転層内の電子は、電子をトラップするには薄すぎる極薄の窒化シリコン層を直接通って容易にトンネルする)。これらの追加の超薄膜シリコン窒化物層は、製造コストを増加させるが、「オフ」状態にあるアクティブストリップに沿った複数のTFTからの累積リーク電流を大幅に低減させるのに役立つ。なお、リーク電流が許容できる場合には、これらの極薄層は省略してもよい。 The thickness of the P sublayer 222 corresponds approximately to the channel length of the TFT to be formed, which can be as small as 10 Nm or less on long active strips. In one embodiment (see FIG. 2B-5), an ultra-thin (one or a few atomic layers to 3 Nm thick) film after formation of the N 2 + sublayer 223 or another suitable diffusion barrier (see FIG. 2B-5 223-d) on silicon nitride (eg SiN or Si3N4), it is possible to control the channel length of the TFT to less than 10 Nm even after several heat treatment cycles. be. Optionally, a second ultra - thin film of silicon nitride, or other suitable diffusion barrier (see 221 - d in FIG. It may be deposited before deposition. Ultra-thin dopant diffusion barrier layers 221-d and 223-d can be deposited by chemical vapor deposition, atomic layer deposition, or any other suitable means (eg, high pressure nitridation at low temperature). Each ultra-thin dopant diffusion barrier acts as a barrier to prevent N + dopants in N + sublayers 221 and 223 from diffusing into P thin enough to interfere only slightly with the MOS transistor action in the channel region between the N+ sublayer 223 (functioning as the drain) and the N + sublayer 223 (functioning as the drain) (electrons in the surface inversion layer of sublayer 222 do not transfer electrons to easily tunnels directly through ultra-thin silicon nitride layers that are too thin to trap). These additional ultra-thin silicon nitride layers increase manufacturing costs, but help significantly reduce the cumulative leakage current from multiple TFTs along the active strip in the "off" state. These ultra-thin layers may be omitted if leakage current is acceptable.

細長いN副層223及びN副層221を有するNORストリングは、基板に対する狭くて深いコンタクトの抵抗を含む、過度に大きい線路抵抗(R)を有し得る。線路抵抗を小さくすると、長い導電性ストリップを横断する信号の「RC遅延」を低減させることができるので、線路抵抗を小さくすることが望ましい(RC遅延は、時間遅延の尺度であり、線路抵抗Rと線路キャパシタンスCとの積によって求められる)。小さい線路抵抗は、細長いアクティブストリップの両端間の「IR電圧降下」を減少させることができる(IR電圧降下は、電流Iと線路抵抗Rとの積によって求められる)。線路抵抗を大幅に低減させるために、任意選択の導電性副層224を、N副層221または223(例えば、図2B-2及び図2B-3の符号Wが付された副層224)の一方または両方に隣接する各アクティブストリップに追加することができる。副層224は、1以上の堆積された金属層によって設けることができる。例えば、副層224は、1~2Nmの厚さのTiN層を堆積させた後に、タングステン、同様の耐熱性金属、またはポリサイドまたはシリサイドの10~40Nmの厚さを有する層を堆積させることによって形成され得る。副層224は、1~20Nmの範囲の厚さを有することがより好ましい。非常に薄い副層224(例えば、2~5Nm)でさえも、低濃度ドープされたN副層21及び223の使用を可能にしながら、長いアクティブストリップの線路抵抗を大幅に低減させることができる。 A NOR string with elongated N + sublayers 223 and N + sublayers 221 may have excessively high line resistance (R), including the resistance of narrow and deep contacts to the substrate. Low line resistance is desirable because low line resistance can reduce the "RC delay" of signals traversing long conductive strips (RC delay is a measure of time delay, and line resistance R and the line capacitance C). A low line resistance can reduce the "IR voltage drop" across the elongated active strip (the IR voltage drop is given by the product of the current I and the line resistance R). To significantly reduce line resistance, optional conductive sublayer 224 may be combined with N 2 + sublayer 221 or 223 (eg, sublayer 224 labeled W in FIGS. 2B-2 and 2B-3). can be added to each active strip adjacent to one or both of the Sublayer 224 may be provided by one or more deposited metal layers. For example, sublayer 224 is formed by depositing a 1-2 Nm thick TiN layer followed by a 10-40 Nm thick layer of tungsten, a similar refractory metal, or polycide or silicide. can be More preferably, the sublayer 224 has a thickness in the range of 1-20 Nm. Even very thin sublayers 224 (eg, 2-5 Nm) can significantly reduce the line resistance of long active strips while allowing the use of lightly doped N + sublayers 21 and 223. .

図2Cに示すように、コンタクト開口部205-1の内側の導体は、背の高いスタックのために非常に長くなり、これにより、不利なことに線路抵抗が増大する。この場合、図2Cに示すように、金属副層224(例えば、タングステン層)は、N副層221の上に配置するよりも、コンタクト開口部205-1を実質的に充填するように副層223の下に含められることが好ましい。しかしながら、アクティブ層202-0~202-7の各々に金属副層224を含めることは、製造プロセスのコスト及び複雑さを増加させる。そのような複雑さとしては、例えば、いくつかの金属材料は、他の副層でのポリシリコン、酸化シリコンまたは窒化シリコンなどの材料と比べて、異方的にエッチングするのが比較的難しいという複雑さを含む。しかしながら、金属副層224は、優れたアレイ効率をもたらす、非常に長いアクティブストリップの使用を可能とする。 As shown in FIG. 2C, the conductor inside contact opening 205-1 becomes very long due to the tall stack, which disadvantageously increases line resistance. In this case, metal sublayer 224 (e.g., a tungsten layer) is sub-layered to substantially fill contact opening 205-1, rather than overlying N + sublayer 221, as shown in FIG. 2C. It is preferably included under layer 223 . However, including metal sublayer 224 in each of active layers 202-0 through 202-7 increases the cost and complexity of the manufacturing process. Such complications include, for example, that some metal materials are relatively difficult to etch anisotropically compared to materials such as polysilicon, silicon oxide or silicon nitride in other sublayers. Including complexity. However, metal sublayer 224 allows the use of much longer active strips, which results in superior array efficiency.

金属副層224が含まれない実施形態では、例えば、結果として生じる増加した読み出しレイテンシ(読み出しレイテンシ)が許容可能である場合、より長いアクティブストリップが可能である、などのいくつかのトレードオフが存在し得る。一般に、アクティブストリップが短ければ短いほど、線路抵抗は低くなり、そのため、読み出しレイテンシは短くなる(トレードオフは、アレイ効率において存在する)。金属副層224が存在しない場合、エッチングされるより背の高いスタックを犠牲にして、固有の線路抵抗を低減するためにN副層221及び223の厚さを増加させることができる(例えば、100ナノメートルまで)。線路抵抗は、N副層221及び223中のNドーピング濃度を増加させること、及び1、000℃を超えるより高いアニール温度を適用することによって(例えば、急速熱アニール、ディープレーザアニールまたはシャローエキシマレーザアニールによって)さらに低減させることができ、それにより、再結晶化及びドーパント活性化の強化、並びに、粒子境界でのドーパント偏析を減少させることができる。 In embodiments that do not include metal sublayer 224, there are several tradeoffs, such as longer active strips being possible while the resulting increased read latency (read latency) is acceptable. can. In general, the shorter the active strip, the lower the line resistance and hence the shorter the read latency (a trade-off exists in array efficiency). If metal sublayer 224 is not present, the thickness of N + sublayers 221 and 223 can be increased to reduce inherent line resistance at the expense of a taller stack to be etched (e.g., down to 100 nanometers). The line resistance is reduced by increasing the N + doping concentration in the N + sublayers 221 and 223 and applying higher annealing temperatures above 1,000° C. (e.g., rapid thermal annealing, deep laser annealing or shallow excimer laser annealing), which can enhance recrystallization and dopant activation, and reduce dopant segregation at grain boundaries.

より短いアクティブストリップは、N副層223とN副層221との間のリークに対する優れた耐性を有する。より厚いN副層は、動的センシング(後述する)にとって望ましい、ストリップ線路抵抗の減少及びストリップキャパシタンスの増加を提供する。集積回路設計者は、読み出しレイテンシが短いことが最も重要である場合は、より短いアクティブストリップ(金属副層224の有無にかかわらず)を選択することができる。あるいは、ストリップ線路抵抗は、各アクティブストリップの一端だけではなく、各アクティブストリップの両端を接続することによって減少させることができる。 A shorter active strip has better resistance to leakage between N + sublayer 223 and N + sublayer 221 . A thicker N + sublayer provides reduced stripline resistance and increased strip capacitance, which is desirable for dynamic sensing (discussed below). An integrated circuit designer may choose a shorter active strip (with or without metal sublayer 224) if short read latency is of paramount importance. Alternatively, stripline resistance can be reduced by connecting both ends of each active strip, rather than just one end of each active strip.

ブロック形成パターニングステップ及びエッチングステップは、形成された各アクティブ層内に別個のブロックを画定する。各ブロックは、後述するように、互いに平行に延びる多数(例えば、数千)のアクティブストリップが形成される領域を占める。各アクティブストリップは、Y方向に沿って延び、最終的に1以上のNORストリングを形成する。各NORストリングは、多数(例えば、数千)のTFTを提供する。 The block-forming patterning and etching steps define separate blocks within each formed active layer. Each block occupies an area in which a large number (eg, thousands) of active strips extending parallel to each other are formed, as will be described below. Each active strip extends along the Y direction and ultimately forms one or more NOR strings. Each NOR string provides a large number (eg, thousands) of TFTs.

アクティブ層202-0~202-7の各々は、上述したステップを繰り返すことによって順次形成される。加えて、上述したブロック形成パターニングステップ及びエッチングステップでは、上下方向に互いに隣接する各アクティブ層において、上側のアクティブ層は、下側のアクティブ層を水平方向にわずかに超えて延在する(例えば、図2Cを参照して後述するように、アクティブ層202-1は、その下側のアクティブ層202-0を水平方向にわずかに超えて延在する)。これにより、上側のアクティブ層が、指定された埋込コンタクトを介して、半導体基板201内のその特定のデコーダ及び他の回路にアクセスすることを可能にする。 Each of the active layers 202-0 through 202-7 are formed sequentially by repeating the above steps. In addition, in the block-forming patterning and etching steps described above, in each vertically adjacent active layer, the upper active layer extends slightly beyond the lower active layer in the horizontal direction (e.g., Active layer 202-1 extends horizontally slightly beyond its underlying active layer 202-0, as described below with reference to FIG. 2C). This allows the upper active layer to access its specific decoders and other circuitry within the semiconductor substrate 201 through designated buried contacts.

図2Cに示すように、埋込コンタクト205-0及び205-1は、半導体基板201内のコンタクト206-0及び206-1を、例えば、アクティブ層202-0及び202-2のそれぞれのN副層223から形成されたローカルビット線またはソース線に接続する。アクティブ層202-2~202-7(図示せず)のための埋込コンタクトも同様に設けられ、アクティブ層202-2~202-7を半導体基板201内のコンタクト206-2~206-7に、基板に最も近いアクティブ層が最も短い埋込コンタクトを有し、かつ、基板から最も遠いアクティブ層が最も長い埋込コンタクトを有する逆階段様の構造で接続することができる。あるいは、埋込コンタクトの代わりに、アクティブ層の頂部から延びる導体充填ビアを、絶縁層203-0及び203-1を通じてエッチングすることができる。これらのビアは、基板回路206-0から、例えば、一番上のN副層221-0(または、設けられている場合は、金属副層224)への電気的接触を確立する。ビアは、基板に最も近いアクティブ層が最も長いビアによって接続され、頂部に最も近いアクティブ層が最も短いビアによって接続される「階段」パターンでレイアウトすることができる。ビア(図示せず)は、当業者にはよく知られているように、1つのマスキング及びエッチングステップで、2以上のプレーンを互いに接触させることができるという利点を有する。 As shown in FIG. 2C, buried contacts 205-0 and 205-1 connect contacts 206-0 and 206-1 in semiconductor substrate 201 to, for example, N + contacts of active layers 202-0 and 202-2, respectively. It connects to a local bit line or source line formed from sublayer 223 . Buried contacts for active layers 202-2 through 202-7 (not shown) are provided as well, connecting active layers 202-2 through 202-7 to contacts 206-2 through 206-7 in semiconductor substrate 201. , the active layers closest to the substrate have the shortest buried contacts and the active layers farthest from the substrate have the longest buried contacts. Alternatively, instead of buried contacts, conductor-filled vias extending from the top of the active layers can be etched through insulating layers 203-0 and 203-1. These vias establish electrical contact from substrate circuitry 206-0 to, for example, top N + sublayer 221-0 (or metal sublayer 224, if provided). The vias can be laid out in a "staircase" pattern, with the active layers closest to the substrate being connected by the longest vias and the active layers closest to the top being connected by the shortest vias. Vias (not shown) have the advantage of allowing two or more planes to contact each other in a single masking and etching step, as is well known to those skilled in the art.

スイッチ回路を介して、コンタクト206-0~206-7の各々は、対応するNORストリングの各ビット線またはソース線にプリチャージ電圧Vblを印加してもよいし、あるいは、読み出し動作中に、センスアンプまたはラッチの入力端子に接続されてもよい。スイッチ回路は、コンタクト206-0~206-7のそれぞれを、プログラム電圧(Vprogram)、禁止電圧(Vinhibit)、消去電圧(Verase)、あるいは任意の他の適切な既定のまたはプリチャージ基準電圧VblまたはVssなどの多数の特定の電圧源のいずれかに選択的に接続してもよい。後述するいくつかの実施形態では、アクティブストリップのビット線またはソース線に沿った比較的大きな寄生分布キャパシタンスを利用することにより、後述するように、ソース線をプリチャージすることによって、各アクティブストリップのソース線(すなわち、N副層221)に仮想電圧基準(例えば、接地電圧Vssを提供する仮想接地)を作成することができる。仮想接地は、基板内の電圧源へのN副層221のハード配線を不要にし、基板の頂部から各アクティブストリップに接続するために上述した階段ビア構造を使用することを可能にする。さもなければ、各アクティブストリップのN副層221及びN副層223を基板の頂部から別々に接続することは不可能であろう。ビア材料が2つの副層を短絡させるためである。 Through switch circuitry, each of contacts 206-0 through 206-7 may apply a precharge voltage Vbl to each bit line or source line of the corresponding NOR string, or during a read operation, It may be connected to the input terminal of a sense amplifier or latch. The switch circuit connects each of contacts 206-0 through 206-7 to a program voltage (V program ), an inhibit voltage (V inhibit ), an erase voltage (V erase ), or any other suitable predetermined or precharge reference. It may be selectively connected to any of a number of specific voltage sources, such as voltages Vbl or Vss . In some embodiments described below, by taking advantage of the relatively large parasitic distributed capacitance along the bit line or source line of the active strip, each active strip is precharged by precharging the source line, as described below. A virtual voltage reference (eg, a virtual ground providing a ground voltage V ss ) can be created on the source line (ie, N + sublayer 221). The virtual ground eliminates the need for hardwiring the N + sublayer 221 to voltage sources within the substrate and allows the use of the stair via structure described above to connect to each active strip from the top of the substrate. Otherwise, it would not be possible to separately connect the N + sublayers 221 and 223 of each active strip from the top of the substrate. This is because the via material shorts the two sublayers.

また、図2Cには、X方向に沿って形成されることになるグローバルワード線208g-sを、半導体基板201のコンタクト262-0~262-nに接続するための埋込コンタクト261-0~261-nが示されている。グローバルワード線208g-sは、まだ形成されていない対応するローカルワード線208W-s(例えば、図2I参照)を、半導体基板201の回路262-nに接続するために設けられる。ランディングパッド264は、水平方向に延びるグローバルワード線208g-sの上に垂直方向にこれから形成されるローカルワード線208W-sへの接続を可能にするために、グローバルワード線上に設けられる。スイッチ回路及びグローバルワード線デコーダを介して、グローバルワード線262-0~262-nの各々は、個別に、または、いくつかのグローバルワード線間で共有されて、例えばステップ型プログラム電圧(Vprogram)、プログラム禁止電圧(Vinhibit)、読み出し電圧(Vread)及び消去電圧(Verase)などの多数の基準電圧源のうちのいずれか1つに対して選択的に接続されている。 FIG. 2C also shows buried contacts 261-0 to 262-n for connecting global word lines 208g-s to be formed along the X direction to contacts 262-0 to 262-n of the semiconductor substrate 201. FIG. 261-n are shown. Global word lines 208 g - s are provided to connect corresponding unformed local word lines 208 W - s (see, eg, FIG. 2I) to circuits 262 - n of semiconductor substrate 201 . Landing pads 264 are provided on the global word lines 208g-s to allow connection to the local word lines 208W-s which are to be formed vertically above the horizontally extending global word lines 208g-s. Via switch circuits and global word line decoders, each of the global word lines 262-0 to 262-n can be individually or shared among several global word lines to provide a stepped program voltage (V program ), a program inhibit voltage (V inhibit ), a read voltage (V read ) and an erase voltage (V erase ).

これらの埋込コンタクト、グローバルワード線及びランディングパッドは、従来のフォトリソグラフィパターニング及びエッチングステップと、その後の1以上の適切な導体の堆積、または合金化(例えば、タングステン金属、合金またはタングステンシリサイド)によって形成することができる。 These buried contacts, global wordlines and landing pads are formed by conventional photolithographic patterning and etching steps followed by deposition or alloying (e.g. tungsten metal, alloy or tungsten silicide) of one or more suitable conductors. can be formed.

一番上のアクティブ層(例えば、アクティブ層202-7)の形成後、ストリップ形成マスクを使用して、アクティブ層を貫通して一番下のグローバルワード線(または半導体基板201)に達するまでエッチングすることによってトレンチを形成する。ストリップ形成マスクは、Y方向に沿って延びる細長いストリップのフォトレジスト層のパターンからなる。順次的な異方性エッチングは、アクティブ層202-7から202-0まで、及び、誘電体絶縁層203-7から203-0まで貫通エッチングする。エッチングされるアクティブ層の数が多いので、図2Cの例では8である(より一般的には、16、32、64、またはそれ以上でありあり得る)、フォトレジストマスクは、最も低いアクティブ層を越えてエッチングするのに必要な多数のエッチングを通じてストリップ形成パターンを保持するほど十分にロバストではない恐れがある。したがって、当業者には知られているように、硬いマスク材料(例えば、炭素または金属)を使用したマスクの補強が必要とされ得る。エッチングは、グローバルワード線のランディングパッドの上側の誘電体絶縁層で終わる。トレンチエッチングシーケンス中にランディングパッドを保護するためにエッチング停止バリア膜(例えば、酸化アルミニウム膜)を設けることが有利であり得る。 After formation of the top active layer (eg, active layer 202-7), a strip forming mask is used to etch through the active layer down to the bottom global wordline (or semiconductor substrate 201). to form trenches. The strip-forming mask consists of a pattern of the photoresist layer in elongated strips extending along the Y direction. A sequential anisotropic etch etches through the active layers 202-7 to 202-0 and the dielectric insulating layers 203-7 to 203-0. Since the number of active layers to be etched is high, eight in the example of FIG. It may not be robust enough to retain the stripping pattern through the large number of etches required to etch through. Therefore, reinforcement of the mask with hard mask material (eg, carbon or metal) may be required, as known to those skilled in the art. The etch ends in the dielectric insulating layer above the global wordline landing pads. It may be advantageous to provide an etch stop barrier film (eg, aluminum oxide film) to protect the landing pads during the trench etch sequence.

図2Dは、図2Aの半導体構造体200の一部のアクティブ層202-7を通るX-Y平面における断面図であり、図2Aの半導体構造体200にトレンチ230を形成した状態を示す。互いに隣接するトレンチ230の間には、互いに異なるアクティブ層内の高アスペクト比の細長いアクティブストリップのスタックが存在する。最良のエッチング結果を得るためには、とりわけ金属副層224が存在する実施形態では、異なる副層の材料をエッチングするときに化学エッチングを変更する必要がある。全ての副層のアンダーカットは避けるべきであるので、マルチステップエッチングの異方性は重要である。また、一番下のアクティブ層のアクティブストリップ(例えば、アクティブ層202-0のアクティブストリップ)が、それに隣接するアクティブストリップに対して、一番上のアクティブ層のアクティブストリップ(例えば、アクティブ層202-7のアクティブストリップ)とそれに隣接するアクティブストリップとの幅及びギャップ間隔と略同一の幅及びギャップ間隔を(それにギャップ間隔)を有するようにするためにも、マルチステップエッチングの異方性は重要である。当然ながら、エッチングされるスタック内のアクティブ層の数が多くなれば多くなるほど、連続するエッチングの設計はより困難になる。多数のアクティブ層(例えば32層)を貫通するエッチングに関連する困難さのために、上記のKimのpp188~189で説明されているように、エッチングは、いくつかの層(例えば、8層)のグループで実施され得る。 FIG. 2D is a cross-sectional view in the XY plane through active layer 202-7 of a portion of semiconductor structure 200 of FIG. 2A, showing trench 230 formed in semiconductor structure 200 of FIG. 2A. Between adjacent trenches 230 are stacks of high aspect ratio elongated active strips in different active layers. For best etching results, especially in embodiments where metal sublayer 224 is present, the etch chemistry should be changed when etching different sublayer materials. The anisotropy of the multi-step etch is important because undercutting of all sublayers should be avoided. Also, the active strips of the bottom active layer (eg, active strips of active layer 202-0) have relative active strips of the top active layer (eg, active layer 202-0) to their adjacent active strips. The anisotropy of the multi-step etch is also important to have widths and gaps (and gaps) approximately the same as the widths and gaps of the active strips adjacent to it. be. Of course, the greater the number of active layers in the stack to be etched, the more difficult the sequential etch design. Due to the difficulties associated with etching through a large number of active layers (eg, 32 layers), the etch is limited to several layers (eg, 8 layers), as described in Kim, supra, pp 188-189. can be carried out in groups of

その後、1以上の電荷トラップ層が、トレンチ230内のアクティブストリップの側壁上に共形的に堆積または成長させられる。電荷トラップ層は、最初に、厚さ2~10Nm、好ましくは3Nm以下の、薄いトンネリング誘電体膜(例えば、二酸化シリコン層、酸化シリコン-窒化シリコン-酸化シリコン(「ONO」)三重層、バンドギャップ動作窒化物層または窒化シリコン層)を化学的に堆積または成長させ、次いで、厚さ4~10Nmの電荷トラップ材料層(例えば、窒化シリコン、シリコンリッチ窒化物または酸化物、ナノクリスタル、薄い誘電体膜に埋め込まれたナノドット、あるいは絶縁されたフローティングゲート)を堆積させることによって形成される。電荷トラップ材料層は、その後、ブロッキング誘電体で覆われる。ブロッキング誘電体膜は、厚さ5~15Nmの厚い層であってもよく、例えば、例ONO層、または高誘電率膜(例えば、酸化アルミニウム、酸化ハフニウム、またはそれらの組み合わせから)からなるものでもよい。形成される記憶素子は、SONOS、TANOS、ナノドットメモリ、絶縁されたフローティングゲート、または当業者に既知の任意の適切な電荷トラップサンドイッチ構造であり得る。 One or more charge trapping layers are then conformally deposited or grown on the sidewalls of the active strip within trench 230 . The charge trapping layer is first a thin tunneling dielectric film (e.g. silicon dioxide layer, silicon oxide-silicon nitride-silicon oxide (“ONO”) triple layer, bandgap A working nitride layer or silicon nitride layer) is chemically deposited or grown, followed by a 4-10 Nm thick layer of charge trapping material (e.g. silicon nitride, silicon rich nitride or oxide, nanocrystals, thin dielectrics). It is formed by depositing nanodots embedded in the film, or insulated floating gates). The charge trapping material layer is then covered with a blocking dielectric. The blocking dielectric film may be a thick layer with a thickness of 5-15 Nm, for example consisting of an ONO layer, or a high dielectric constant film (for example made of aluminum oxide, hafnium oxide or combinations thereof). good. The storage elements formed can be SONOS, TANOS, nanodot memories, isolated floating gates, or any suitable charge trapping sandwich structure known to those skilled in the art.

トレンチ230は、互いに隣接するアクティブストリップの互いに対向する2つの側壁上の記憶素子と、これらの互いに対向する側壁上のTFT間で共有される垂直ローカルワード線とを収容するのに十分な幅を有するように形成される。図2Eは、図2Aの半導体構造体200の一部におけるアクティブ層202-7を通るX-Y平面における断面図であり、アクティブストリップの互いに対向する側壁上にトレンチ230に沿って電荷トラップ層231L及び231Rを堆積させた状態を示す。 Trench 230 is wide enough to accommodate storage elements on two opposing sidewalls of adjacent active strips and a vertical local word line shared between TFTs on those opposing sidewalls. formed to have FIG. 2E is a cross-sectional view in the XY plane through active layer 202-7 of a portion of semiconductor structure 200 of FIG. 2A with charge trapping layer 231L along trenches 230 on opposite sidewalls of the active strip. and 231R are deposited.

底部のグローバルワード線へのコンタクト開口部は、その後、アクティブ層202-7の頂部にフォトリソグラフィによってパターン化され、トレンチ230の底部の電荷トラップ材料を貫通する異方性エッチングによって露出され、底部のグローバルワード線ランディングパッド(例えば、図2Cのグローバルワード線ランディングパッド264)で終端する。図2Iを参照して後述する一実施形態では、トレンチ230の交互の行(例えば、その行に形成されたワード線が奇数アドレスに割り当てられた行)のみが、底部のグローバルワード線まで下方にエッチングされる。いくつかの実施形態では、エッチングの前に、トレンチ230の底部での電荷トラップ材料の異方性エッチング中にトレンチ230の側壁上のブロッキング誘電体の垂直面を保護するために、極薄犠牲膜(例えば、厚さ2~5Nmのポリシリコン膜)が堆積させられる。残りの犠牲膜は、短時間の等方性エッチングによって除去することができる。 Contact openings to the bottom global word lines are then photolithographically patterned on top of active layer 202-7 and exposed by an anisotropic etch through the charge trapping material at the bottom of trenches 230, and the bottom contact openings are exposed by anisotropic etching through the charge trapping material at the bottom of trenches 230. It terminates at a global wordline landing pad (eg, global wordline landing pad 264 of FIG. 2C). In one embodiment, described below with reference to FIG. 2I, only alternating rows of trenches 230 (eg, rows with word lines formed in them assigned to odd addresses) extend downward to the global word lines at the bottom. etched. In some embodiments, prior to etching, an ultra-thin sacrificial film is used to protect the vertical surfaces of the blocking dielectric on the sidewalls of trenches 230 during the anisotropic etching of the charge trapping material at the bottom of trenches 230 . (eg a polysilicon film with a thickness of 2-5 Nm) is deposited. The remaining sacrificial film can be removed by a short isotropic etch.

その後、ドープされたポリシリコン(例えば、PポリシリコンまたはNポリシリコン)を電荷トラップ層上に堆積させて、制御ゲートまたは垂直ローカルワード線を形成することができる。Pドープポリシリコンは、Nドープポリシリコンと比較して仕事関数が高いので好ましい。あるいは、SiOと比べて高い仕事関数を有する金属(例えば、タングステン、タンタル、クロム、コバルトまたはニッケル)を、垂直ローカルワード線を形成するのに使用することができる。次に、トレンチ230は、Pドープされたポリシリコンまたは金属で充填され得る。後述する図2Iの実施形態では、トレンチ230の交互の列(すなわち、奇数アドレスが割り当てられたローカルワード線208W-sをホストする行)にドープされたポリシリコンまたは金属は、底部のグローバルワード線g-sとオーム接触する。トレンチ230のもう一方のポリシリコン(すなわち、偶数アドレスが割り当てられたローカルワード線W-aをホストする行)は、底部のグローバルワード線から絶縁される(これらのローカルワード線は、その後、一番上のアクティブ層上に配線された頂部のグローバルワード線208g-sによって互いに接続される)。次いで、フォトレジスト及びハードマスクが除去される。その後、CMPステップを用いて、各ブロックの上面からドープされたポリシリコンを除去する。図2Fは、図2Eのトレンチ230を充填するために、ポリシリコン208(例えば、ポリシリコンまたは金属)を堆積させた状態を示す。 Doped polysilicon (eg, P polysilicon or N 2 + polysilicon) can then be deposited over the charge trapping layer to form control gates or vertical local word lines. P - doped polysilicon is preferred due to its higher work function compared to N + doped polysilicon. Alternatively, a metal with a high work function compared to SiO2 (eg tungsten, tantalum, chromium, cobalt or nickel) can be used to form the vertical local word lines. Trench 230 may then be filled with P - doped polysilicon or metal. In the embodiment of FIG. 2I, described below, the doped polysilicon or metal in alternating columns of trenches 230 (i.e., the rows hosting odd-addressed local wordlines 208W-s) are aligned with the bottom global wordlines. Ohmic contact with gs. The other polysilicon of trench 230 (i.e., the row hosting even-addressed local wordlines Wa) is isolated from the bottom global wordlines (these local wordlines are then connected together). connected together by the top global word lines 208g-s routed on the top active layer). The photoresist and hardmask are then removed. A CMP step is then used to remove the doped polysilicon from the top surface of each block. FIG. 2F shows the deposition of polysilicon 208 (eg, polysilicon or metal) to fill the trenches 230 of FIG. 2E.

図2Gは、図2Fの半導体構造体上のフォトリソグラフィパターニング及びエッチングステップ後に、堆積した導体208の露出部分を除去し、それにより形成されたシャフトを絶縁材料209で充填するかまたはエアギャップ絶縁として残すことによって、ローカル導体(ワード線)208W及びプリチャージワード線208-CHGを実現した状態を示す。この場合のドープされたポリシリコンの除去は、限定されたスペース内での高アスペクト比エッチングステップであるので、上記の技術を用いたハードマスクが必要とされ得る。形成されたシャフト209は、絶縁材料で充填してもよいし、エアギャップとして残してもよく、それにより、互いに隣接するローカルワード線間の寄生キャパシタンスを減少させることができる。掘削のためにドープされたポリシリコンを露出させるマスクパターンは、グローバルワード線g-aと一致するようにX方向に沿って延びる平行ストリップである。グローバルワード線208g-aは、ローカルワード線208W-a(図2I参照)及びローカルのプリチャージワード線208-CHGと接触するように形成する必要がある。 FIG. 2G illustrates that after the photolithographic patterning and etching steps on the semiconductor structure of FIG. 2F, the exposed portions of the deposited conductors 208 are removed and the resulting shaft is filled with an insulating material 209 or used as air gap insulation. By leaving, local conductor (wordline) 208W and precharge wordline 208-CHG are shown implemented. Since the removal of doped polysilicon in this case is a high aspect ratio etch step in a limited space, a hardmask using the techniques described above may be required. The formed shaft 209 may be filled with an insulating material or left as an air gap to reduce parasitic capacitance between adjacent local word lines. The mask pattern that exposes the doped polysilicon for drilling is parallel strips extending along the X direction to coincide with the global word lines ga. Global wordlines 208g-a should be formed in contact with local wordlines 208W-a (see FIG. 2I) and local precharge wordlines 208-CHG.

図2Gでは、電荷トラップ層231L及び231Rにおける絶縁材料209に隣接する部分231Xが、堆積させたポリシリコン208Wの対応する部分の除去後に残る。いくつかの実施形態では、電荷トラップ層231L及び231Rの部分231Xは、シャフト209を絶縁材料で充填するかまたはエアギャップとして残す前に、従来のエッチングプロセスで除去され得る。シャフト内の電荷トラップ材料のエッチングは、ドープされたポリシリコンの除去と同時に、またはその後に実施され得る。続くエッチングは、異方性エッチングにより取り残された微細ポリシリコンストリンガも除去する。そのようなポリシリコンストリンガは、望ましくないリーク経路を発生させ、隣接するローカルワード線間の抵抗性リーク経路として機能する。部分231Xにおけるこのような電荷トラップ材料の一部または全部を除去することによって、寄生性エッジTFTを除去することができ、また、同一のNORストリングに沿った互いに隣接するTFT間でのトラップされた電荷の潜在的な横方向拡散を阻害することができる。部分231Xの部分的な除去は、ブロッキング誘電体膜と、ローカルワード線によって保護されていない電荷トラップ材料の一部または全部とを除去する短時間等方性エッチング(例えば、湿式エッチングまたはプラズマエッチング)によって達成することができる。 In FIG. 2G, portions 231X of charge trapping layers 231L and 231R adjacent insulating material 209 remain after removal of corresponding portions of deposited polysilicon 208W. In some embodiments, portions 231X of charge trapping layers 231L and 231R may be removed with a conventional etching process prior to filling shaft 209 with insulating material or leaving it as an air gap. Etching of the charge trapping material in the shaft can be performed simultaneously with or after removal of the doped polysilicon. The subsequent etch also removes fine polysilicon stringers left behind by the anisotropic etch. Such polysilicon stringers create undesirable leakage paths and act as resistive leakage paths between adjacent local wordlines. By removing some or all of such charge trapping material in portion 231X, parasitic edge TFTs can be eliminated and trapped charge between adjacent TFTs along the same NOR string. Potential lateral diffusion of charge can be inhibited. Partial removal of portion 231X is a short isotropic etch (eg, wet etch or plasma etch) that removes the blocking dielectric film and some or all of the charge trapping material not protected by the local wordlines. can be achieved by

図2Hは、図2Gのローカルワード線208Wの或る列を通るZ-X平面における断面図であり、アクティブ層202-7及び202-6内のアクティブストリップを示す。図2Hに示すように、各アクティブ層は、N副層221、P副層222、N副層223を含む(低抵抗性金属層224は任意選択である)。一実施形態では、N副層221(例えば、ソース線)は接地基準電圧Vss(図3Aに接地基準電圧280として示す)に配線接続され、N副層223(例えば、ビット線)は、図2Cに示す方法にしたがって基板201のコンタクトに接続される。したがって、ローカルワード線208W、アクティブ層202-7または202-6におけるワード線208wに面する部分、及びワード線208wとアクティブ層202-7または202-6におけるワード線208wに面する部分との間の電荷トラップ層231Lにより、図2Hの記憶素子(例えば、記憶TFT281及び282)が形成される。TFT281及び282のワード線208Wの反対側には、TFT283及び284がそれぞれ面している。TFT283及び284には、電荷トラップ層231Rが含まれている。TFT283及び284を提供するアクティブストリップ202-6及び202-7の反対側には、TFT285及び286が設けられている。したがって、図2Hに示す構成は、各ローカルワード線がその両側に沿った2つのアクティブストリップによって共有され、各アクティブストリップがその2つの両側の側縁部に沿って2つのローカルワード線によって共有される、TFTの最も高い充填密度構成である。各ローカルワード線208Wは、適切な電圧が印加されたときに、電荷トラップ部231Lまたは231Rのいずれかに設けられた、アクティブ層202-0~202-7のそれぞれに形成されたTFTのうちの指定されたものに蓄積された電荷の読み出し、書き込み、または消去に使用することができる。 FIG. 2H is a cross-sectional view in the ZX plane through a column of local word lines 208W of FIG. 2G, showing active strips in active layers 202-7 and 202-6. As shown in Figure 2H, each active layer includes an N + sublayer 221, a P - sublayer 222, and an N + sublayer 223 (low resistance metal layer 224 is optional). In one embodiment, the N + sublayers 221 (eg, source lines) are hardwired to a ground reference voltage V ss (shown as ground reference voltage 280 in FIG. 3A), and the N + sublayers 223 (eg, bit lines) are , are connected to contacts on the substrate 201 according to the method shown in FIG. 2C. Thus, local word line 208W, the portion of active layer 202-7 or 202-6 facing word line 208w, and between word line 208w and the portion of active layer 202-7 or 202-6 facing word line 208w 2H form the storage elements (eg, storage TFTs 281 and 282) of FIG. 2H. TFTs 283 and 284 face the opposite sides of word line 208W from TFTs 281 and 282, respectively. TFTs 283 and 284 include a charge trapping layer 231R. On opposite sides of the active strips 202-6 and 202-7 providing TFTs 283 and 284 are TFTs 285 and 286. FIG. Thus, the configuration shown in FIG. 2H is such that each local word line is shared by two active strips along either side of it, and each active strip is shared by two local word lines along its two opposite side edges. This is the highest packing density configuration for TFTs. Each local word line 208W is connected to one of the TFTs formed in each of the active layers 202-0 to 202-7 provided in either the charge trap section 231L or 231R when an appropriate voltage is applied. It can be used to read, write, or erase the charge stored in the designated one.

副層223(すなわち、ビット線)は、目前のTFTの動作に必要な適切な電圧(例えば、プログラム電圧Vprog、禁止電圧Vinhibit、消去電圧Verasc、または読出基準電圧Vbl)に充電することができる。読み出し動作中、「オン」状態にあるTFT281~286のいずれかは、副層221と223との間で垂直方向またはZ方向に電流を伝導する。 The N + sublayers 223 (i.e., bit lines) are coupled to the appropriate voltages required for operation of the TFT at hand (eg, program voltage V prog , inhibit voltage V inhibit , erase voltage V erasc , or read reference voltage V bl ). can be charged. During a read operation, any of TFTs 281-286 that are in the "on" state conduct current between sublayers 221 and 223 in the vertical or Z direction.

図2Hに示すように。任意選択の金属副層224は、メモリデバイスの高速動作を容易にするために、N副層223の抵抗を減少させる。別の動作モードでは、アクティブ層202-0~202-7のいずれかのN副層221はフローティング状態のままにしてもよい。各アクティブ層において、1以上のローカルワード線(「プリチャージワード線」と呼ばれる:例えば、図2Gのプリチャージワード線208-CHG)をノンメモリTFTとして使用することができる。プリチャージワード線に適切な電圧が印加されたとき(すなわち、プリチャージTFTを導電させたとき)、各プリチャージワード線はそのチャネル副層222を瞬間的に反転させ、これにより、N副層221(ソース線)が、N副層223のプリチャージ電圧Vss(これは、基板の電圧源Vblから供給される)にプリチャージされる。プリチャージワード線の電圧が引き抜かれ(すなわち、プリチャージTFTがその非導電状態に戻り)、アクティブストリップの両側の他の全てのワード線も「オフ」になったとき、デバイス動作は、プリチャージ電圧Vss(一般的に約0V)の仮想電圧基準を提供するためにN副層221が帯電されたままの状態で行われる。これは、N副層221とその複数のローカルワード線との間に形成された分布寄生キャパシタが、プログラム、プログラム禁止、または読み出し動作をサポートするのに十分な長さでその電荷を保持できるほど大きいからである(下記参照)。NORストリング内のTFTは、各NORストリングに沿ったプリチャージTFTとしても機能し得るが、読み出し動作のためのプリチャージを高速化するために(読み出しプリチャージは、一般的に約5ボルト未満のより低いワード線電圧を必要とする)、メモリTFTのうちのいくつか(例えば、NORストリングに沿った32個または64個のメモリTFTごとに1つ)をアクティブにすることもできる。少なくとも高電圧プリチャージ動作のためには、プリチャージTFTとしての役割に完全に専念するTFTを設けることが好ましい。そのようなTFTは、プログラムディスターブ条件に対してメモリTFTよりも耐性が高いからである。 As shown in FIG. 2H. Optional metal sublayer 224 reduces the resistance of N + sublayer 223 to facilitate high speed operation of the memory device. In another mode of operation, the N + sublayer 221 of any of active layers 202-0 through 202-7 may be left floating. In each active layer, one or more local wordlines (referred to as “precharge wordlines”; eg, precharge wordline 208-CHG in FIG. 2G) can be used as non-memory TFTs. When an appropriate voltage is applied to the precharge word line (i.e., making the precharge TFT conductive), each precharge word line momentarily inverts its channel sublayer 222, thereby turning it into an N + sublayer. Layer 221 (source line) is precharged to the N + sublayer 223 precharge voltage V ss (which is supplied from the substrate voltage source V bl ). When the voltage on the precharge word line is pulled out (i.e. the precharge TFT returns to its non-conducting state) and all other word lines on both sides of the active strip are also turned "off", the device operation is precharge. This is done while the N + sublayer 221 remains charged to provide a virtual voltage reference of voltage V ss (generally about 0V). This is because the distributed parasitic capacitor formed between the N + sublayer 221 and its multiple local wordlines can hold its charge long enough to support a program, program inhibit, or read operation. (see below). The TFTs within the NOR strings can also serve as precharge TFTs along each NOR string, but in order to speed up the precharge for the read operation (read precharge is typically less than about 5 volts). (requires a lower word line voltage), some of the memory TFTs (eg, one for every 32 or 64 memory TFTs along the NOR string) may be active. At least for high voltage precharge operation, it is preferable to have a TFT that is fully dedicated to its role as a precharge TFT. This is because such TFTs are more tolerant of program disturb conditions than memory TFTs.

あるいは、後述する一実施形態(例えば、図2K及び図2K-1に示す実施形態EMB-3)では、各ローカルワード線208Wは、適切な電圧が印加されたときに、電荷トラップ部分231Lまたは231Rのいずれかに設けられたアクティブ層202-0~202-7の各々に形成されたTFTの読み出し、書き込みまたは消去に使用することができる。しかしながら、図2Kに示すように、アクティブ層202-0~202-7の両側のうちの片側のみが記憶TFTとして形成され、これにより、この特別な実施形態における底部及び頂部の両方のグローバルワード線が不要となる。 Alternatively, in one embodiment described below (eg, embodiment EMB-3 shown in FIGS. 2K and 2K-1), each local word line 208W has a charge trapping portion 231L or 231R when an appropriate voltage is applied. can be used for reading, writing, or erasing TFTs formed in each of the active layers 202-0 to 202-7 provided in any one of . However, as shown in FIG. 2K, only one of the two sides of the active layers 202-0 through 202-7 are formed as storage TFTs, thereby allowing both bottom and top global word lines in this particular embodiment. becomes unnecessary.

次いで、絶縁誘電体または酸化物を堆積させ、そして、その表面を平坦化する。半導体基板201及びローカルワード線208Wへのコンタクトは、その後、フォトリソグラフィによってパターン化及びエッチングされ得る。このステップを超えた他の望ましいバックエンド処理は、当業者にはよく知られている。 An insulating dielectric or oxide is then deposited and the surface is planarized. Contacts to the semiconductor substrate 201 and local word lines 208W may then be patterned and etched by photolithography. Other desirable backend processing beyond this step is well known to those skilled in the art.

本発明のいくつかの特定の実施形態Some specific embodiments of the invention

実施形態EMB-1では、図2I及び図4Aに示すように、各ローカルワード線208Wは、グローバルワード線208g-aのいずれか1つに接続されているか(アクティブ層202-0~202-7の上側に設けられた1以上の層に配線されている)、または、グローバルワード線208g-sのうちの1つに接続されている(アクティブ層202-0~202-7の下側、すなわちアクティブ層202-0と基板201との間に設けられた1以上の層に配線されている)。一番下の底部のグローバルワード線208g-sに結合されたローカルワード線208W-sには奇数アドレスが割り当てられ、一番上のグローバルワード線W-aに結合されたローカルワード線208W-aには偶数アドレスが割り当てられる。図4Aは、図2I及び図2I-1の実施形態EMB-1のX-Y平面における断面図であり、メモリアレイの頂部でローカルワード線208W-aをグローバルワード線208g-aに接続するコンタクト291を示す。同様に、ローカルワード線208W-sは、メモリアレイの底部で、頂部のグローバルワード線と略平行に延びるグローバルワード線208g-s(図示せず)に接続されている。 In embodiment EMB-1, as shown in FIGS. 2I and 4A, each local word line 208W is connected to one of the global word lines 208g-a (active layers 202-0 through 202-7). ), or connected to one of the global word lines 208g-s (underneath the active layers 202-0 through 202-7, i.e., (wired in one or more layers provided between active layer 202-0 and substrate 201). The local word lines 208W-s coupled to the bottom global word line 208g-s are assigned odd addresses and the local word lines 208W-a coupled to the top global word line Wa. are assigned even addresses. FIG. 4A is a cross-sectional view in the XY plane of embodiment EMB-1 of FIGS. 2I and 2I-1 with contacts connecting local word lines 208W-a to global word lines 208g-a at the top of the memory array. 291. Similarly, local word lines 208W-s are connected at the bottom of the memory array to global word lines 208g-s (not shown) that run substantially parallel to the top global word lines.

図2I-1は、図2Iの実施形態EMB-1の水平アクティブ層202-4~202-7の3次元図であり、グローバルワード線208g-sに接続されたローカルワード線208W-sまたはローカルプリチャージワード線208-CHGと、グローバルワード線208g-aに接続されたローカルワード線208W-aとを示す。また、各アクティブ層が、N層223(ドレイン領域として機能する)を有していることにより、選択回路を介して、任意の電源(例えば、Vss、Vbl、Vpgm、Vinhibit、及びVerase)、あるいは、メモリアレイに隣接するかまたはメモリアレイの真下に配置された復号化回路、センシング回路、または他の回路に接続されることを示す。基板回路は、基板201内の206-0及び206-1によって概略的に表されている。 FIG. 2I-1 is a three-dimensional view of horizontal active layers 202-4 through 202-7 of embodiment EMB-1 of FIG. Shown are precharge word lines 208-CHG and local word lines 208W-a connected to global word lines 208g-a. In addition, since each active layer has an N + layer 223 (functioning as a drain region), any power supply (for example, V ss , V bl , V pgm , V inhibit , and V erase ), or connected to decoding circuitry, sensing circuitry, or other circuitry located adjacent to or beneath the memory array. The substrate circuitry is represented schematically by 206-0 and 206-1 in substrate 201. FIG.

各アクティブストリップは図2I-1に示されており、そのN副層223は基板コンタクト206-0及び206-1(Vbl)に接続され、P副層222(チャネル領域)は回路262-0を介して基板バックバイアス電圧(Vbb)ソース290に接続されている。N副層221及び任意選択の低抵抗率金属副層224は、Vss電圧源に配線接続してもよいし(例えば、図3Aの接地基準接続280を参照)、または、ローカルプリチャージワード線208-CHGを介して仮想ソース電圧Vssに瞬間的にプリチャージした後にフローティング状態のままにしてもよい。メモリアレイの頂部のグローバルワード線208g-a及びメモリアレイの底部のグローバルワード線208g-sは、垂直ローカルワード線208W-a、208W-s及びプリチャージワード線208-CHGに接続されている。垂直ローカルワード線と水平アクティブストリップとの間に電荷トラップ層231L、231Rが形成され、これにより、各水平アクティブストリップと各垂直ワード線との交差部において、各水平アクティブストリップの両側に不揮発性メモリTFTが形成される。互いに異なるプレーン上のアクティブストリップ間の絶縁層、及び、同一のプレーン内の互いに隣接するアクティブストリップ間の絶縁層は図示していない。 Each active strip is shown in FIG. 2I-1 with its N + sublayer 223 connected to substrate contacts 206-0 and 206-1 (V bl ) and P− sublayer 222 (channel region) connected to circuit 262. -0 to the substrate back bias voltage (V bb ) source 290 . N + sublayer 221 and optional low-resistivity metal sublayer 224 may be hardwired to a Vss voltage source (see, for example, ground reference connection 280 in FIG. 3A), or a local precharge word. It may be left floating after being momentarily precharged to the virtual source voltage V ss via line 208-CHG. Global word lines 208g-a at the top of the memory array and global word lines 208g-s at the bottom of the memory array are connected to vertical local word lines 208W-a, 208W-s and precharge word lines 208-CHG. Charge trapping layers 231L, 231R are formed between the vertical local word lines and the horizontal active strips such that at the intersection of each horizontal active strip with each vertical word line, non-volatile memory is formed on both sides of each horizontal active strip. A TFT is formed. The insulating layers between active strips on different planes and the insulating layers between adjacent active strips in the same plane are not shown.

副層221は、接地電圧(図示せず)に配線接続されるか、外部端子に直接接続されずにフローティング状態のままにされるか、または読み出し動作中に所定の電圧(例えば接地電圧)にプリチャージされる。プリチャージは、ローカルプリチャージワード線208-CHGをアクティブにすることによって達成され得る。各アクティブ層のP副層222(TFTのチャネル領域を提供する)は任意選択で、ピラー290(後述する)を介して基板201内の供給電圧Vbbに選択的に接続される。金属副層224は、アクティブ層202-4~202-7の抵抗率を低減するために設けられた任意選択の低抵抗率導体である。簡潔にするために、図2Cの層間絶縁層203-0及び203-1は図示していない。 The N + sublayer 221 may be hardwired to a ground voltage (not shown), left floating without being directly connected to an external terminal, or may be left floating at a predetermined voltage (e.g., ground voltage) during a read operation. ). Precharging can be accomplished by activating the local precharge word line 208-CHG. The P sublayer 222 (providing the channel region of the TFT) of each active layer is optionally selectively connected to a supply voltage V bb in substrate 201 via pillars 290 (described below). Metal sublayer 224 is an optional low resistivity conductor provided to reduce the resistivity of active layers 202-4 through 202-7. For the sake of simplicity, interlayer dielectric layers 203-0 and 203-1 in FIG. 2C are not shown.

メモリアレイの頂部のグローバルワード線208g-aは、コンタクトまたはビアの形成後に、金属層を堆積し、パターニングし、エッチングすることによって形成される。このような金属層は、まず、薄い窒化タングステン(TiN)層を形成し、次いで、低抵抗金属層(例えば、金属タングステン)を形成することによって設けることができる。金属層は、その後、フォトリソグラフィによってパターン形成及びエッチングされ、これにより、一番上のグローバルワード線が形成される(あるいは、これらのグローバルワード線は、銅ダマシンプロセスによって形成してもよい)。一実施形態では、これらのグローバルワード線は、水平であり、X方向に沿って延びており、絶縁酸化物に形成されたコンタクトを電気的に接続し(すなわち、これにより、ローカルワード線208W-a、または208W-CHGに接触する)、また、そのコンタクトを半導体基板201(図示せず)に電気的に接続する。当業者に既知の他のマスク及びエッチングプロセスフローにより、偶数アドレスまたは奇数アドレスが割り当てられたローカルワード線を形成し、それらをメモリアレイの頂部から頂部のグローバルワード線を介して、またはメモリアレイの底部から底部のグローバルワード線を介して(また、いくつかの実施形態では、頂部のグローバルワード線及び底部のグローバルワード線の両方から)、それらのグローバルワード線に適切に接続することが可能である。 Global word lines 208g-a on top of the memory array are formed by depositing, patterning and etching a metal layer after formation of the contacts or vias. Such a metal layer may be provided by first forming a thin tungsten nitride (TiN) layer and then forming a low resistance metal layer (eg, metallic tungsten). The metal layer is then patterned and etched by photolithography to form the top global wordlines (alternatively, these global wordlines may be formed by a copper damascene process). In one embodiment, these global word lines are horizontal, extend along the X direction, and electrically connect contacts formed in the insulating oxide (i.e., thereby local word lines 208W- a, or 208W-CHG), and its contact is electrically connected to the semiconductor substrate 201 (not shown). Other mask and etch process flows known to those skilled in the art form local wordlines with even or odd addresses assigned to them through global wordlines from the top of the memory array or from the top of the memory array. Through the bottom-to-bottom global word lines (and in some embodiments from both the top global word lines and the bottom global word lines), suitable connections to those global word lines can be made. be.

図2Jは、本発明の実施形態EMB-2を示し、この実施形態EMB-2では、頂部のグローバルワード線のみが設けられている(すなわち、底部のグローバルワード線は設けられていない)。実施形態EMB-2では、アクティブストリップの一方の側縁部に沿ったプリチャージローカルワード線208W-STGは、アクティブストリップの反対側の側縁部に沿ったローカルワード線208W-aに対して互い違いに配置されている(図4Bも参照)。図4Bは、図2Jの実施形態EMB-2のX-Y平面における断面図であり、各アクティブストリップの両側に沿って互い違いに配置されたTFTにおいて、ローカルワード線208W-a及び互い違いのローカルワード線208W-STGを、頂部のグローバルワード線208g-aのみに、または底部のグローバルワード線(図示せず)のみに接続するコンタクト291を示す。 FIG. 2J shows an embodiment EMB-2 of the present invention in which only top global word lines are provided (ie no bottom global word lines are provided). In embodiment EMB-2, the precharged local word lines 208W-STG along one side edge of the active strip are staggered with respect to the local word lines 208W-a along the opposite side edge of the active strip. (see also FIG. 4B). FIG. 4B is a cross-sectional view in the XY plane of embodiment EMB-2 of FIG. Contacts 291 are shown connecting line 208W-STG to only top global word lines 208g-a or only to bottom global word lines (not shown).

ローカルワード線を互い違いにすることにより、底部のグローバルワード線(または、場合によっては、頂部のグローバルワード線)の形成に必要なプロセスステップを省略することができ、これにより、プロセスフローが簡略化される。この互い違いの実施形態の不利な点は、各アクティブストリップの両側縁部が各グローバルワード線の1ピッチ内にTFTを設けた場合の倍密度のTFTを失うことである。具体的には、頂部のグローバルワード線及び底部のグローバルワード線の両方が設けられた、図2I及びそれに対応する図4Aの実施形態EMB-1では、各アクティブストリップの各アクティブ層にグローバルワード線の1ピッチ内に2つのTFTを設けることができる。すなわち、各アクティブストリップにおいて、アクティブストリップの一方の側壁を使用して1つのTFTが形成され、そのTFTは底部のグローバルワード線により制御され、他方の側壁を使用して他方のTFTが形成され、そのTFTは頂部のグローバルワード線により制御される(ピッチは、1つの最小線幅と、互いに隣接する線間の必要な最小間隔との和である)。対照的に、図2J及びそれに対応する図4Bに示すように、実施形態EMB-2では、各アクティブ層内の1つのグローバルワード線ピッチ内に1つのTFTのみが設けられる。各アクティブストリップの両側の2つのローカルワード線208Wは、互いに対して接触するのに必要な2つのグローバルワード線ピッチのためのスペースを可能にするために、互いに対して互い違いに配置される。 By staggering the local wordlines, the process steps required to form the bottom global wordlines (or top global wordlines, as the case may be) can be omitted, thereby simplifying the process flow. be done. The disadvantage of this staggered embodiment is that both side edges of each active strip lose the double density of TFTs that would otherwise be provided within one pitch of each global word line. Specifically, in the embodiment EMB-1 of FIG. 2I and corresponding FIG. 4A, where both top global word lines and bottom global word lines are provided, global word lines are provided on each active layer of each active strip. Two TFTs can be provided within one pitch of . That is, in each active strip, one sidewall of the active strip is used to form one TFT, which TFT is controlled by the bottom global word line, the other sidewall is used to form the other TFT, The TFTs are controlled by the top global word line (pitch is one minimum line width plus the minimum spacing required between adjacent lines). In contrast, as shown in FIG. 2J and corresponding FIG. 4B, in embodiment EMB-2 only one TFT is provided within one global word line pitch in each active layer. The two local wordlines 208W on either side of each active strip are staggered with respect to each other to allow space for the two global wordline pitches required to contact each other.

図2Kは、本発明の実施形態EMB-3を示し、この実施形態EMB-3では、各ローカルワード線208Wが、互いに隣接するアクティブストリップの互いに対向する側壁、及び該側壁にそれぞれ隣接する電荷トラップ層(例えば、電荷トラップ層231L及び231R)に形成された一対のTFT(例えば、TFT281及び283)を制御する。絶縁トレンチ209をエッチングして、各TFT対(例えば、TFT281及び283)をそれに隣接するTFT対(例えば、TFT285及び287)から絶縁する(図4Cも参照)。図2Kに示すように、各TFTは、共有ローカルワード線の反対側に位置するデュアルペアのアクティブストリップの一方または他方から形成され、各2対のアクティブストリップは、絶縁トレンチ209によって、それに隣接する同様に形成されたデュアルペアから分離される。絶縁トレンチ209は、トレンチ230とは異なり、各アクティブストリップの互いに対向する側縁部にTFTを提供しない(図4C参照)。トレンチ209は、誘電体絶縁材料(例えば、二酸化シリコン、または電荷トラップ材料231)で充填されるか、またはエアギャップとして残される。トレンチ209には、ローカルワード線は収容されない。 FIG. 2K illustrates an embodiment EMB-3 of the present invention in which each local word line 208W has opposite sidewalls of adjacent active strips and charge traps adjacent the sidewalls, respectively. A pair of TFTs (eg, TFTs 281 and 283) formed in a layer (eg, charge trapping layers 231L and 231R) is controlled. Isolation trenches 209 are etched to isolate each TFT pair (eg, TFTs 281 and 283) from its adjacent TFT pair (eg, TFTs 285 and 287) (see also FIG. 4C). As shown in FIG. 2K, each TFT is formed from one or the other of a dual pair of active strips located on opposite sides of a shared local word line, with each two pairs of active strips flanked by isolation trenches 209. Separated from a similarly formed dual pair. Isolation trenches 209, unlike trenches 230, do not provide TFTs on opposite side edges of each active strip (see FIG. 4C). Trench 209 is either filled with a dielectric insulating material (eg, silicon dioxide, or charge trapping material 231) or left as an air gap. No local word lines are accommodated in trenches 209 .

図4Cは、図2K及び図2K-1の実施形態(EMB-3)のX-Y平面における断面図であり、メモリアレイの頂部でローカルワード線208W-aをグローバルワード線208g-aに接続するか、または、メモリアレイの底部でローカルワード線208W-aをグローバルワード線208g-s(図示せず)に接続するコンタクト291を示す。また、アクティブ層202-7の互いに隣接するアクティブストリップ上のTFT対281及び283は、絶縁トレンチ209によって、TFT対285及び287から分離されている。 FIG. 4C is a cross-sectional view in the XY plane of the embodiment (EMB-3) of FIGS. 2K and 2K-1 connecting local word lines 208W-a to global word lines 208g-a at the top of the memory array. Alternatively, contacts 291 are shown connecting local word lines 208W-a to global word lines 208g-s (not shown) at the bottom of the memory array. TFT pairs 281 and 283 on adjacent active strips of active layer 202 - 7 are also separated from TFT pairs 285 and 287 by isolation trenches 209 .

あるいは、絶縁トレンチ209は、バックバイアス供給電圧Vbbを供給するために基板に接続されたPドープポリシリコンのピラー(例えば、図2K-1及び図4Dのピラー290)を含むことができる(図3Aに垂直接続290としても示されている)。ピラー290は、閾値下ソース-ドレインリーク電流を低減させるために、読み出し動作中にバックバイアス電圧(例えば、Vbb=約0V~2V)を供給する。あるいは、ピラー290は、消去動作中にバックバイアス電圧Vbb及び消去電圧Verase(約12V~20V)を供給する。ピラー290は、図4Dに示すように絶縁された垂直柱として形成することができる。あるいは、ピラー290は、各トレンチ209(図示せず)の長さの一部または全部を充填することができる。ピラー290は、全てのアクティブ層202-0~202-7内のP副層222と接触する。しかしながら、金属副層224が設けられる実施形態では、ピラー290を設けると互いに異なるプレーン間で過剰なリーク電流の経路を形成する恐れがあるため、ピラー290は設けることができない。 Alternatively, the isolation trenches 209 can include pillars of P - doped polysilicon (eg, pillars 290 in FIGS. 2K-1 and 4D) connected to the substrate to supply the back bias supply voltage V bb ( (Also shown as vertical connection 290 in FIG. 3A). Pillar 290 provides a back bias voltage (eg, V bb =approximately 0V to 2V) during read operations to reduce subthreshold source-drain leakage current. Alternatively, pillar 290 provides a back bias voltage V bb and an erase voltage V erase (approximately 12V-20V) during an erase operation. Pillar 290 may be formed as an insulated vertical column as shown in FIG. 4D. Alternatively, pillars 290 can fill part or all of the length of each trench 209 (not shown). Pillars 290 contact the P - sublayers 222 in all active layers 202-0 through 202-7. However, in embodiments where metal sublayer 224 is provided, pillars 290 may not be provided, as doing so would create excessive leakage current paths between different planes.

図4Dは、図2K及び図2K-1の実施形態EMB-3のアクティブ層202-7を通るX-Y平面における断面図であり、この実施形態EMB-3では、P副層222への基板バックバイアス電圧Vbb及び消去電圧Veraseを選択的に提供する1以上の任意選択のPドープピラー290をさらに含む。 FIG. 4D is a cross-sectional view in the XY plane through active layer 202-7 of embodiment EMB - 3 of FIGS. It further includes one or more optional P - doped pillars 290 that selectively provide substrate back bias voltage V bb and erase voltage V erase .

図3Aは、N副層221において電源電圧Vssを設定するために使用される方法及び回路要素を示す。具体的には、電源電圧Vssは、ハードワイヤ復号化ソース線接続280(破線で示す)を介して、あるいはプリチャージTFT303及び復号化ビット線接続270をビット線電圧Vss、Vbl、Vpgm、Vinhibit、及びVeraseのいずれかに対してアクティブ化することにより設定される。あるいは、ソース基準電圧Vssは、従来技術の3D NANDスタックで一般的に使用されている方法であり、メモリアレイの頂部から階段ビアを通じて接続する金属またはNドープポリシリコン導体を介してアクセスすることができる。配線接続280内の各導体は互いに独立して接続されてもよく、そのため、互いに異なるプレーンまたは同一のプレーン内の電源電圧は互いに同一である必要はない。N副層221を基準電圧Vssに接続するための配線導体に対する要求は、アクティブ層202-0~202-7の各々に対して追加のパターニング及びエッチングステップ、並びに、追加のアドレス復号化回路を必要とするため、複雑さ及び製造コストが増大する。したがって、いくつかの実施形態では、後述するように、NORストリングの固有寄生キャパシタンス内の仮想電圧源を利用することによって、配線された電源電圧Vss接続を省略することが有利である。 FIG. 3A shows the method and circuitry used to set the power supply voltage V ss in the N + sublayer 221. FIG. Specifically, the power supply voltage V ss is applied either through a hardwired decode source line connection 280 (shown in dashed lines) or through precharge TFT 303 and decode bit line connections 270 to bit line voltages V ss , V bl , V. Set by activating for any of pgm , V inhibit , and V erase . Alternatively, the source reference voltage V ss is accessed through a metal or N + doped polysilicon conductor connecting from the top of the memory array through stepped vias, a method commonly used in prior art 3D NAND stacks. be able to. Each conductor in wiring connection 280 may be connected independently of each other, so the power supply voltages in different planes or in the same plane need not be the same. The requirement for wiring conductors to connect the N + sublayer 221 to the reference voltage V ss requires additional patterning and etching steps for each of the active layers 202-0 through 202-7, as well as additional address decoding circuitry. , increasing complexity and manufacturing costs. Therefore, in some embodiments, it is advantageous to omit the hard-wired supply voltage V ss connection by utilizing a virtual voltage source within the inherent parasitic capacitance of the NOR string, as described below.

NORストリングの動的動作Dynamic behavior of NOR strings

本発明は、各NORストリングに沿って分布する累積的な固有寄生キャパシタンスを利用して、単一の動作でプログラム、読み出し、または消去を並行して行うことができるTFTの数を飛躍的に増加させるとともに、動作消費電力を3D NANDフラッシュアレイと比較して大幅に低減させる。図3Aに示すように、(累積キャパシタンスCに寄与する)ローカル寄生キャパシタ360は、(一方のプレートとしての)ローカルワード線と(他方のプレートとしての)N/P/Nアクティブ層との間の各重なり合う部分に存在する。20ナノメートルの最小フィーチャサイズを有するNORストリングのTFTでは、各ローカル寄生キャパシタは約0.005フェムトファラド(各フェムトファラドは1×10-15ファラド)であり、電荷の一時的な蓄積のために使用するのにはあまりにも小さすぎる。しかしながら、アクティブストリップの片側または両側にはキャパシタンスに寄与する1000以上のTFTが存在するので、長いNORストリングにおけるN副層221(ソース線)及びN副層223(ビット線)の総分布キャパシタンスCは、約1~20フェムトファラドの範囲であり得る。これは、接続270を介して接続されたセンシング回路におけるキャパシタンスとほぼ等しい(例えば、電圧源Vbl)。 The present invention takes advantage of the cumulative inherent parasitic capacitance distributed along each NOR string to dramatically increase the number of TFTs that can be programmed, read or erased in parallel in a single operation. and significantly reduce operating power consumption compared to 3D NAND flash arrays. As shown in FIG. 3A, the local parasitic capacitor 360 (which contributes to the cumulative capacitance C) is formed between the local word line (as one plate) and the N + /P /N + active layer (as the other plate). exists in each overlapping portion between For NOR string TFTs with a minimum feature size of 20 nm, each local parasitic capacitor is about 0.005 femtofarads (each femtofarad is 1×10 −15 farads), and for temporary storage of charge Too small to use. However, since there are over 1000 TFTs contributing capacitance on one or both sides of the active strip, the total distributed capacitance of N + sublayer 221 (source line) and N + sublayer 223 (bit line) in a long NOR string is C can range from about 1 to 20 femtofarads. This is approximately equal to the capacitance in the sensing circuit connected via connection 270 (eg voltage source V bl ).

NORストリングのビット線キャパシタンスが、(電荷が一時的に蓄積される)ソース線の寄生キャパシタンスとほぼ同一の値を有することにより、センシング動作中に好ましい信号対雑音比が提供される。比較すると、同一の最小フィーチャサイズのDRAMセルは、約20フェムトファラドの蓄積キャパシタを有するが、そのビット線キャパシタンスは、約2、000フェムトファラド、すなわちその蓄積キャパシタの約100倍である。このようなキャパシタンスのミスマッチは、低い信号対雑音比と頻繁なリフレッシュの必要性とをもたらす。DRAMキャパシタは、キャパシタの電荷がDRAMセルのアクセストランジスタを通してリークするため、その電荷を通常64ミリ秒間保持することができる。対照的に、NORストリングの分布ソース線キャパシタンスCは、(DRAMセルの場合のように)1つのトランジスタの電荷リークだけでなく、数千以上の並列非選択TFTを介したはるかに大きい電荷リークに取り組まなければならない。このリークは、ワード線151a(WL-sel)上の1つの選択されたTFTと同一のアクティブストリップを共有する図3Aのワード線151b(WL-nsel)上のTFTにおいて発生し、NORストリングの分布キャパシタンスCにおける電荷保持時間を、恐らくは数百マイクロ秒まで大幅に減少させる。したがって、後述するように、リークを低減させるまたは無くすための対策が必要となる。 Having the bit line capacitance of the NOR string have approximately the same value as the parasitic capacitance of the source line (where charge is temporarily stored) provides a favorable signal-to-noise ratio during sensing operations. By comparison, the same minimum feature size DRAM cell has a storage capacitor of about 20 femtofarads, but its bit line capacitance is about 2,000 femtofarads, or about 100 times its storage capacitor. Such capacitance mismatch results in a low signal-to-noise ratio and frequent refresh requirements. A DRAM capacitor can typically hold its charge for 64 milliseconds as the charge on the capacitor leaks through the access transistor of the DRAM cell. In contrast, the distributed source line capacitance C of a NOR string contributes not only to charge leakage of one transistor (as in the case of a DRAM cell), but to much larger charge leakage through thousands or more of parallel unselected TFTs. have to work on it. This leakage occurs in the TFTs on word line 151b (WL-nsel) of FIG. It significantly reduces the charge retention time on capacitance C, perhaps to several hundred microseconds. Therefore, measures are required to reduce or eliminate leakage, as will be described later.

後述するように、数千個以上のトランジスタに起因するリーク電流は、読み出し動作中に発生する。プログラム、プログラム禁止または消去の動作中、N副層221及び223の両方は、好ましくは互いに同一の電圧に保たれる。このため、2つのN副層221及び223間のリーク電流はわずかである。プログラム、プログラム禁止または消去の動作中、累積キャパシタンスCからの電荷リークは、単結晶またはエピタキシャルシリコンから形成されることに起因してトランジスタリークが極めて少ない基板選択回路を通って主に基板に流れる。それにもかかわらず、100マイクロ秒の電荷保持時間でさえ、NORストリング上の選択されたTFTの100ナノ秒以下の読み出し動作または100マイクロ秒以下のプログラム動作を完了するのに十分である。 As discussed below, leakage currents due to thousands or more of transistors occur during read operations. During program, program inhibit or erase operations, both N + sublayers 221 and 223 are preferably kept at the same voltage as each other. Therefore, the leakage current between the two N + sublayers 221 and 223 is insignificant. During program, program inhibit or erase operations, the charge leakage from the accumulated capacitance C flows primarily to the substrate through the substrate select circuit which has very low transistor leakage due to being formed from single crystal or epitaxial silicon. Nevertheless, even a 100 microsecond charge retention time is sufficient to complete a sub-100 nanosecond read or sub-100 microsecond program operation of selected TFTs on a NOR string.

NORストリング内のTFTは、DRAMセルとは異なり、不揮発性メモリトランジスタであるので、NORストリングの寄生キャパシタCが完全に放電されても、選択されたTFTに記憶された情報は電荷蓄積材料(すなわち、電荷トラップ層231)内にそのまま残る。これは、実施形態EMB-1、EMB-2、及びEMB-3の全てのNORストリングに当てはまる。一方、DRAMセルでは、頻繁にリフレッシュしなければ、情報は永久に失われるであろう。したがって、本発明のNORストリングの分布キャパシタンスCは、N副層221及び223上のプリチャージ電圧を電圧Vss、Vbl、Vprogram、Vinhibit、またはVeraseのうちの1つに一時的に保持するためだけに使用され、NORストリング内のいずれかのTFTの実際データの記憶には使用されない。ワード線151n(すなわち、ワード線208-CHG)によって制御される図3Aのプリチャージトランジスタ303は、読み出し、プログラム、プログラム禁止または消去の各動作の直前に瞬間的にアクティブ化され、(例えば、接続270を介して)基板回路(図示せず)からN副層221へ電圧Vblを伝達する。例えば、電圧Vblは、読み出し動作中にN副層221を仮想接地電圧約0Vにプリチャージするために約0Vに設定するか、または、プログラム禁止動作中にN副層221及び223の両方を約5V~10Vにプリチャージするために約0Vに設定することができる。 Since the TFTs in a NOR string are non-volatile memory transistors, unlike DRAM cells, even if the parasitic capacitor C of the NOR string is fully discharged, the information stored in the selected TFT will still be retained by the charge storage material (i.e. , remain intact in the charge trapping layer 231). This applies to all NOR strings of embodiments EMB-1, EMB-2, and EMB-3. In DRAM cells, on the other hand, information will be lost forever unless refreshed frequently. Thus, the distributed capacitance C of the NOR string of the present invention temporarily reduces the precharge voltage on the N + sublayers 221 and 223 to one of the voltages Vss , Vbl, Vprogram, Vinhibit , or Verase . , and is not used to store actual data for any of the TFTs in the NOR string. Precharge transistor 303 of FIG. 3A, controlled by word line 151n (ie, word line 208-CHG), is momentarily activated just prior to each read, program, program inhibit, or erase operation (eg, connect 270) to the N + sublayer 221 from the substrate circuitry (not shown). For example, the voltage Vbl may be set to approximately 0V to precharge the N + sublayer 221 to a virtual ground voltage of approximately 0V during read operations, or set to approximately 0V for the N + sublayers 221 and 223 during program inhibit operations. It can be set to about 0V to precharge both to about 5V-10V.

累積キャパシタンスCの値は、アクティブストリップの両側に沿って何千個ものTFTを収容できるようにNORストリングを長くすることによって増加させることができ、それに応じて、N副層221上のプリチャージ電圧Vssの保持時間が増加する。しかしながら、NORストリングを長くすると、線路抵抗が増加するだけでなく、N副層221とN副層223との間のリーク電流が増加するという問題が生じる。このようなリーク電流は、それらの「オフ」(及びいくらかリークしやすい)状態にあるNORストリングの他の全てのTFTでアドレス指定されている1つのTFTを読み出すときに検出された電流と干渉する恐れがある。また、読み出し動作中に大きなキャパシタをプリチャージするのに要する時間が長くなる可能性は、短い読み出しレイテンシ(すなわち、高速読み出しアクセス時間)のための望ましさと対立する恐れがある。長いNORストリングの累積キャパシタンスCのプリチャージを高速化するために、プリチャージTFTが、アクティブストリップの両側に沿って所定の間隔を隔てて設けられる(例えば、128個、256個またはそれ以上のTFTごとに1個)。 The value of the cumulative capacitance C can be increased by lengthening the NOR string to accommodate thousands of TFTs along both sides of the active strip, and the precharge on the N + sublayer 221 accordingly. The holding time of voltage Vss increases. However, lengthening the NOR string not only increases the line resistance, but also increases the leakage current between the N 2 + sublayer 221 and the N 2 + sublayer 223 . Such leakage currents interfere with currents detected when reading one TFT being addressed with all other TFTs in the NOR string in their "off" (and somewhat leaky) states. There is fear. Also, the potentially long time required to precharge a large capacitor during a read operation can conflict with the desirability for short read latency (ie, fast read access time). To speed up the precharging of the accumulated capacitance C of a long NOR string, precharge TFTs are provided at predetermined intervals along both sides of the active strip (e.g., 128, 256 or more TFTs). one for each).

長いNORストリング内の可変閾値TFTは互いに並列に接続されているので、NORストリングの読み出し動作条件は、アクティブストリップの両側縁部に沿った全てのTFTがエンハンスメントモードで動作することを確実にすることが好ましい(すなわち、全てのTFTは、ソース221において制御ゲート151nと電圧Vssとの間に印加されるような正の閾値電圧を有する)。全てのTFTがエンハンスメントモードにあれば、アクティブストリップの両側の全ての制御ゲートがVss~0V以下に保持されたときに、アクティブストリップのN副層221とN副層223との間のリーク電流が抑制される。このエンハンスメント閾値電圧は、P副層222に適切なドーパント濃度(例えば、1×1016~1×1017/cm以上のホウ素濃度、この濃度は、約0.5V~1Vの固有のTFT閾値電圧をもたらす)を提供することによって達成することができる。 Since the variable threshold TFTs in a long NOR string are connected in parallel with each other, the read operating conditions for the NOR string are to ensure that all TFTs along both edges of the active strip operate in enhancement mode. (ie, all TFTs have a positive threshold voltage such that they are applied between control gate 151n and voltage Vss at source 221). If all TFTs are in enhancement mode, the voltage between the N 2 + sublayer 221 and the N 2 + sublayer 223 of the active strip when all control gates on both sides of the active strip are held below V ss ~0V. Leakage current is suppressed. This enhancement threshold voltage is determined by a suitable dopant concentration in the P sublayer 222 (eg, a boron concentration of 1×10 16 to 1×10 17 /cm 3 or higher, which is approximately 0.5 V to 1 V of the intrinsic TFT voltage). (resulting in the threshold voltage).

いくつかの実装形態では、副層222を実装するために、Nドープまたはアンドープポリシリコンまたはアモルファスシリコンを使用することが有利であり得る。このようなドーピングでは、アクティブストリングに沿ったTFTのいくつかまたは全てが負の閾値電圧(すなわち、空乏モード閾値電圧)を有する恐れがあり、このため、リーク電流を抑制するための何らかの手段が必要となる。このような抑制は、全てのローカルワードを保持しながら、N副層221の電圧Vssを約1Vから約1.5Vまで上げ、N副層223の電圧VblをN副層221の電圧よりも約0.5~約2V高い電圧まで上げることによって達成することできる。この一連の電圧は、ワード線の電圧をN副層221(ソース線)に対して約-1V~-1.5Vに保持するのと同一の効果を提供し、したがって、若干空乏化された閾値電圧にあるTFTによるリークを抑制する。また、NORストリングのTFTを消去した後、消去動作は、過剰消去されたNORストリング内の任意のTFTを空乏モード閾値電圧にシフトさせてエンハンスメントモード閾値電圧に戻す後続のソフトプログラムステップを必要とすることがある。 In some implementations, it may be advantageous to use N + doped or undoped polysilicon or amorphous silicon to implement sublayer 222 . With such doping, some or all of the TFTs along the active string may have negative threshold voltages (i.e., depletion-mode threshold voltages), thus requiring some means to suppress leakage current. becomes. Such suppression raises the voltage V ss on the N + sublayer 221 from about 1 V to about 1.5 V, and the voltage V bl on the N + sublayer 223 to the N + sublayer 221, while preserving all local words. can be achieved by raising the voltage to about 0.5 to about 2 V above the voltage of . This series of voltages provides the same effect of keeping the word line voltage at about -1 V to -1.5 V with respect to the N + sublayer 221 (source line), thus slightly depleted. Suppresses leakage due to TFTs that are at their threshold voltages. Also, after erasing the TFTs of a NOR string, the erase operation requires a subsequent soft program step to shift any TFTs in the over-erased NOR string to the depletion mode threshold voltage and back to the enhancement mode threshold voltage. Sometimes.

準揮発性NORストリングSemi-volatile NOR string

耐久性は、いくつかの書き込み-消去サイクル後のストレージトランジスタの性能劣化の尺度である。約10、000サイクル未満の耐久性、すなわち、10、000サイクル以内に許容できないほど性能が低下することは、頻繁なデータ書き換えを必要とするいくつかのストレージアプリケーションにとっては低すぎると考えられる。しかしながら、本発明の実施形態EMB-1、EMB-2、及びEMB-3のNORストリングは、保持時間は短いが耐久性を著しく増加させる(例えば、例えば、保持時間を数年から数分または数時間に短縮するが、耐久性を数千サイクルから数千万サイクルの書き込み/消去サイクルに増加させる)ことができる材料を電荷トラップ材料231L及び231Rに使用することができる。ONO膜、または電荷トラップ層の同様の組み合わせについてこのより高い耐久性を達成するためには、例えば、一般的には厚さ5~10Nmのシリコン酸化物膜であるトンネル誘電体層を3Nm以下に減少させるか、他の誘電体膜(例えば、窒化シリコンまたはSiN)と完全に置き換えるか、または誘電体層を全く有さないようにするとよい。同様に、電荷トラップ材料層は、従来のSiよりもシリコンリッチな、CVD堆積された窒化シリコン(例えば、Si1.01.1)にしてもよい。適切な正の制御ゲートプログラム電圧の下では、電子は、(一般的により高いプログラム電圧を必要とするファウラー・ノルドハイムトンネリングとは異なり)直接トンネリングによってより薄いトンネル誘電体を通過して窒化シリコン電荷トラップ材料層内にトンネルし、該電荷トラップ材料層内に数分ないし数日の間一時的にトラップされる。電荷トラップ窒化シリコン層、及び酸化シリコン(または酸化アルミニウムまたは他の高K誘電体)のブロッキング層は、これらの電子がワード線に逃げるのを防ぐが、電子は負に帯電しており本質的に互いに反発するため、これらの電子は最終的に副層221、222及び223へリークバックする。 Endurance is a measure of performance degradation of a storage transistor after a number of write-erase cycles. An endurance of less than about 10,000 cycles, ie, an unacceptable degradation of performance within 10,000 cycles, is considered too low for some storage applications that require frequent data rewrites. However, the NOR strings of embodiments EMB-1, EMB-2, and EMB-3 of the present invention have shorter retention times but significantly increased durability (eg, retention times from years to minutes or minutes). Materials that can reduce the time but increase the endurance from thousands to tens of millions of write/erase cycles) can be used for charge trapping materials 231L and 231R. To achieve this higher durability for ONO films, or similar combinations of charge trapping layers, for example, tunnel dielectric layers, typically silicon oxide films with a thickness of 5-10 Nm, should be reduced to 3 Nm or less. It may be reduced, completely replaced with another dielectric film (eg, silicon nitride or SiN), or not having a dielectric layer at all. Similarly, the charge trapping material layer may be CVD-deposited silicon nitride (eg, Si 1.0 N 1.1 ), which is more silicon-rich than conventional Si 3 N 4 . Under a suitable positive control gate programming voltage, electrons pass through the thinner tunnel dielectric by direct tunneling (unlike Fowler-Nordheim tunneling, which generally requires higher programming voltages) to transfer the silicon nitride charge to It tunnels into the trapping material layer and is temporarily trapped in the charge trapping material layer for minutes to days. A charge-trapping silicon nitride layer and a blocking layer of silicon oxide (or aluminum oxide or other high-K dielectric) prevent these electrons from escaping to the wordline, although the electrons are negatively charged and inherently Due to mutual repulsion, these electrons eventually leak back to sublayers 221 , 222 and 223 .

これらの変更の結果として得られるTFTは、低データ保持時間TFT(「半揮発性TFT」または「準揮発性TFT」)である。このようなTFTは、失われた電荷を補充するために定期的な書き込みリフレッシュまたは読み出しリフレッシュを必要とする。本発明の準揮発性TFTは、読み出しレイテンシが短いDRAMのような速い読み出しアクセス時間を提供するので、結果として得られる準揮発性NORストリングは、現在DRAMを必要とするいくつかの用途での使用に適する。準揮発性NORストリングアレイのDRAMに対する利点として、(i)DRAMは3次元ブロックに容易に組み込むことができないので、ビットあたりのコスト性能指数が大幅に低いこと、及び、(ii)リフレッシュサイクルは数分ごとまたは数時間ごとに1回実行するだけでよいので、現在のDRAM技術で必要とされる約64ミリ秒ごとのフレッシュサイクルと比較して電力消費が大幅に低いこと、が挙げられる。 The resulting TFTs of these modifications are low data retention time TFTs (“semi-volatile TFTs” or “semi-volatile TFTs”). Such TFTs require periodic write or read refresh to replenish lost charge. Because the quasi-volatile TFTs of the present invention provide DRAM-like fast read access times with low read latencies, the resulting quasi-volatile NOR strings find use in several applications that currently require DRAM. Suitable for The advantages of quasi-volatile NOR string arrays over DRAM are: (i) a much lower cost-per-bit figure of merit, since DRAM cannot be easily packed into three-dimensional blocks; It only needs to run once every minute or hours, so it consumes significantly less power than the approximately 64 millisecond refresh cycle required by current DRAM technology.

本発明の準揮発性NORストリングは、定期的なデータリフレッシュを組み込むために、プログラム/読み出し/消去条件を適切に適応させる。例えば、各準不揮発性NORストリングは頻繁に読み出しリフレッシュまたはプログラムリフレッシュされるので、最低10年間のデータ保持が必要とされる不揮発性TFTと比べて、「0」状態と「1」状態との間の大きな閾値電圧ウィンドウを提供するために準不揮発性TFTを「ハードプログラム」する必要はない。準不揮発性の閾値電圧ウィンドウは、10年間のデータ保持をサポートするTFTでは一般的な1V~3Vと比較して、0.2V~1Vという小さい値にすることができる。閾値電圧ウィンドウを小さくすることにより、このようなTFTをより低いプログラム電圧で、かつより短い持続時間のプログラムパルスによってプログラムすることができ、これにより、誘電体層上の累積電界ストレスが減少するので耐久性が高まる。 The semi-volatile NOR string of the present invention appropriately adapts program/read/erase conditions to incorporate periodic data refresh. For example, since each quasi-nonvolatile NOR string is frequently read refreshed or program refreshed, there is less time between the '0' and '1' states compared to nonvolatile TFTs, which require a minimum of 10 years of data retention. There is no need to "hard program" the quasi-nonvolatile TFTs to provide a large threshold voltage window of . The quasi-nonvolatile threshold voltage window can be as small as 0.2V to 1V, compared to 1V to 3V, which is typical for TFTs supporting 10-year data retention. By reducing the threshold voltage window, such TFTs can be programmed at lower program voltages and with shorter duration program pulses, which reduces the cumulative electric field stress on the dielectric layer. Increased durability.

ミラービットNORストリングmirror bit NOR string

本発明の別の実施形態によれば、NORストリングアレイはまた、当業者には既知の、NROM/ミラービットトランジスタで用いられるものと同様のチャネルホットエレクトロン注入法を用いてプログラムしてもよい。NROM/ミラービットトランジスタでは、第1のビットを表す電荷が、ドレイン領域との接合部に隣接するチャネル領域の一端に格納され、ソース及びドレインの極性を反転させることにより、第2のビットを表す電荷が、ソース接合部に隣接するチャネル領域の反対側の端部にプログラムされ格納される。一般的なプログラム電圧は、ドレイン端子で5ボルト、ソース端子で0ボルト、制御ゲートで8ボルトである。両方のビットを読み出ためには、当業者にはよく知られているように、ソース接合部及びドレイン接合部を逆の順番で読み出す必要がある。しかしながら、チャネルホットエレクトロンプログラムは、トンネルプログラムよりも大幅に効率が悪いため、トンネリングによって可能な超並列プログラムには適していない。さらに、比較的大きなプログラム電流は、N副層間(すなわち、ソース領域とドレイン領域との間)に大きなIRドロップをもたらし、これにより、線路抵抗を低減するための配線接続が設けられない限り(例えば、図2B-2または図2B-3に示すように)、NORストリングの長さは制限される。NROM/ミラービットの実施形態における消去動作は、バンド間トンネリング誘起ホットホール注入の従来のNROM消去機構を使用して達成することができる。トラップされた電子の電荷を中和するためには、選択されたワード線に-5V、N副層221(ソース線)に0V、N副層223(ドレイン線)に5Vを印加する。チャネルホットエレクトロン注入アプローチは、NORストリングのビット密度を2倍にするため、アーカイブメモリなどの用途には魅力的である。 According to another embodiment of the present invention, NOR string arrays may also be programmed using channel hot electron injection methods similar to those used in NROM/mirror bit transistors, known to those skilled in the art. In NROM/mirror bit transistors, the charge representing the first bit is stored at one end of the channel region adjacent to the junction with the drain region, and the polarity of the source and drain is reversed to represent the second bit. Charge is programmed and stored at the opposite end of the channel region adjacent to the source junction. Typical program voltages are 5 volts at the drain terminal, 0 volts at the source terminal, and 8 volts at the control gate. To read both bits, the source and drain junctions must be read in reverse order, as is well known to those skilled in the art. Channel hot electron programs, however, are significantly less efficient than tunnel programs and are therefore not suitable for massively parallel programs enabled by tunneling. Furthermore, relatively large program currents lead to large IR drops across the N + sublayers (i.e., between the source and drain regions), which leads to wire connections to reduce line resistance ( For example, as shown in FIG. 2B-2 or FIG. 2B-3), the length of the NOR string is limited. The erase operation in the NROM/mirror bit embodiments can be accomplished using the conventional NROM erase mechanism of band-to-band tunneling-induced hot hole injection. To neutralize the charge of the trapped electrons, apply −5 V to the selected word line, 0 V to N 2 + sublayer 221 (source line), and 5 V to N 2 + sublayer 223 (drain line). The channel hot electron injection approach doubles the bit density of NOR strings, making it attractive for applications such as archival memory.

複数のプレーンのアクティブストリップにTFTチャネルを同時に形成するための合理化されたプロセスフロー(「プロセスフローA」)下の実施形態Embodiments under Streamlined Process Flow for Simultaneously Forming TFT Channels in Active Strips of Multiple Planes (“Process Flow A”)

実施形態EMB-1、EMB-2、及びEMB-3を作製するための上述のプロセスは、複数のプレーン上の全てのアクティブストリップにおいてTFTの均一性とNORストリングの性能を向上させるとともに単純化された代替的なプロセスフロー(「プロセスフローA」)に変更することができる。プロセスフローAでは、全てのプレーン上の全てのアクティブストリップについて、P副層222(すなわち、チャネル)が単一のシーケンスで同時に形成される。このPチャネル形成は、高温工程の全部または大部分が完了した後に、製造プロセスフローの後半で行われる。プロセスフローAは、実施形態EMB-1及びEMB-3に関連して後述するが、実施形態EMB-2及び他の実施形態、並びにそれらの派生実施形態にも同様に適用することができる。詳細な説明の残りの部分において、プロセスフローAの下で製造された実施形態は、それらの識別のために添付された接尾辞「A」によって識別される。例えば、プロセスフローAの下で製造された実施形態EMB-1の変形形態は、実施形態EMB-1Aとして識別される。 The above-described process for fabricating embodiments EMB-1, EMB-2, and EMB-3 is simplified while improving TFT uniformity and NOR string performance in all active strips on multiple planes. It can be changed to an alternative process flow (“Process Flow A”). In process flow A, the P - sublayers 222 (ie channels) are formed simultaneously in a single sequence for all active strips on all planes. This P - channel formation occurs later in the fabrication process flow after all or most of the high temperature steps are completed. Process flow A is described below in relation to Embodiments EMB-1 and EMB-3, but is equally applicable to Embodiment EMB-2 and other embodiments and their derivatives. In the remainder of the detailed description, embodiments manufactured under process flow A are identified by the suffix "A" appended for their identification. For example, a variation of Embodiment EMB-1 manufactured under process flow A is identified as Embodiment EMB-1A.

図5Aは、半導体構造体500のY-Z平面を通る断面図であり、半導体基板201上にアクティブ層502-0~502-7を互いに重ね合わせて8つのプレーンのスタックに形成するとともに、各アクティブ層をISL材料の絶縁層503-0~503-7によって互いに絶縁した状態を示す。図2B-1の半導体構造体220aと比較して、各アクティブ層502-0~502-7の副層522は、Pポリシリコンの代わりに犠牲材料SAC1を使用して形成される。絶縁材料ISL(誘電体材料)により形成された絶縁層503-0~503-7は、互いに異なるプレーン上でアクティブ層を絶縁する。副層522-0~522-7の犠牲材料SAC1は、最終的には、P副層のための経路を形成するためにエッチングにより除去される。SAC1材料は、絶縁材料ISL及びN副層523-0~523-7、及び521-0~521-7のエッチング速度と比較して、高いエッチング選択性で迅速にエッチングできるように選択される。ISL材料は、20~100ナノメートルの範囲の厚さで堆積された酸化シリコン(例えば、SiO)であり得る。N副層は、20~100ナノメートルの範囲の厚さを有する、高濃度ドープされたポリシリコンであり得る。SAC1材料は、例えば、10~100ナノメートルの範囲の厚さを有する、窒化シリコン、多孔質酸化シリコン、及びシリコンゲルマニウムのうちの1以上であり得る。各層の実際の厚さは、複数のプレーンの全高を最小に保つための範囲の下限であることが好ましい(32、64またはそれ以上のスタックされたプレーンでは、異方性エッチングするのはますます困難になる)。 FIG. 5A is a cross-sectional view through the YZ plane of semiconductor structure 500, in which active layers 502-0 through 502-7 are stacked on top of semiconductor substrate 201 to form a stack of eight planes, each of which has a plane. The active layers are shown isolated from each other by insulating layers 503-0 to 503-7 of ISL material. Compared to semiconductor structure 220a of FIG. 2B-1, sublayer 522 of each active layer 502-0 through 502-7 is formed using sacrificial material SAC1 instead of P - polysilicon. Insulating layers 503-0 to 503-7 made of insulating material ISL (dielectric material) insulate the active layers on different planes. The sacrificial material SAC1 of sublayers 522-0 through 522-7 is finally etched away to form the pathways for the P - sublayers. The SAC1 material is selected to etch quickly with high etch selectivity compared to the etch rates of the insulating material ISL and the N + sublayers 523-0 to 523-7 and 521-0 to 521-7. . The ISL material can be silicon oxide (eg, SiO 2 ) deposited at a thickness in the range of 20-100 nanometers. The N + sublayer can be heavily doped polysilicon with a thickness in the range of 20-100 nanometers. The SAC1 material can be, for example, one or more of silicon nitride, porous silicon oxide, and silicon germanium, with thicknesses in the range of 10-100 nanometers. The actual thickness of each layer is preferably at the lower end of the range to keep the total height of the multiple planes to a minimum (with 32, 64 or more stacked planes, anisotropic etching becomes increasingly become difficult).

図5Bは、N副層523-1及び523-0を半導体基板201の回路206-0及び206-1に接続する埋込コンタクト205-0及び205-1を通るY-Z平面における断面図である。アクティブ層502-0~502-7が形成される前に、埋込コンタクト205-0は絶縁層503-0をエッチングすることによって形成され、これにより、N副層523-0を堆積させたときに、基板201に事前に形成された回路206-0との電気的接触が形成される。線路抵抗を低減させるために、N副層523-0を堆積させる前に、5~20Nmの範囲の厚さを有する任意選択の低抵抗率の薄い金属副層(例えば、TiN及びタングステン)を堆積させることができる(図5Bには図示しない)。TiNなどの低抵抗率金属プラグと、それに続くタングステンの薄層とを使用して、埋設コンタクト開口部を充填して基板に対するコンタクト抵抗を低減させることができる。次いで、アクティブ層502-0を個別のブロックにエッチングする。各ブロックは、後に、個別のアクティブストリップにエッチングされる。アクティブ層(すなわち、アクティブ層502-1)の各プレーンは、その真下のアクティブ層を越えて延在し、かつ、該プレーンを基板201の回路206-1に接続するための埋込コンタクト205-1を有している。 5B is a cross-sectional view in the YZ plane through buried contacts 205-0 and 205-1 connecting N + sublayers 523-1 and 523-0 to circuits 206-0 and 206-1 of semiconductor substrate 201. FIG. is. Buried contact 205-0 was formed by etching insulating layer 503-0 before active layers 502-0 through 502-7 were formed, thereby depositing N + sublayer 523-0. Occasionally, electrical contact is made with circuitry 206-0 pre-formed on substrate 201. FIG. To reduce line resistance, an optional low resistivity thin metal sublayer (eg, TiN and tungsten) with a thickness in the range of 5-20 Nm is deposited prior to depositing the N + sublayer 523-0. can be deposited (not shown in FIG. 5B). A low resistivity metal plug such as TiN followed by a thin layer of tungsten can be used to fill the buried contact openings to reduce contact resistance to the substrate. The active layer 502-0 is then etched into discrete blocks. Each block is later etched into individual active strips. Each plane of the active layer (ie, active layer 502-1) has a buried contact 205- that extends beyond the active layer beneath it and connects the plane to circuit 206-1 of substrate 201. has 1.

各プレーンのアクティブストリップを基板回路に接続することは、底部からの埋込コンタクト(例えば、ドレイン副層523-0及び523-1を基板回路206-0及び206-1に接続する埋込コンタクト205-0及び205-1)、または半導体構造体(図示せず)の頂部からの導体のいずれかによって、N副層521-0及び521-1への電気的接触を形成することによって達成することができる。同一のアクティブストリップ内の副層523及び521のいずれか一方は、対応するNORストリングのTFTのソース端子またはドレイン端子として機能するので、同一のアクティブストリップのN副層521または523は互いに代替できる。絶縁層503-0~503-7内のISL材料を貫通してビアをエッチングする。これは、3D3-D NANDビア形成に精通している当業者にはよく知られているように、階段状の複数プレーンピラミッド様構造体(すなわち、底部のプレーンが最も外側まで延びる構造体)を形成することによってなされる。この別の頂部から接続するスキーム(contact-from-the-top scheme)は、ビアを一度に2以上のプレーンに達するようにエッチングすることを可能にし、これにより、マスキング及び接触エッチングステップの数を減らすことができる。これは、32、64、またはそれ以上のスタックされたプレーンが存在する場合に特に有用である。しかしながら、副層523は副層521の真下に位置し、副層521によってマスクされているので、階段ビアを使用して、頂部から副層523に接続することは容易ではない。ビア内の導体によって、副層521と副層521とが電気的に短絡する恐れがあるためである。 Connecting the active strips of each plane to the substrate circuitry is through buried contacts from the bottom (eg, buried contacts 205 connecting the drain sublayers 523-0 and 523-1 to the substrate circuitry 206-0 and 206-1). -0 and 205-1), or by making electrical contact to the N + sublayers 521-0 and 521-1, either by conductors from the top of the semiconductor structure (not shown). be able to. Either one of the sublayers 523 and 521 in the same active strip serves as the source or drain terminal of the corresponding NOR string's TFT, so the N + sublayers 521 or 523 in the same active strip can be substituted for each other. . Vias are etched through the ISL material in the insulating layers 503-0 through 503-7. This creates a stepped multi-plane pyramid-like structure (i.e., the bottom plane extends all the way out), as is well known to those skilled in the art familiar with 3D 3-D NAND via formation. Made by forming. This alternative contact-from-the-top scheme allows vias to be etched to reach more than one plane at a time, thereby reducing the number of masking and contact etching steps. can be reduced. This is particularly useful when there are 32, 64, or more stacked planes. However, because sublayer 523 is located directly below sublayer 521 and is masked by sublayer 521, it is not easy to use a stepped via to connect to sublayer 523 from the top. This is because the conductor in the via may cause an electrical short circuit between the sublayers 521 and 521 .

本発明の一実施形態によれば、1つのプロセスで、ドレイン副層523が埋込コンタクトによって底部から基板回路に接続されるとともに、ソース副層521は配線接続導体または充填されたビアによって頂部から基板回路に接続される(例えば、図3Aの接続280)。あるいは、そして好ましくは、ソース層521は、プリチャージTFTとして指定されたNORストリングのTFT(すなわち、仮想電圧源を提供するために、NORストリングの寄生キャパシタンスに充電するのに使用されるTFT)を使用した埋込コンタクトによって基板回路に接続され得る。このようにして、ビアまたは配線導体を設けることの複雑さが回避される。 In one process, the drain sublayer 523 is connected to the substrate circuitry from the bottom by buried contacts and the source sublayer 521 is connected from the top by interconnect conductors or filled vias in one process, according to one embodiment of the present invention. It is connected to the substrate circuit (eg, connection 280 in FIG. 3A). Alternatively, and preferably, the source layer 521 includes a TFT of a NOR string designated as a precharge TFT (i.e., a TFT used to charge the parasitic capacitance of the NOR string to provide a virtual voltage source). It can be connected to the substrate circuit by the buried contacts used. In this way the complexity of providing vias or wiring conductors is avoided.

以下の説明は、(上述したように)ソース副層及びドレイン副層をプリチャージTFTと共に埋込コンタクトを介して基板回路に接続するNORストリングに焦点を合わせている。この構成により、ドレイン副層及びソース副層に、読み出し、プログラム、プログラム禁止及び消去動作に適した電圧が提供される。 The following discussion focuses on NOR strings that connect the source and drain sublayers with precharged TFTs to substrate circuitry via buried contacts (as described above). This configuration provides the drain and source sublayers with suitable voltages for read, program, program inhibit and erase operations.

次に、全てのプレーンに対して、N副層521及び523に同時に適用される高温急速熱アニーリング及び再結晶化ステップがなされる。このステップは、各プレーンに対して個別に適用することもできる。あるいは、急速熱アニーリング、全層のレーザーアニーリング、または一度に1以上のプレーンに対するシャローレーザーアニーリング(例えばELA)を使用することもできる。アニーリングは、ドーパントを活性化し、再結晶化し、そして粒界でのドーパント偏析を減少させることにより、N副層のシート抵抗率を減少させる。注目すべきことに、この熱アニーリングステップは、プレーンにP副層522を形成する前に行われるので、アニーリング温度及び持続時間は非常に高く、N副層521及び523の抵抗率を低減させるのに有利な1000℃さえも超える。 All planes are then subjected to a high temperature rapid thermal annealing and recrystallization step applied simultaneously to the N + sublayers 521 and 523 . This step can also be applied individually for each plane. Alternatively, rapid thermal annealing, laser annealing of all layers, or shallow laser annealing (eg, ELA) to one or more planes at a time can be used. Annealing reduces the sheet resistivity of the N + sublayer by activating and recrystallizing the dopants and reducing dopant segregation at grain boundaries. Notably, since this thermal annealing step is performed prior to forming the P- sublayer 522 in the plane, the annealing temperature and duration are very high, reducing the resistivity of the N + sublayers 521 and 523. even above 1000° C., which is advantageous for

図5Cは、Z-X平面の断面図であり、Y方向に沿ったトレンチ530がアクティブ層502-7~502-0を貫通して異方的にエッチングされ、図5Bのランディングパッド264まで到達した後の、半導体構造体500のアクティブ層502-6及び502-7を示す。深いトレンチ530を、N材料、SAC1材料、N材料、及びISL材料が交互に配置された層を貫通してエッチングするために適切な化学物質を使用して異方性エッチング法でエッチングすることにより、できる限り近くに垂直トレンチ側壁を実現することができる(すなわち、実質的に同一のアクティブストリップの幅及び頂部プレーンと底部プレーンとの間隔を実現する)。ハードマスク材料(例えば、カーボン)が、多段階エッチングシーケンス中に使用され得る。 FIG. 5C is a cross-sectional view in the ZX plane where trenches 530 along the Y direction are anisotropically etched through active layers 502-7 to 502-0 down to landing pads 264 in FIG. 5B. The active layers 502-6 and 502-7 of the semiconductor structure 500 are shown after shaving. Deep trenches 530 are etched in an anisotropic etch process using appropriate chemistries to etch through alternating layers of N + material, SAC1 material, N + material, and ISL material. By doing so, vertical trench sidewalls can be achieved as close as possible (ie, achieving substantially identical active strip widths and top-to-bottom plane spacing). A hardmask material, such as carbon, may be used during the multi-step etch sequence.

ハードマスク残留物を除去した後、トレンチ530に、SAC1材料とは異なるエッチング特性を有する第2の犠牲材料(SAC2)が充填される。SAC2材料は、例えば、高速エッチングSiOまたはドープガラス(例えば、BPSG)であり得る。ISL材料と同様に、SAC2材料は、SAC1材料がエッチングされるときに、そのエッチングに耐えることができるように選択される。SAC2材料は、特に、SAC1材料の除去中または除去後に行われる後のステップにおいて、アクティブストリップの背が高いかつ狭いスタックを物理的に支持し、それによってN副層間にキャビティが残るようにする。あるいは、電荷トラップ材料及びローカルワード線がSAC1材料のエッチング前に形成される実施形態では、そのような支持は、ローカルワード線208Wによって提供され得る。 After removing the hardmask residue, the trenches 530 are filled with a second sacrificial material (SAC2) that has different etching properties than the SAC1 material. The SAC2 material can be, for example, fast-etching SiO2 or doped glass (eg BPSG). Similar to the ISL material, the SAC2 material is chosen to withstand etching when the SAC1 material is etched. The SAC2 material provides physical support for the tall and narrow stack of active strips, especially in later steps performed during or after removal of the SAC1 material, thereby leaving a cavity between the N + sublayers. . Alternatively, in embodiments where the charge trapping material and local wordlines are formed prior to etching the SAC1 material, such support may be provided by local wordlines 208W.

次に、図5Dに示すように、狭い開口部をX方向に沿ってマスクし、トレンチ530に充填されたSAC2材料を貫通して異方的にエッチングして、トレンチ530に充填されているSAC2材料内に第2のトレンチ545を形成する。異方性エッチングにより、アクティブ層の全体にわたってアクティブストリップの垂直側壁547を露出させて副層522内のSAC1材料を除去し、それにより、アクティブ層502-0~502-7の各アクティブストリップ内でN副層521とN副層523との間にキャビティを形成する。第2のトレンチ545は、副層522から、図5BのP基板領域262-0(Vbbの符号が付されている)への導電経路の形成を可能にする。第2のトレンチ545は好ましくはそれぞれ、20~100ナノメートル幅を有し、ローカルワード線208W-sなどの64本以上の並んだローカルワード線を収容するのに十分な距離を置いて離間している。次に、高度に選択的なエッチングを図5Dの露出された側壁547に適用し、矢印547及び548で示す経路を介して、副層522内の全ての露出されたSAC1材料を等方的にエッチング除去する。上述したように、SAC1材料は窒化シリコンであり得、ISL材料及びSAC2材料は両方とも酸化シリコンであり得る。これらの材料を使用することにより、N副層521及び523内の全てのNドープポリシリコン、並びに絶縁層503及びトレンチ530内のISL材料及びSAC2材料を実質的に損傷させることなく、熱リン酸を使用してSAC1材料を除去することができる。また、高選択性化学物質を使用したドライエッチングプロセスにより、SAC1材料が充填されていた、かつ、SAC2材料が充填されていたトレンチ530間に囲まれた細長いキャビティ内に残留物を残すことなく、同様の結果を達成することができる。 Next, as shown in FIG. 5D, a narrow opening is masked along the X-direction and anisotropically etched through the SAC2 material that fills trenches 530 to remove the SAC2 material that fills trenches 530 . A second trench 545 is formed in the material. An anisotropic etch removes the SAC1 material in sublayer 522 exposing the vertical sidewalls 547 of the active strips throughout the active layers, thereby removing the SAC1 material in sublayers 522 in each active strip of active layers 502-0 through 502-7. A cavity is formed between N + sublayer 521 and N + sublayer 523 . A second trench 545 allows the formation of a conductive path from sublayer 522 to P- substrate region 262-0 (labeled Vbb) in FIG. 5B. The second trenches 545 preferably each have a width of 20-100 nanometers and are spaced apart a sufficient distance to accommodate 64 or more side-by-side local word lines, such as local word lines 208W-s. ing. A highly selective etch is then applied to the exposed sidewalls 547 of FIG. Etch away. As noted above, the SAC1 material can be silicon nitride and both the ISL and SAC2 materials can be silicon oxide. By using these materials, the thermal conductivity can be reduced without substantially damaging all of the N + doped polysilicon in N + sublayers 521 and 523, and the ISL and SAC2 materials in insulating layer 503 and trench 530. Phosphoric acid can be used to remove the SAC1 material. Also, the dry etching process using a highly selective chemistry leaves no residue in the elongated cavity enclosed between the trenches 530 that were filled with the SAC1 material and were filled with the SAC2 material. Similar results can be achieved.

上述したSAC2材料の選択的除去の後のさらなる処理において、2つの選択肢がある。(i)まず、N副層521及び523間のキャビティ内にP副層522を形成し、その後に、電荷トラップ層及びローカルワード線208Wを形成する、という第1の選択肢。(ii)まず、電荷トラップ層及びローカルワード線を形成し、その後に、P副層522を形成する、という第2の選択肢。第1の選択肢は、図5E及び図5Fの実施形態EMB-1Aを参照して、以下に説明する。第2の選択肢は、図5Gの実施形態EMB-3Aを参照して、以下に説明する。 For further processing after the selective removal of SAC2 material described above, there are two options. (i) A first option to first form the P sublayer 522 in the cavity between the N + sublayers 521 and 523, followed by the formation of the charge trapping layer and the local wordlines 208W. (ii) A second option of forming the charge trapping layer and local word lines first, followed by forming the P sublayer 522 . The first option is described below with reference to embodiment EMB-1A in FIGS. 5E and 5F. The second option is described below with reference to embodiment EMB-3A in FIG. 5G.

図5Eは、トレンチ545から離れた(例えば、図5Dの線1-1´に沿って)Z-X平面を通る断面図であり、各アクティブストリップの両側でSAC2材料によって支持される隣接アクティブ層におけるアクティブストリップを示す。キャビティ537は、副層521と523との間のスペース(すなわち、P副層522用に確保されているスペース)からSAC1材料を掘削することによって形成される。次いで、任意選択の極薄ドーパント拡散防止副層521-dをキャビティ537の壁部(例えば、図5Eに示すように、左側壁501L、右側壁501R、N副層521-7の底部壁501B、及びNドレイン副層523の上部501T)に堆積させる。極薄ドーパント拡散防止層521-dは、例えば、窒化シリコン、シリコンゲルマニウム(SiGe)、または使用されるNドーパント(例えば、リン、ヒ素またはアンチモン)の原子の直径より小さい原子格子を有する他の材料であり得、0~3ナノメートルの範囲の厚さを有し得る。ドーパント拡散阻止副層521-dは、例えば原子層堆積(ALD)技術を用いた1~3原子層の拡散バリア材料の制御堆積によって、ゼロまたはゼロに近いナノメートルの厚さを達成することができる。ドーパント拡散防止層521-dは、図2B-5aの層221-d、223-dと同一のドーパント拡散バリアを提供することができる。ただし、複数のアクティブ層のための層221-d及び223-dを形成するのに複数の堆積ステップが必要とされるとは異なり、ドーパント拡散防止層521-dは、全てのアクティブ層に対して単一の堆積ステップで形成される。図5Dの矢印547及び548で示されるように、ドーパント拡散防止層521-dの均一な堆積に必要とされるガス状材料は、第2のトレンチ545を通じてキャビティ537の壁を被覆する。いかなる場合でも、ドーパント拡散防止層521-dの材料または厚さは、それの電子伝導を大幅に低下させたり、トンネルする電子をトラップしたりするようなものであってはならない。アクティブストリップのN副層521と523との間のリーク電流が許容可能な程度に低い場合、ドーパント拡散防止層521-dは完全に省略してもよい。 FIG. 5E is a cross-sectional view through the ZX plane away from trench 545 (eg, along line 1-1' in FIG. 5D) with adjacent active layers supported by SAC2 material on either side of each active strip. shows the active strip in Cavity 537 is formed by excavating SAC1 material from the space between sublayers 521 and 523 (ie, the space reserved for P 4 sublayer 522). Optional ultra-thin dopant diffusion barrier sublayer 521-d is then applied to the walls of cavity 537 (eg, left sidewall 501L, right sidewall 501R, bottom wall 501B of N 2 + sublayer 521-7, as shown in FIG. 5E). , and the top 501T of the N + drain sublayer 523). The ultra-thin dopant diffusion prevention layer 521-d is, for example, silicon nitride, silicon germanium (SiGe), or other material having an atomic lattice smaller than the atomic diameter of the N 2 + dopant used (eg, phosphorus, arsenic, or antimony). material and can have a thickness in the range of 0-3 nanometers. The dopant diffusion-blocking sublayer 521-d can achieve a zero or near-zero nanometer thickness, for example, by controlled deposition of 1-3 atomic layers of diffusion barrier material using atomic layer deposition (ALD) techniques. can. Dopant diffusion prevention layer 521-d can provide the same dopant diffusion barrier as layers 221-d, 223-d of FIG. 2B-5a. However, unlike the multiple deposition steps required to form layers 221-d and 223-d for multiple active layers, dopant diffusion prevention layer 521-d is are formed in a single deposition step. The gaseous material required for uniform deposition of dopant diffusion barrier layer 521-d coats the walls of cavity 537 through second trench 545, as indicated by arrows 547 and 548 in FIG. 5D. In any case, the material or thickness of dopant diffusion blocking layer 521-d should not be such as to significantly reduce its electron conduction or trap tunneling electrons. If the leakage current between the N + sublayers 521 and 523 of the active strip is acceptably low, the dopant diffusion prevention layer 521-d may be omitted entirely.

次に、P副層522(例えば、P副層522-7)が、各アクティブストリップの全長に沿って延びる各キャビティの内壁501T、501B、501R及び501Lに沿って形成される。P副層522は、ドープポリシリコン、非ドープまたはPドープアモルファスシリコン(例えば、1×1016/cm~1×1018/cmの間でホウ素ドープされた)、シリコンゲルマニウム、または任意の適切な半導体材料であり得、4~15ナノメートルの範囲の厚さを有する。いくつかの実装形態では、P副層522は、キャビティ537を完全に充填せず、空隙を残すように十分に薄い。他の実施形態では、P副層522は、キャビティ537を完全に充填するのに十分な厚さに形成され得る。ローカルワード線が後のステップで形成された後、垂直壁501R及び501Lに沿ったP副層522-6R及び522-6L(層502-6の場合)が、アクティブストリップ550の一方または両方の側縁部のTFTのPチャネルとして機能し、N副層521-6が(電圧Vssで)Nソースとして機能し、N副層523-6がNドレインとして機能する(電圧Vblを供給する)。3~15ナノメートルの一般的な厚さでは、P副層522は、リソグラフィによって画定されるか、または当業者に周知のスペーサによって画定されるそれらに対応するアクティブストリップの幅よりも実質的に薄くあり得る。実際、このプロセス下で形成されたPチャネルの厚さはアクティブストリップの幅とは無関係であり、非常に薄いチャネルであっても、P副層522は、多くのアクティブ層の各々において実質的に同一の厚さを有する。このような減少した厚さでは、そのドーピング濃度に応じて、P副層522-6R及び522-6Lは適切なワード線電圧下で容易に完全に空乏化するのに十分に薄く、これにより、トランジスタ閾値電圧制御を改善し、アクティブストリップに沿ったNソースとドレイン副層との間のリークを低減させる。 A P - sublayer 522 (eg, P - sublayer 522-7) is then formed along the inner walls 501T, 501B, 501R and 501L of each cavity extending along the entire length of each active strip. P sublayer 522 may be doped polysilicon, undoped or P doped amorphous silicon (eg, boron doped between 1×10 16 /cm 3 and 1×10 18 /cm 3 ), silicon germanium, or It can be any suitable semiconductor material and has a thickness in the range of 4-15 nanometers. In some implementations, the P sublayer 522 is thin enough so that it does not completely fill the cavity 537, leaving an air gap. In other embodiments, P sublayer 522 may be formed thick enough to completely fill cavity 537 . After the local wordlines are formed in a later step, P- sublayers 522-6R and 522-6L (for layer 502-6) along vertical walls 501R and 501L are formed on one or both of active strips 550. Acting as the P channel of the side edge TFT, the N 2 + sublayer 521-6 acts as the N 2 + source (at voltage V ss ) and the N 2 + sublayer 523-6 acts as the N 2 + drain (at voltage V ss ). supply Vbl ). At typical thicknesses of 3 to 15 nanometers, the P sublayers 522 are substantially wider than their corresponding active strip widths defined either lithographically or by spacers known to those skilled in the art. can be thin. In fact, the thickness of the P - channel formed under this process is independent of the width of the active strip, and even with very thin channels, the P - sublayer 522 is substantially have substantially the same thickness. At such reduced thicknesses, depending on their doping concentrations, the P sublayers 522-6R and 522-6L are thin enough to be easily fully depleted under suitable wordline voltages, thereby , improve transistor threshold voltage control and reduce leakage between the N + source and drain sublayers along the active strip.

同時に、Pドープポリシリコンを第2のトレンチ545の垂直壁に沿って堆積させ、頂部プレーンから底部プレーンへ延びるピラー290(図5Eには示されていないが、図5Fにはピラー290として示されている)を形成する。底部プレーンでは、ピラー290と基板201内の回路(例えば、電圧Vbbを供給する電圧源)とが接続される。ドーパント拡散防止副層521-dを設けた場合には、P副層522及びピラー290を形成する前に、短時間の異方性エッチングによってトレンチ545の底部で層521-dをエッチング除去することが必要となる。これにより、Pドープされたピラー290と、基板201からバックバイアスVbb及び消去電圧Veraseを提供するP回路(例えば、図5Bの回路262-0)とが直接的に接続される。ピラー290は、実施形態EMB-1Aの(次のステップでの)ピラー間に32、64、128、またはそれ以上の垂直ローカルワード線208Wの形成(図5F参照)に対応するために、各アクティブストリップの長さに沿って互いに所定の間隔を隔てて設けられる(この間隔は、第2のトレンチ545の間隔によって設定される)。 At the same time, P - doped polysilicon is deposited along the vertical walls of the second trenches 545, forming pillars 290 (not shown in FIG. 5E, but shown as pillars 290 in FIG. 5F) extending from the top plane to the bottom plane. form). In the bottom plane, pillars 290 are connected to circuitry within substrate 201 (eg, a voltage source providing voltage Vbb ). If a dopant diffusion barrier sublayer 521-d is provided, layer 521-d is etched away at the bottom of trench 545 with a short anisotropic etch prior to forming P sublayer 522 and pillar 290. is required. This provides a direct connection between the P - doped pillar 290 and the P - circuit (eg, circuit 262-0 of FIG. 5B) that provides the back bias V bb and erase voltage V erase from the substrate 201. FIG. The pillars 290 are arranged in each active row to accommodate the formation of 32, 64, 128, or more vertical local word lines 208W between pillars (see FIG. 5F) of embodiment EMB-1A (in the next step). They are spaced apart from each other along the length of the strip (this spacing is set by the spacing of the second trenches 545).

ピラー290は、TFTのチャネル領域として機能する全てのアクティブ層のP副層222(例えば、P副層522-6R及び522-6L)を基板201内の回路に接続して、P副層222に適切なバックバイアス電圧を供給する。基板内の回路は、通常、半導体構造体500内の全てのアクティブストリップのTFTによって共有される。ピラー290は、読み出し動作中にはバックバイアス電圧Vbbを供給し、ブロック消去動作中には通常10V~20Vの高電圧Veraseを供給する。しかしながら、いくつかの実装形態(下記及び図6A~図6C参照)では、消去動作は、基板で生成された電圧を使用することなく達成することができる。この場合、P回路(例えば、P回路262-0)へのピラー290による接続は不要であり、ピラー290の垂直壁に沿った薄いポリシリコンはエッチング除去される(壁501B、501T、501R及び501Lによって画定されたキャビティ内のチャネル領域P副層522(例えば、図5EのP副層522-6R及び522-6L)をエッチング除去しないように注意されたい)。 Pillars 290 connect the P - sublayers 222 of all active layers (eg, P - sublayers 522-6R and 522-6L) that function as the channel regions of TFTs to circuitry in substrate 201 to provide P - sublayers. A suitable back bias voltage is applied to layer 222 . Circuitry within the substrate is typically shared by the TFTs of all active strips within semiconductor structure 500 . Pillar 290 provides a back bias voltage V bb during read operations and a high voltage V erase , typically 10V-20V, during block erase operations. However, in some implementations (see below and FIGS. 6A-6C), the erase operation can be accomplished without using a substrate-generated voltage. In this case, no connection by pillar 290 to the P - circuit (eg, P - circuit 262-0) is needed and the thin polysilicon along the vertical walls of pillar 290 is etched away (walls 501B, 501T, 501R). and 501L (be careful not to etch away the channel region P 2 sublayer 522 (eg, P 3 sublayers 522-6R and 522-6L in FIG. 5E) within the cavity defined by 501L).

次のステップでは、例えば、所定の間隔を隔てて配置されたピラー290が配置されている場所を除いて全てのアクティブストリップの側壁を露出させる高選択性異方性エッチング法を用いて、トレンチ530内に残っているSAC2材料を除去する。次に、電荷トラップ層231L及び231Rを、アクティブストリップの露出した側壁上に共形的に堆積させる。図5Fは、本発明の実施形態EMB-1AのX-Y平面における断面であり、適切なマスキング、エッチング及び堆積ステップの後に、Pドープピラー290、ローカルワード線280W及びプリチャージワード線208-CHGがアクティブ層502-7の互いに隣接するアクティブストリップに設けられた状態を示す。 In a next step, trenches 530 are etched using, for example, a highly selective anisotropic etch that exposes the sidewalls of all active strips except where the spaced apart pillars 290 are located. Remove any remaining SAC2 material. Charge trapping layers 231L and 231R are then conformally deposited on the exposed sidewalls of the active strips. FIG. 5F is a cross-section in the XY plane of embodiment EMB-1A of the present invention, showing P - doped pillars 290, local word lines 280W and precharge word lines 208-CHG after appropriate masking, etching and deposition steps. are provided on adjacent active strips of active layer 502-7.

残りのプロセスステップは、必要に応じて、上述した実施形態EMB-1、EMB-2及びEMB-3を形成するときの対応するステップに従う。電荷トラップ層531を形成する前に、任意選択の極薄ドーパント拡散防止層521-dの露出した側端部を短時間の等方性エッチングによって除去し、次いで、アクティブ層の露出した側壁の一方または両方に電荷トラップ層531を形成し、その後、両方の側端部に沿ってローカルワード線208Wを形成する(例えば、図5Fの実施形態EMB-1A)。あるいは、キャビティの露出した側縁部の極薄ドーパント拡散防止層521-dを酸化させて、P副層522上にトンネル誘電体層の一部または全部の厚さを形成し、それと同時に、N副層521及び523の露出した側端部上により厚いトンネル誘電体層を形成する。Nドープポリシリコンの酸化速度は窒化シリコンの酸化速度よりも大幅に速いので、より厚いトンネル誘電体層は、P副層522上のトンネル誘電体層よりも約1~5ナノメートル厚い。ファウラー・ノルドハイムトンネリング電流はトンネリング誘電体の厚さに指数関数的に依存するので、1ナノメートルの厚さのトンネル酸化物層でさえも、プログラム中のN領域から電荷トラップ層531への電荷トンネリングを大幅に妨げる。 The remaining process steps follow the corresponding steps in forming embodiments EMB-1, EMB-2 and EMB-3 described above, as appropriate. Prior to forming charge trapping layer 531, the exposed side edges of optional ultra-thin dopant diffusion barrier layer 521-d are removed by a short isotropic etch, and then one of the exposed sidewalls of the active layer is removed. Alternatively, form charge trapping layer 531 on both and then form local word line 208W along both side edges (eg, embodiment EMB-1A of FIG. 5F). Alternatively, the ultra-thin dopant diffusion barrier layer 521-d on the exposed side edges of the cavity is oxidized to form part or all the thickness of the tunnel dielectric layer on the P sublayer 522, while simultaneously A thicker tunnel dielectric layer is formed over the exposed side edges of the N + sublayers 521 and 523 . The thicker tunnel dielectric layer is about 1-5 nanometers thicker than the tunnel dielectric layer on the P sublayer 522 because the oxidation rate of N + doped polysilicon is much faster than that of silicon nitride. Since the Fowler-Nordheim tunneling current depends exponentially on the thickness of the tunneling dielectric, even a 1-nanometer thick tunnel oxide layer will result in a short path from the N + region to the charge trapping layer 531 during programming. Significantly impedes charge tunneling.

図5Gは、第2の選択肢のプロセスを使用して形成された実施形態EMB-3Aのアクティブ層502-6及び502-7のZ-X平面における断面図を示す。図5Gは、任意選択の極薄ドーパント拡散防止層521-dを形成し、TFT585、TR587のチャネル領域を形成する副層522に非ドープまたはPドープのポリシリコン、アモルファスシリコン、またはシリコンゲルマニウムを堆積した後の実施形態EMB-3Aを示す。また、チャネル材料もトレンチ545の側壁に堆積されて、TFTのチャネル領域(すなわちP副層522)を基板回路262に接続するためのピラー290を形成する。全てのアクティブ層に同時に形成されたP副層522は、チャネル長さLを提供する。互いに隣接するピラー290間のキャビティ537及びギャップ538は、より厚いPポリシリコンまたはシリコンゲルマニウムで完全に充填するか、部分的なエアギャップ絶縁として残すか、または誘電絶縁(例えば、二酸化シリコン)で充填することができる。実施形態EMB-3Aにおいてアクティブストリップ502-6及び502-7の側縁部を囲むピラー290は、同一のプレーン上の隣接するアクティブストリップ間の寄生容量結合を減少させるための望ましい電気的遮蔽を提供する。スタック内の互いに隣接するプレーン上のアクティブストリップ間の容量遮蔽は、絶縁層(例えば、絶縁層503-6及び503-7)内のISL材料を部分的または全体的にエッチングすることによって強化することができる(図5Gでは図示せず)。 FIG. 5G shows a cross-sectional view in the ZX plane of active layers 502-6 and 502-7 of embodiment EMB-3A formed using the second option process. FIG. 5G forms an optional ultra-thin dopant diffusion blocking layer 521-d and undoped or P - doped polysilicon, amorphous silicon, or silicon germanium in the sublayer 522 forming the channel regions of TFTs 585, TR587. Figure 3 shows embodiment EMB-3A after deposition. A channel material is also deposited on the sidewalls of trenches 545 to form pillars 290 for connecting the TFT's channel region (ie, P 3 sublayer 522 ) to substrate circuitry 262 . A P- sublayer 522 , formed simultaneously on all active layers, provides the channel length L. Cavities 537 and gaps 538 between adjacent pillars 290 can be completely filled with thicker P - polysilicon or silicon germanium, left as partial air gap insulation, or dielectric insulation (eg, silicon dioxide). can be filled. Pillars 290 surrounding the side edges of active strips 502-6 and 502-7 in embodiment EMB-3A provide desirable electrical shielding to reduce parasitic capacitive coupling between adjacent active strips on the same plane. do. Capacitive shielding between active strips on adjacent planes in the stack is enhanced by partially or fully etching the ISL material in the insulating layers (eg, insulating layers 503-6 and 503-7). (not shown in FIG. 5G).

第2の選択肢のプロセス、すなわち、P副層522の前に電荷トラップ層531を形成する場合は、アクティブ層間のISL材料を(SAC1材料の除去前に)エッチングして、電荷トラップ層531の裏側を露出させる。電荷トラップ層531の露出した裏側は、図5Gの領域532Xで示されるように、トンネル誘電体(通常はSiO)及び露出した電荷トラップ材料(通常はシリコンリッチ窒化シリコン)の一部または全部を除去することを可能にする。斜線領域532Xは、TFTチャネル上にトラップされた電子(すなわち、Lで示される領域)が、矢印577に沿ったシリコンリッチ窒化シリコン層の横方向ホッピング伝導を介して失われる可能性がある経路を遮断する。ISL材料及び露出した電荷トラップ材料を除去した後に領域532xに残ったキャビティは、副層522からSAC1材料を除去した後に、別の誘電体層で充填してもよいし、またはエアギャップとして残してもよい。ISL材料が部分的にのみ除去される実施形態では、ピラー290は、ISLのエッチングの結果として形成されたスペースを埋めて、TFTTR585のN副層523をTFTTR587のN副層521から部分的に絶縁する。実施形態EMB-1Aと同様に、アクティブ層内の全てのP副層522は、ピラー290を介して基板201内のP回路262-0に接続される。 For the second option process, i.e., forming the charge trapping layer 531 before the P sublayer 522, the ISL material between the active layers is etched (prior to the removal of the SAC1 material) to remove the charge trapping layer 531. expose the back side. The exposed backside of charge trapping layer 531 partially or fully covers the tunnel dielectric (typically SiO 2 ) and the exposed charge trapping material (typically silicon-rich silicon nitride), as indicated by region 532X in FIG. 5G. allow to remove. The hatched area 532X indicates the path by which electrons trapped on the TFT channel (ie, the area indicated by L) may be lost via lateral hopping conduction in the silicon-rich silicon nitride layer along arrow 577. Cut off. Cavities left in region 532x after removing the ISL material and exposed charge trapping material may be filled with another dielectric layer after removing the SAC1 material from sublayer 522, or left as air gaps. good too. In embodiments where the ISL material is only partially removed, the pillars 290 fill the spaces formed as a result of the etching of the ISL, replacing the N + sublayer 523 of TFT TR 585 with the N + sublayer of TFT TR 587. 521 is partially insulated. Similar to embodiment EMB-1A, all P sublayers 522 in the active layer are connected to P circuits 262 - 0 in substrate 201 via pillars 290 .

ドーパント拡散防止膜521-dは、P副層522の堆積前に全てのアクティブ層について単一の工程で形成することができる(図5G)。したがって、図2B-5の繰り返しプロセスを大幅に単純化することができる。しかしながら、P副層522の堆積は、プロセスのほぼ最後に行われるので、全ての高温アニールが既に行われた後に、極薄ドーパント拡散防止層521-dは省略してもよい。ピラー290による基板回路への接続が消去動作に必要とされない実施形態では、トレンチ530内のピラー290の垂直壁はエッチング除去され、キャビティ537を裏打ちするP副層522のみを残し(図5G)、かつ、全てのプレーンの隣接するアクティブストリップ間のエアギャップ絶縁としてトレンチ530を残す。 A dopant diffusion barrier 521-d can be formed in a single step for all active layers prior to the deposition of the P - sublayer 522 (Fig. 5G). Thus, the iterative process of Figures 2B-5 can be greatly simplified. However, since the deposition of the P - sublayer 522 occurs almost at the end of the process, the ultra-thin dopant diffusion barrier layer 521-d may be omitted after all high temperature anneals have already been performed. In embodiments where connection to substrate circuitry by pillars 290 is not required for erase operations, the vertical walls of pillars 290 within trenches 530 are etched away, leaving only the P sublayer 522 lining cavity 537 (FIG. 5G). and leave trenches 530 as air gap isolation between adjacent active strips in all planes.

ピラー290及び導体208Wは、各プレーンの隣接する薄膜トランジスタ間の寄生容量結合を抑制するための電気的遮蔽を提供する。図5Gから分かるように、ピラー290及びP副層522は、電荷トラップ材料531及びローカルワード線208Wの形成前または形成後に形成され得る。 Pillars 290 and conductors 208W provide electrical shielding to suppress parasitic capacitive coupling between adjacent thin film transistors in each plane. As can be seen in FIG. 5G, pillars 290 and P sublayer 522 can be formed before or after formation of charge trapping material 531 and local wordline 208W.

上記に提示したプロセスシーケンスは例であり、他のプロセスシーケンスまたはその派生形態もまた本発明の範囲内で使用され得ることを理解されたい。例えば、副層522が後で形成されるキャビティを形成するためにSAC1材料を完全に掘削する代わりに、別のアプローチでは、SAC1材料を制御された横方向エッチングで選択的にエッチングして、N副層523とN副層521との間の分離を物理的に支持するSAC1材料の細長い下向きのスパイン(spine)を残して、スタックの側縁部の一方または両方に凹部を形成する。そして、それと同時に、第1副層522のチャネル材料で全てのプレーンを充填し、次いで、チャネル材料をトレンチ530の側壁から除去する。これにより、SAC1材料の残ったスパインによって互いに分離された凹部内にP-副層522-0~522-7が存在することとなる。その後、電荷トラップ材料531及び導体208Wを形成するための次のプロセスステップが続く。これらのステップは、図5H-1~図5H-3に示されている。具体的には、図5H-1は、半導体構造500のZ-X平面における断面図であり、本発明の一実施形態による、N副層521及び522間の犠牲SAC1材料をエッチングする直前のアクティブストリップを示す。図5H-2は、本発明の一実施形態による、図5H-1の半導体構造500の断面図であり、SAC1材料から選択的支持スパイン(例えばスパインSAC1-a)を形成するために、SAC1材料を横方向に(符号537で示す方向に沿って)選択的にエッチングし、その後、凹部及びアクティブストリップの側壁に、Pドープチャネル材料(例えばポリシリコン)を充填した状態を示す。図5H-3は、本発明の一実施形態による、図5H-2の半導体構造500の断面図であり、凹部内にP副層522を残したまま、アクティブストリップの側壁に沿って領域525からP材料を除去した後の状態を示す。図5H-3はまた、トレンチ530からの絶縁材料を除去し、電荷トラップ層531及びローカルワード線208-Wを形成することにより、アクティブストリップの両側にトランジスタT583及びT585を形成した状態を示す。 It should be appreciated that the process sequences presented above are examples and that other process sequences or derivatives thereof may also be used within the scope of the present invention. For example, instead of completely excavating the SAC1 material to form a cavity in which the sublayer 522 is subsequently formed, another approach is to selectively etch the SAC1 material with a controlled lateral etch to form a N A recess is formed in one or both side edges of the stack, leaving an elongated downward spine of SAC1 material that physically supports the separation between the + sublayer 523 and the N + sublayer 521 . And, at the same time, the channel material of the first sublayer 522 fills all planes and then removes the channel material from the sidewalls of the trenches 530 . This results in the P- sublayers 522-0 through 522-7 being in recesses separated from one another by remaining spines of SAC1 material. This is followed by subsequent process steps to form charge trapping material 531 and conductor 208W. These steps are illustrated in Figures 5H-1 through 5H-3. Specifically, FIG. 5H-1 is a cross-sectional view in the ZX plane of semiconductor structure 500 just prior to etching the sacrificial SAC1 material between N + sublayers 521 and 522, according to one embodiment of the present invention. Indicates an active strip. FIG. 5H-2 is a cross-sectional view of the semiconductor structure 500 of FIG. 5H-1 in which SAC1 material is deposited to form selective support spines (eg, spine SAC1-a) from the SAC1 material, according to one embodiment of the present invention. is selectively etched laterally (along the direction indicated by reference numeral 537), after which the sidewalls of the recesses and active strips are filled with P - doped channel material (eg, polysilicon). FIG. 5H-3 is a cross-sectional view of the semiconductor structure 500 of FIG. 5H-2, showing regions 525 along the sidewalls of the active strip while leaving the P sublayer 522 in the recess, according to one embodiment of the present invention. 2 shows the state after removal of the P - material from . FIG. 5H-3 also formed transistors T L 583 and T R 585 on either side of the active strip by removing insulating material from trenches 530 and forming charge trapping layers 531 and local wordlines 208-W. Indicates status.

図5A、図5B及び図5Cにおいて、N副層521-0~521-7及び523-0~523-7は全て、別のプロセス下の単一の堆積ステップで形成することができる(「プロセスフローB」)。プロセスフローB下では、N副層521及び523の代わりに、第3の犠牲層(誘電材料SAC3、図示せず)を堆積させる。次いで、SAC1材料をエッチングして形成したキャビティにPポリシリコンを充填する方法と同様に、半導体構造体500内の全てのプレーンについて、SAC3材料をエッチング除去してキャビティを形成し、そのキャビティにNドープポリシリコンを同時に充填する。SAC3材料は、既に配置されているISL、SAC1及びSAC2材料に対して高いエッチング選択性を有するべきである。異方性エッチング(薄いポリシリコンストリンガを除去するために短時間の等方性エッチングで終了する)は、垂直方向に隣接するNソース副層及びNドレイン副層を短絡させる恐れがあるトレンチ530内のNポリシリコンを除去する。プロセスフローB下では、アクティブ層の全ての副層521及び523からのSAC3材料はキャビティと同時にエッチングされ、その後、Nポリシリコンで充填される。これにより、全てのN副層521及び523を、単一の高温急速アニール工程でアニールすることができる。アニール工程の後にのみ、SAC1材料をエッチングすることによりキャビティ537(図5E及び図5G)を形成し、次いで、形成されたキャビティをPポリシリコンで充填してP副層522を形成する。プロセスフローB下では、全てのアクティブ層502-0~502-7は好ましくは、図5Bの埋込コンタクト205-0、205-1の代わりに、半導体構造体500の頂部から「階段ビア」スキームによって基板回路206-0及び206-1に接続される。 In FIGS. 5A, 5B and 5C, the N + sublayers 521-0 to 521-7 and 523-0 to 523-7 can all be formed in a single deposition step under separate processes (" Process Flow B"). Under process flow B, instead of the N + sublayers 521 and 523, a third sacrificial layer (dielectric material SAC3, not shown) is deposited. Then, for all planes in semiconductor structure 500, the SAC3 material is etched away to form cavities, similar to the method of filling cavities formed by etching SAC1 material with P - polysilicon. Simultaneously fill with N + doped polysilicon. The SAC3 material should have a high etch selectivity relative to the already deposited ISL, SAC1 and SAC2 materials. An anisotropic etch (finished with a short isotropic etch to remove the thin polysilicon stringers) can short-circuit vertically adjacent N + source and N + drain sublayers in the trench. The N + polysilicon in 530 is removed. Under process flow B, the SAC3 material from all sublayers 521 and 523 of the active layer is etched simultaneously with the cavity and then filled with N + polysilicon. This allows all N + sublayers 521 and 523 to be annealed in a single high temperature rapid anneal step. Only after the annealing step is the SAC1 material etched to form cavities 537 (FIGS. 5E and 5G) and then filled with P polysilicon to form P sublayer 522 . Under process flow B, all active layers 502-0 to 502-7 are preferably formed from the top of semiconductor structure 500 in a "step via" scheme instead of buried contacts 205-0, 205-1 of FIG. 5B. are connected to the substrate circuits 206-0 and 206-1 by.

NORストリングにおけるソース-ドレインリークSource-drain leakage in NOR strings

長いNORストリングでは、読み出し動作中にアクセスされた1つのTFTの電流は、数千以上の並列の非選択TFTからの累積サブスレッショルドリーク電流と競合する必要がある。同様に、プリチャージされたストリップキャパシタCは、(DRAM回路の場合のように)1つのトランジスタの電荷リークだけでなく、NORストリング内の数千以上のトランジスタを介した電荷リークと競合する必要がある。この電荷リークは、キャパシタC上の電荷保持時間を例えば数百マイクロ秒まで大幅に減少させるので、以下に説明するように、このようなリークを低減または中和するための対策が必要となる。しかしながら、以下に説明するように、約千個のトランジスタのリーク電流は、読み出し動作中にしか発生しない。プログラム、プログラム禁止または消去の動作中には、ソース副層221及びビット線副層223は好ましいことに同一の電圧に保持されるため、この2つの副層間のトランジスタリークはわずかである(プログラム、プログラム禁止または消去の動作中のキャパシタCからの電荷リークは、主に基板選択回路を通じた基板へのリークであるが、基板選択回路は、トランジスタリークが非常に小さい単結晶またはエピタキシャルシリコンで形成されている)。読み出し動作では、ソース線及びビット線キャパシタにおける比較的短い100マイクロ秒の電荷保持時間でさえも、本発明のTFTの100ナノ秒以下の読み出し動作(下記参照)を完了するのに十分な時間である。本発明のNORストリングのTFTとDRAMセルとの重要な違いは、前者が不揮発性メモリトランジスタであるので、リフレッシュされない限り永久に失われるDRAMセルとは異なり、寄生キャパシタCが完全に放電されても、選択されたTFTに記憶された情報は電荷蓄積材料(すなわち、実施形態EMB-1、EMB-2及びEMB-3における電荷トラップ層231)から失われないことである。キャパシタCは、N副層221及び223のプリチャージ電圧を、電圧Vss、Vbl、Vprogram、Vinhibit、またはVeraseのうちの1つに一時的に保持するためだけに使用される。キャパシタCは、NORストリングの不揮発性TFTの実際データを格納するためには使用されない。ワード線151n(208-CHG)(図3A)によって制御されるプリチャージトランジスタ303は、接続270を介して基板回路(図示せず)から副層221のキャパシタCに電圧Vblを転送するために、読み出し、プログラム、プログラム禁止または消去の動作の直前に瞬間的にアクティブ化される。例えば、電圧Vblは、読み出し中にN副層221を仮想接地電圧の約0Vにプリチャージするために、またはプログラム禁止中にN副層221及び223の両方を約5V~約10Vにプリチャージするために、約0Vに設定される。累積キャパシタCは、アクティブストリングの各側縁部に沿って数千個のTFTを収容することができるようにアクティブストリングを長くすることによって増加させることができ、それに応じて、N副層221上のプリチャージ電圧Vssの保持時間は増加する。しかしながら、より長いNORストリングは、抵抗Rが増加するだけでなく、N副層221とN副層223との間のリーク電流が増加するという問題が生じる。このようなリーク電流は、アドレス指定された1つのTFTを読み出すときに、検出された電流と干渉する恐れがある。長いアクティブストリップのキャパシタンスCのプリチャージを高速化するために、いくつかのプリチャージTFT303が、アクティブストリップの両側に沿って所定の間隔を隔てて設けられる(例えば、128、256、またはそれ以上のTFTごとに1個)。 For long NOR strings, the current of one TFT accessed during a read operation must compete with the cumulative sub-threshold leakage current from thousands or more parallel unselected TFTs. Similarly, the precharged strip capacitor C has to contend not only with charge leakage through one transistor (as in a DRAM circuit), but through thousands or more of transistors in the NOR string. be. This charge leakage significantly reduces the charge retention time on capacitor C, for example, to several hundred microseconds, so measures are needed to reduce or neutralize such leakage, as explained below. However, as will be explained below, about a thousand transistor leakage currents only occur during read operations. During program, program inhibit or erase operations, source sublayer 221 and bitline sublayer 223 are preferably held at the same voltage so that transistor leakage between the two sublayers is insignificant (program, Charge leakage from capacitor C during program inhibit or erase operations is primarily leakage to the substrate through the substrate select circuit, which is formed of single crystal or epitaxial silicon with very low transistor leakage. ing). In a read operation, even a relatively short charge retention time of 100 microseconds in the source line and bit line capacitors is sufficient to complete the sub-100 nanosecond read operation (see below) of the TFTs of the present invention. be. An important difference between the TFT of the NOR string of the present invention and the DRAM cell is that the former is a non-volatile memory transistor, so even if the parasitic capacitor C is fully discharged, unlike a DRAM cell which is permanently lost unless refreshed. , the information stored in the selected TFT is not lost from the charge storage material (ie, charge trapping layer 231 in embodiments EMB-1, EMB-2 and EMB-3). Capacitor C is only used to temporarily hold the precharge voltage of N + sublayers 221 and 223 to one of the voltages Vss , Vbl, Vprogram, Vinhibit , or Verase . . Capacitor C is not used to store the actual data of the non-volatile TFTs of the NOR string. A precharge transistor 303 controlled by word line 151n (208-CHG) (FIG. 3A) is used to transfer the voltage Vbl from the substrate circuitry (not shown) via connection 270 to capacitor C of sublayer 221. , immediately prior to a read, program, program inhibit or erase operation. For example, the voltage V bl may be applied to precharge the N 2 + sublayer 221 to about 0 V, the virtual ground voltage, during read, or to both N 2 + sublayers 221 and 223 to about 5 V to about 10 V during program inhibit. It is set to about 0V for precharging. The cumulative capacitor C can be increased by lengthening the active string to accommodate thousands of TFTs along each side edge of the active string, and the N + sublayer 221 accordingly. The holding time of the upper precharge voltage Vss is increased. However, a longer NOR string suffers from increased leakage current between N + sublayer 221 and N + sublayer 223 as well as increased resistance R. Such leakage currents can interfere with the detected current when reading out one addressed TFT. In order to speed up the precharging of the capacitance C of a long active strip, several precharge TFTs 303 are provided along both sides of the active strip at predetermined intervals (e.g. 128, 256 or more). one per TFT).

高度にスケールされた短チャネルを有する不揮発性メモリTFTNonvolatile memory TFTs with highly scaled short channels

極薄拡散防止層521-dは、SAC1材料の厚さを減少させることによって、不揮発性メモリTFTにおける高度にスケールされたチャネル長さ(「超短チャネルTFT」、例えば、図5FのTFTTR585のチャネル長さL)を可能にする。例えば、高度にスケールされたチャネル長さは40ナノメートル以下であり得、P副層522のために適所に配置されたSAC1材料の厚さは20ナノメートル以下に減少され得る。TFTチャネル反転層を支持するのに十分であり、かつ、適切な制御ゲート電圧下でその深さの全体にわたって空乏化するのに十分に薄い、3~10ナノメートルの範囲の極めて薄いP副層522を有することによって、TFTチャネルのスケーリングは高められる。超短チャネルTFTのための読み出し動作は、P副層522が比較的高濃度にPドープされることを必要とする(例えば、1×1017/cm~1×1018/cmの間)。チャネル長さをより短くすると、より低いドレイン電圧でのより高い読み出し電流が可能になり、したがって、読み出し動作のための電力消費が減少する。高度にスケールされたチャネルは、アクティブ層の合計厚さが薄くなるというさらなる利点を有し、したがって、頂部アクティブ層から底部アクティブ層へのエッチングがより容易になる。超短チャネルTFTはまた、横方向電界によりアシストされた電荷ホッピング及びトンネル消去メカニズムによって消去することができ、これについては、図7を参照して後述する。 The ultra-thin anti-diffusion layer 521-d reduces the thickness of the SAC1 material to enable highly scaled channel lengths in non-volatile memory TFTs (“ultra-short channel TFTs”, such as TFT TR 585 in FIG. 5F). channel length L). For example, the highly scaled channel length can be 40 nanometers or less, and the thickness of the SAC1 material in place for the P 3 sublayer 522 can be reduced to 20 nanometers or less. An ultra-thin P - substrate in the range of 3-10 nanometers, thin enough to support the TFT channel inversion layer and thin enough to be depleted throughout its depth under a suitable control gate voltage. By having layer 522, scaling of the TFT channel is enhanced. A read operation for ultra-short channel TFTs requires that the P- sublayer 522 be relatively heavily P - doped (eg , 1×10 17 /cm 3 to 1×10 18 /cm 3 ). between). Shorter channel lengths allow higher read currents at lower drain voltages, thus reducing power consumption for read operations. A highly scaled channel has the additional advantage of reducing the total thickness of the active layers, thus making it easier to etch from the top active layer to the bottom active layer. Ultra-short channel TFTs can also be erased by a lateral electric field assisted charge hopping and tunneling erase mechanism, which is described below with reference to FIG.

本発明のNORストリングの例示的な動作は、以下に説明する。 An exemplary operation of the NOR string of the present invention is described below.

読み出し動作Read operation

アクティブストリップに沿った多数のTFTのうちの1つのTFTを読み出すために、アクティブストリップの両側のTFTは、最初は非導電状態すなわち「オフ」状態に設定され、これにより、選択されたブロック内の全てのグローバルワード線及びローカルワード線は0ボルトに保持される。図3Aに示すように、アドレス指定されたNORストリング(例えば、NORストリング202-1)は、基板201内の復号化回路を介していくつかのNORストリングの間でセンシング回路を共有するか、または、各NORストリングを専用検知回路に直接接続されており、これにより、同一のプレーンを共有する他の多数のアドレス指定されたNORストリングを並行してセンシングすることができる。アドレス指定されたNORストリングの各々は、そのソース線(すなわち、N副層221)が、最初はVss約0Vに設定されている(この説明を簡単にするために、図3A~図3Cの例では、N副層221はソース線221と称し、N副層223はビット線またはドレイン線223と称する)。配線ソース接続を用いる実施形態では、電圧Vssは、配線接続280を介して基板201からソース線221に供給される。図3Bは、配線接続された電源電圧Vssを有するNORストリングについての、一般的な読み出しサイクルを示す。最初は、全てのワード線は0Vに保持され、ソース線221の電圧は接続280を介して0Vに保持される。次いで、ビット線223上の電圧は、接続270を介して基板から供給され、そして、センスアンプ(VSA)への入力での電圧であるVb1(約0.5V~2V)まで引き上げる。ビット線223の電圧をVblに引き上げた後、選択されたワード線(ワード線151a;「WL-sel」と符号が付されている)の電圧は上昇し(図3Bにインクリメンタルステップ電圧として示される)、他の全ての非選択ワード線(ワード線151b;「WL-nsel」と符号が付されている)は、「オフ」状態(0V)のままに維持される。選択されたゲート電極上の電圧が、選択されたTFT(例えば、ストリップ202-1上のトランジスタ152-1)にプログラムされた閾値電圧を超えた場合には、それは導電を開始し、これにより、アドレス指定されたストリング202-1に接続されたセンスアンプによって検出される電圧Vblの放電を開始する(図3BのイベントA)。 To read out one TFT out of many TFTs along the active strip, the TFTs on both sides of the active strip are initially set to a non-conducting or "off" state, thereby allowing a All global and local wordlines are held at 0 volts. As shown in FIG. 3A, an addressed NOR string (eg, NOR string 202-1) may share sensing circuitry among several NOR strings via decoding circuitry in substrate 201, or , each NOR string is directly connected to a dedicated sensing circuit, which allows parallel sensing of many other addressed NOR strings sharing the same plane. Each addressed NOR string has its source line (ie, N + sublayer 221) initially set to Vss about 0V (for simplicity of this description, see FIGS. 3A-3C). example, N + sublayer 221 is referred to as source line 221 and N + sublayer 223 is referred to as bit line or drain line 223). In embodiments using a hardwired source connection, voltage V ss is supplied from substrate 201 to source line 221 via hardwired connection 280 . FIG. 3B shows a typical read cycle for a NOR string with hard-wired supply voltage Vss . Initially, all word lines are held at 0V and the voltage on source line 221 is held at 0V via connection 280 . The voltage on bit line 223 is then supplied from the substrate via connection 270 and pulled up to V b1 (approximately 0.5V to 2V), which is the voltage at the input to the sense amplifier (VSA). After raising the voltage on bit line 223 to Vbl , the voltage on the selected word line (word line 151a; labeled "WL-sel") increases (shown as an incremental step voltage in FIG. 3B). ), all other unselected word lines (word line 151b; labeled "WL-nsel") remain in the "off" state (0V). When the voltage on the selected gate electrode exceeds the threshold voltage programmed into the selected TFT (eg, transistor 152-1 on strip 202-1), it begins to conduct, thereby Begin discharging the voltage Vbl sensed by the sense amplifiers connected to the addressed string 202-1 (event A in FIG. 3B).

寄生累積キャパシタンスC(すなわち、図3Aの各NORストリングにおいて360と符号が付された全てのキャパシタの総キャパシタンス)を「仮想Vss」電圧にプリチャージする実施形態EMB-1、EMB-2及びEMB-3では、プリチャージTFT303(図3B)は、NORストリングのソース線221及びビット線またはドレイン線223を共有する(プリチャージTFT303はメモリTFTと同一の構造を有することができるが、メモリトランジスタとしては使用されず、プリチャージパルス中により大きな電流を供給するためにより幅広いチャネルを有し得る)。また、プリチャージTFT303のドレイン線223は、接続部270を介して基板201内のビット線電圧Vblに接続される。一般的なプリチャージ/読み出しサイクル(図3C参照)では、Vblは、最初は0Vに設定されている。TFT303のプリチャージワード線208-CHGの電圧を瞬間的に約3Vに引き上げて、ビット線223からソース線221にVb1約0Vを転送し、これにより、ソース線221上に「仮想Vss」電圧約0Vを確立する。プリチャージパルスの後、ビット線(すなわち、N副層223)は、ビット線接続270を介してVbl約2Vに設定される。Vbl電圧は、アドレス指定されたNORストリングに対するセンスアンプにおけるセンス電圧でもある。ブロック内の他の全てのグローバルワード線及びそれらのローカルワード線をそれらの「オフ」状態(0V)に保持したたまで、消去されたVth電圧とプログラムされたVth電圧との間により大きな動作ウィンドウが望まれる場合には、1つの選択されたグローバルワード線及びそれに関連する全ての垂直ローカルワード線151a(「WL-sel」と符号が付されている)(すなわち、図1A-2のスライス114)の電圧は、0Vから通常3V-4V(図3Dではステップ電圧として示されている)またはそれ以上に引き上げられる。選択されたTFTが消去状態にある場合(すなわち、Vth=Verase約1ボルト)、そのワード線電圧が約1Vを超えて上昇すると、ビット線電圧Vblはソース電圧Vssに向かって放電を開始する。選択されたTFTがVth約2Vにプログラムされている場合、そのワード線が約2Vを超えて上昇したときにのみ、ビット線電圧は放電を開始する。ビット線223に蓄積されていた電荷が選択されたTFTを介してソース線221の電圧Vssに向かって放電を開始すると、電圧Vblの電圧ディップ(図3CのイベントB)がセンスアンプで検出される。NORストリング内の全ての非選択ワード線151b(「WL-nsel」と符号が付されている)は、たとえそれらの各々がN副層223とN副層221との間の閾値下リーク電流に寄与し得るとしても、0Vでは「オフ」である。したがって、このリーク電流がNORストリングのキャパシタC上のVss電荷を深刻に劣化し始める前に、読み出し動作がプリチャージパルスに密接に従うことが重要である。プリチャージフェーズは、一般的に、N副層221及び223の分布キャパシタンスC及び分布抵抗Rの大きさ、並びにプリチャージTFT303を介して供給されるプリチャージ電流に応じて、1ナノ秒~10ナノ秒の間の持続時間を有する。NORストリングに沿ったいくつかのメモリTFTを使用してプリチャージトランジスタとして一時的に機能させて、プリチャージTFT303を通る電流を増大させることにより、プリチャージを高速化することができる。ただし、プリチャージパルス中に、ゲート電圧が、プログラムされた閾値電圧の阻害状態を引き起こす程度まで高くならないように注意する必要がある。 Embodiments EMB-1, EMB-2 and EMB that precharge the parasitic cumulative capacitance C (ie, the total capacitance of all capacitors labeled 360 in each NOR string in FIG. 3A) to the “virtual V ss ” voltage. At −3, the precharge TFT 303 (FIG. 3B) shares the source line 221 and the bit or drain line 223 of the NOR string (the precharge TFT 303 can have the same structure as the memory TFT, but as a memory transistor). is not used and may have a wider channel to supply more current during the precharge pulse). Also, the drain line 223 of the precharge TFT 303 is connected to the bit line voltage Vbl in the substrate 201 via the connection 270 . In a typical precharge/read cycle (see FIG. 3C), Vbl is initially set to 0V. The voltage on the precharge word line 208-CHG of TFT 303 is momentarily raised to about 3 V to transfer V b1 about 0 V from bit line 223 to source line 221, thereby creating a “virtual V ss ” on source line 221. Establish a voltage of about 0V. After the precharge pulse, the bitlines (ie, N + sublayer 223) are set to Vbl approximately 2V via bitline connections 270. FIG. The Vbl voltage is also the sense voltage at the sense amplifier for the addressed NOR string. While holding all other global wordlines in the block and their local wordlines in their "off" state (0V), there is a larger difference between the erased and programmed Vth voltages . If an operating window is desired, one selected global word line and all its associated vertical local word lines 151a (labeled "WL-sel") (ie, the The voltage on the slice 114) is raised from 0V to typically 3V-4V (shown as a step voltage in FIG. 3D) or more. If the selected TFT is in the erased state (i.e., V th =V erase about 1 volt), the bit line voltage V bl discharges toward the source voltage V ss when its word line voltage rises above about 1 V. to start. If the selected TFT is programmed to a V th of approximately 2V, the bitline voltage will begin to discharge only when its wordline rises above approximately 2V. When the charge accumulated in the bit line 223 begins to discharge toward the voltage Vss of the source line 221 through the selected TFT, a voltage dip in the voltage Vbl (event B in FIG. 3C) is detected by the sense amplifier. be. All the unselected word lines 151b (labeled “WL-nsel”) in the NOR string have sub-threshold leakage between the N 2 + sublayer 223 and the N 2 + sublayer 221, even though they each have It is "off" at 0V even though it can contribute current. Therefore, it is important that the read operation closely follows the precharge pulse before this leakage current begins to seriously degrade the V ss charge on capacitor C of the NOR string. The precharge phase typically lasts from 1 ns to 10 seconds, depending on the magnitude of the distributed capacitance C and distributed resistance R of the N + sublayers 221 and 223 and the precharge current supplied through the precharge TFT 303. Has a duration between nanoseconds. Precharging can be sped up by using some of the memory TFTs along the NOR string to temporarily act as precharge transistors to increase the current through the precharge TFTs 303 . However, care must be taken during the precharge pulse to ensure that the gate voltage does not rise to such an extent that it causes a disturbance of the programmed threshold voltage.

スライス114(図1A-2)内の全てのTFT152-0~152-3は、それらのローカルワード線151a(WL-sel)上の電圧が同一に上昇するので、単一の読み出し動作中に異なるプレーン上の異なるアクティブストリップ上のTFTを同時に(すなわち並行して)読み出すことができる(図1A-2)。ただし、異なるアクティブ層202-0~202-7上のアクティブストリップが、プリチャージTFT303を介してそれらの各基板回路からの読み出し動作が開始されるときに(個別にまたは同時に)全てプリチャージされ、かつ、異なるアクティブ層上のアクティブストリップが、個々の接続270を介して接続された専用のセンスアンプを有することが条件である。このスライス指向性の読み出し動作は、メモリブロック100内のプレーンの数に対応する係数によって読み出しバンド幅を増加させる。 All TFTs 152-0 through 152-3 within slice 114 (FIG. 1A-2) will have the same voltage rise on their local word line 151a (WL-sel), and thus will be different during a single read operation. TFTs on different active strips on a plane can be read out simultaneously (ie in parallel) (FIG. 1A-2). However, the active strips on the different active layers 202-0 to 202-7 are all precharged (individually or simultaneously) when a read operation from their respective substrate circuits is initiated via the precharge TFTs 303, And provided that active strips on different active layers have dedicated sense amplifiers connected via individual connections 270 . This slice-oriented read operation increases the read bandwidth by a factor that corresponds to the number of planes in memory block 100 .

マルチビット(MLC)、アーカイブ、及びアナログ薄膜トランジスタストリングMultibit (MLC), archival, and analog thin film transistor strings

MLCが使用される実施形態(すなわち、2以上のビット情報がTFTに記憶されているマルチレベルセル)では、NORストリング内のアドレス指定されたTFTは、いくつかの閾値電圧(例えば、2ビットのデータを表す4つの状態については、1V(消去状態の場合)、2V、3V、または4V)のうちのいずれかにプログラムすることができる。アドレス指定されたグローバルワード線及びそのローカルワード線は、選択されたTFTにおける導通が各センスアンプで検出されるまで、増分電圧ステップにおいて上昇させることができる。あるいは、単一のワード線電圧を印加することができ(例えば、約5V)、電圧Vblの放電率は、TFTに記憶された2つのバイナリビットの4つの電圧状態を表すいくつかのプログラム可能な基準電圧のそれぞれの放電率と比較することができる。このアプローチは、8つの状態(3ビットMLC TFTの場合)、16の状態、または一連の状態の格納に拡張することができ、これにより、アナログ記憶を効果的に提供する。プログラム可能な基準電圧は、異なるプレーン上のアクティブストリップ間の製造上のばらつきを最良にトラックするために、一般的には同一ブロック内の、好ましくは選択されたNORストリングと同一のプレーンに配置された基準NORストリングに記憶される。MLC用途では、プログラムされた状態の各々を検出するために、2以上のプログラム可能な基準NORストリングが提供され得る。例えば、2ビットMLCが使用される場合、3つの基準NORストリングが、中間プログラム可能閾値電圧の各々(上記の例では、1.5V、2.5V、3.5V)に対して1つずつ使用され得る。ブロック内の各プレーン上に何千ものアクティブなストリップが存在し得るので、プログラム可能な基準NORストリングは、例えば、ブロック内の8以上の全てのNORストリングの間で共有された1つのセットで繰り返すことができる。 In embodiments where MLCs are used (i.e., multi-level cells in which more than one bit of information is stored in the TFTs), the addressed TFTs in the NOR string will have several threshold voltages (e.g., two bits of The four states representing data can be programmed to either 1V (for the erased state), 2V, 3V, or 4V). The addressed global word line and its local word line can be raised in incremental voltage steps until conduction in the selected TFT is detected at each sense amplifier. Alternatively, a single word line voltage can be applied (eg, about 5V) and the discharge rate of voltage Vbl is some programmable representing the four voltage states of the two binary bits stored in the TFT. can be compared with the discharge rate of each of the reference voltages. This approach can be extended to store 8 states (for a 3-bit MLC TFT), 16 states, or a series of states, effectively providing analog storage. The programmable reference voltage is typically located in the same block, preferably in the same plane as the selected NOR strings, to best track manufacturing variations between active strips on different planes. stored in the reference NOR string. For MLC applications, two or more programmable reference NOR strings may be provided to detect each programmed state. For example, if a 2-bit MLC is used, three reference NOR strings are used, one for each of the intermediate programmable threshold voltages (1.5V, 2.5V, 3.5V in the example above). can be Since there can be thousands of active strips on each plane in the block, the programmable reference NOR string repeats in one set shared among all 8 or more NOR strings in the block, for example. be able to.

あるいは、基準NORストリングは、第1の閾値電圧(例えば、約1Vの消去電圧よりも若干高い約1.5V)にプログラムすることができ、これにより、基準NORストリングの仮想ソース電圧Vss(ソース線221)を約0Vから階段状または傾斜状の電圧でプリチャージし約4Vに上昇させるとともに、それに対応して、基準NORストリングビット線223上の電圧Vblを増加させてVss電圧よりも約0.5V高くなるようにすることによって、追加的な約2.5V及び約3.5Vの基準プログラム電圧レベルを達成することが可能となる。基準TFTに印加されるワード線電圧と、読み出されるメモリTFTに印加されるワード線電圧とは、同一のグローバルワード線によって駆動されるので、常に互いに同一である。各基準NORストリングは、ブロック内の全ての他のNORストリングから独立して、その個々のゲート-ソース間電圧に容易に設定することができるので、さまざまな基準電圧のこの「オンザフライ」設定が可能となる。 Alternatively, the reference NOR string can be programmed to a first threshold voltage (eg, approximately 1.5V, slightly higher than the erase voltage of approximately 1V), which results in a virtual source voltage V ss (source voltage) of the reference NOR string. line 221) is precharged with a stepped or ramped voltage from about 0V to about 4V, and the voltage Vbl on the reference NOR string bitline 223 is correspondingly increased to be higher than the Vss voltage. By going about 0.5V higher, additional reference program voltage levels of about 2.5V and about 3.5V can be achieved. The word line voltage applied to the reference TFT and the word line voltage applied to the read memory TFT are always the same as each other since they are driven by the same global word line. This "on-the-fly" setting of different reference voltages is possible because each reference NOR string can be easily set to its individual gate-to-source voltage independently of all other NOR strings in the block. becomes.

基準TFTを互いに異なる閾値電圧に実際にプログラムするのではなく、Vss電圧及びVbl電圧の調節により基準NORストリング上の基準電圧を容易に設定できることにより、NORストリングの各記憶TFTに一連の電圧の蓄積し、アナログ記憶を提供することが可能となる。一例として、記憶TFTを約2.2Vにプログラムする場合には、プログラム中に、基準NORストリングを2.2Vの目標閾値電圧に設定することができる。その後、読み出し中に、基準ストリングの電圧Vss及びVblは、約0Vから約4Vまで一挙に上昇し、基準TFTと記憶TFTとの両方のワード線の電圧は約4Vになる。上昇した基準電圧が2.2V未満である限り、基準TFTからの信号はプログラムされたメモリTFTの信号よりも強い。基準TFTが2.2Vを超えて上昇すると、基準TFTからの信号は記憶TFTからの信号よりも弱くなり、この結果、差動センスアンプからの出力信号の極性が反転し、プログラムされたTFTの記憶値として2.2Vを示す。 Rather than actually programming the reference TFTs to different threshold voltages, the ability to easily set the reference voltages on the reference NOR string by adjusting the V ss and V bl voltages allows a series of voltages to be applied to each storage TFT of the NOR string. can be accumulated to provide analog storage. As an example, if the storage TFT is to be programmed to about 2.2V, the reference NOR string can be set to a target threshold voltage of 2.2V during programming. Then, during readout, the reference string voltages V ss and V bl jump from about 0V to about 4V, and the word line voltages for both the reference and storage TFTs are about 4V. As long as the raised reference voltage is less than 2.2V, the signal from the reference TFT will be stronger than that of the programmed memory TFT. When the reference TFT rises above 2.2V, the signal from the reference TFT becomes weaker than the signal from the storage TFT, which inverts the polarity of the output signal from the differential sense amplifier and the programmed TFT. 2.2V is shown as a stored value.

本発明のNORストリングは、めったに変更されないデータのアーカイバルストレージ(保存用記憶装置)に使用することができる。アーカイバルストレージは、ビットあたりコストが可能な限り低いことが必要とされる。このため、本発明のNORストリングの選択されたアーカイバルブロックは、例えば、TFTごとに1.5、2、3、4またはそれ以上のビットを記憶するようにプログラムすることができる。例えば、TFTごとに4ビットを記憶するためには、約0.5Vから約4Vの間の16のプログラムされた電圧を必要とする。基準NORストリング内の対応するTFTは、記憶TFTを目標閾値にプログラムしながら、約0.5Vにプログラムすることができる。読み出し動作中、基準ストリングのソース電圧Vss及びドレイン電圧Vblは、センスアンプの出力極性が反転するまで、約0.25Vの増分で段階的に上昇させられる。センスアンプの出力極性の反転は、基準NORストリングからの信号が記憶TFTまたはプログラムされたTFTからの信号よりも弱くなったときに生じる。システムコントローラでの強力なECCは、長期保存中または大量の読み出し後にドリフトした中間プログラム状態を修正することができる。 The NOR string of the present invention can be used for archival storage of data that seldom changes. Archival storage is required to have the lowest possible cost per bit. Thus, selected archival blocks of the NOR string of the present invention can be programmed to store, for example, 1.5, 2, 3, 4 or more bits per TFT. For example, to store 4 bits per TFT requires 16 programmed voltages between about 0.5V and about 4V. The corresponding TFT in the reference NOR string can be programmed to about 0.5V while programming the storage TFT to the target threshold. During a read operation, the reference string source voltage V ss and drain voltage V bl are stepped up in approximately 0.25V increments until the output polarity of the sense amplifier reverses. Reversal of sense amplifier output polarity occurs when the signal from the reference NOR string becomes weaker than the signal from the storage or programmed TFT. Strong ECC in the system controller can correct drifted intermediate program states during long-term storage or after heavy reads.

NORストリングの全てのTFTがオフにされた場合でさえ、ブロック内のNORストリングに過度のソース-ドレインリークが生じる場合には、そのようなリークは、指定されたリーク基準ストリングによって実質的に中和することができる。指定されたリーク基準ストリングは、そのリークが同一のブロック内の非基準NORストリングのリーク電流と実質的に一致するまで、その共有されたソースVss及び共有ドレインVblの電圧を調節することによって基準ストリングのリーク電流が調節される。 If a NOR string within a block experiences excessive source-drain leakage even when all TFTs of the NOR string are turned off, such leakage is substantially moderated by the specified leakage reference string. can be reconciled. A given leakage reference string is adjusted by adjusting its shared source V ss and shared drain V bl voltages until its leakage substantially matches the leakage current of the non-reference NOR strings in the same block. Leakage current of the reference string is adjusted.

サイクル寿命を拡張するための基準NORストリングアドレス位置のリボルビングRevolving Reference NOR String Address Locations to Extend Cycle Life

多数の書き込み/消去動作を必要とする用途では、NORストリング内のTFTの動作の閾値電圧ウィンドウは、サイクリングとともにドリフトし、デバイスの寿命初期に基準NORストリングのTFTにプログラムされている閾値電圧ウィンドウから離れる恐れがある。時間の経過とともに、基準NORストリング上のTFTと、アドレス指定されたメモリNORストリング上のTFTとの間の不一致が増大するため、そのまま放置すると、基準NORストリングを有することの目的が損なわれる。このドリフトを克服するために、ブロック内の基準NORストリングは常に同一の物理アドレスである必要はなく、また、デバイスの寿命全体にわたって恒久的にプログラムされる必要もない。プログラム可能な基準NORストリングは、ブロック内の同一のプレーンを共有するメモリNORストリングと実質的に同一であるので、基準NORストリングは、いかなるメモリアレイブロックにおいてもその目的のために専用である必要はない。実際、メモリNORストリングのうちのいずれか1つを、プログラム可能な基準NORストリングとして確保しておくことができる。実際、プログラム可能な基準NORストリングの物理アドレス位置は、過剰なプログラム/消去サイクルの結果としてのメモリNORストリング及び基準NORストリングの性能劣化を平準化するために、メモリNORストリングの間で定期的にローテーションさせることができる(例えば、ブロックが消去される100回ごとに1回変更される)。 In applications requiring a large number of write/erase operations, the threshold voltage window for operation of the TFTs in the NOR string drifts with cycling from the threshold voltage window programmed into the TFTs of the reference NOR string early in the life of the device. is likely to leave. Left unchecked, it defeats the purpose of having a reference NOR string, as over time the mismatch between the TFTs on the reference NOR string and the TFTs on the addressed memory NOR string increases. To overcome this drift, the reference NOR strings within a block need not always be at the same physical address, nor be permanently programmed over the life of the device. Since the programmable reference NOR string is substantially identical to the memory NOR string sharing the same plane within the block, the reference NOR string need not be dedicated for that purpose in any memory array block. do not have. In fact, any one of the memory NOR strings can be reserved as a programmable reference NOR string. In practice, the physical address locations of the programmable reference NOR strings are changed periodically between the memory NOR strings to even out the performance degradation of the memory NOR strings and the reference NOR strings as a result of excessive program/erase cycles. It can be rotated (eg, changed once every 100 times the block is erased).

本発明によれば、任意のNORストリングを定期的にローテーションさせてプログラム可能な基準NORストリングとして指定し、そのアドレス位置をアドレス指定されたブロックの内側または外側に格納することができる。格納されたアドレスは、NORストリングを読み出すときにシステムコントローラによって検索することができる。このスキーム下では、基準NORストリングのローテーションは、任意のアクティブメモリNORストリング間で、ランダムに(例えば、乱数生成器を使用して新しいアドレスを指定して)または体系的に行うことができる。スライスまたはブロック上の全てのTFTが共に消去され、新たに指定された基準NORストリングのセットに新たに基準電圧を設定する場合、新たに指定された基準NORストリングのプログラムは消去シーケンスの一部として行うことができる。このようにして、ブロック内の全てのアクティブメモリNORストリング及び全ての基準NORストリングは、過剰なサイクリングを通じて、多かれ少なかれ統計的にドリフトする。 In accordance with the present invention, any NOR string can be periodically rotated and designated as a programmable reference NOR string, with its address location stored inside or outside the addressed block. The stored address can be retrieved by the system controller when reading the NOR string. Under this scheme, the rotation of the reference NOR strings can be done randomly (eg, using a random number generator to specify new addresses) or systematically among any active memory NOR strings. If all the TFTs on a slice or block are erased together and a new reference voltage is set for the newly designated set of reference NOR strings, then the newly designated reference NOR strings are programmed as part of the erase sequence. It can be carried out. In this way, all active memory NOR strings and all reference NOR strings within a block will statistically drift to a greater or lesser extent through excessive cycling.

プログラム可能な基準スライスProgrammable reference slice

本発明のいくつかの実施形態では、図6Aに示すように、ブロックは4つの等サイズの象限に分割することができる。図6Aは、半導体構造体600を示し、これは象限Q1~Q4に構成されたメモリアレイの3次元図である。各象限において、(i)多数のNORストリングの各々は、Y方向に沿って延びるアクティブストリップに形成されている(例えば、NORストリング112);(ii)ページはX方向に沿って延び(例えば、113ページ)、各ページは、対応するY位置の各NORストリングからの1つのTFTからなり、ページ内のNORストリングは対応するZ位置(すなわち、同一のアクティブ層)に存在する;(iii)スライスはX方向及びZ方向の両方向に延び(例えば、スライス114)、各スライスは、同一の対応するY位置のページからなり(各プレーンから1ページずつ);(iv)プレーンはX方向及びY方向の両方に沿って延びる(例えば、プレーン110)、各プレーンは所与のZ位置(すなわち、同一のアクティブ層)に存在する全てのページからなる。 In some embodiments of the invention, the block can be divided into four equally sized quadrants, as shown in FIG. 6A. FIG. 6A shows a semiconductor structure 600, which is a three-dimensional view of a memory array organized into quadrants Q1-Q4. In each quadrant, (i) each of a number of NOR strings is formed in an active strip extending along the Y direction (e.g. NOR string 112); (ii) a page extends along the X direction (e.g. 113), each page consists of one TFT from each NOR string at the corresponding Y location, and the NOR strings within the page are at the corresponding Z location (i.e., same active layer); (iii) slices extends in both the X and Z directions (e.g., slice 114), each slice consisting of pages at the same corresponding Y position (one page from each plane); (iv) planes in the X and Y directions (eg, plane 110), each plane consisting of all pages present at a given Z-position (ie, the same active layer).

図6Bは、図6Aの半導体構造体600を示し、象限Q4内のプログラム可能基準NORストリング112-Ref内のTFT、及び象限Q2内のNORストリング112内のTFTを示し、Q2及びQ4は「鏡像象限」である。図6Bはまた、(i)対応する基準TFTを鏡像象限Q1のスライス114に同様に提供し、かつ、センスアンプSA(b)を共有する、象限Q3のプログラム可能な基準スライス114-Ref(領域Bで示す)と、(ii)対応する基準TFTを鏡像象限Q1のプレーン110に提供し、センスアンプSA(c)を共有し、かつ、対応する基準TFTを同一の象限のNORストリング(例えば、NORストリング112)に提供する、プログラム可能な基準象限Q2のプレーン110-Refとを示す。 FIG. 6B shows the semiconductor structure 600 of FIG. 6A, showing the TFTs in programmable reference NOR string 112-Ref in quadrant Q4 and the TFTs in NOR string 112 in quadrant Q2, where Q2 and Q4 are "mirror images." quadrant”. FIG. 6B also shows (i) the programmable reference slice 114-Ref (Region B) and (ii) providing corresponding reference TFTs to plane 110 in mirror quadrant Q1, sharing sense amplifier SA(c), and providing corresponding reference TFTs to the same quadrant's NOR strings (e.g., Plane 110-Ref of the programmable reference quadrant Q2 that provides the NOR string 112) is shown.

図6Bに示すように、上述したような方法で、プログラム可能な基準NORストリング112Refを各象限に設けることにより、同一象限内の同一プレーン上のメモリNORストリングに基準電圧を供給することができる。あるいは、プログラム可能な基準スライス(例えば、基準スライス114Ref)が、対応するメモリスライスの鏡像象限に設けられる。例えば、象限Q1内のメモリスライスを読み出す場合、象限Q3内のプログラムされた基準スライス114Ref(領域B)が、象限Q1と象限Q3との間で共有されるセンスアンプ206に同時に提示される。同様に、象限Q3内のメモリスライスを読み出す場合、象限Q1の基準スライス114Ref(領域A)が、共有されたセンスアンプ206に提示される。読み出されるスライスとその基準スライスとの間のRC遅延の不一致に部分的に対応するために、NORストリング112の長さに沿って分配された2以上の基準スライスが存在し得る。あるいは、システムコントローラは、アドレス指定されたスライスのグローバルワード線と基準スライスのグローバルワード線との間の時間遅延を、それらの各NORストリングに沿ったそれらの各物理的位置に基づいて計算して適用することができる。プレーンの数が多い場合(例えば8以上のプレーン)、1以上のプレーンをブロックの頂部に追加することにより、象限内の冗長プレーンとして(すなわち、欠陥のあるプレーンを置換するために)、または、同一のグローバルワード線導体208g-aを共有するアドレス指定されたページに基準閾値電圧を提供するプログラム可能な基準ページとして機能させることができる。各NORストリングの端部のセンスアンプは、ブロックの頂部の基準ページから信号を受け取るのと同時に、アドレス指定されたページから読み出し信号を受け取る。両方のページは、同一のグローバルワード線によって活性化されるためである。 As shown in FIG. 6B, providing a programmable reference NOR string 112 Ref in each quadrant in the manner described above allows reference voltages to be supplied to memory NOR strings on the same plane in the same quadrant. Alternatively, a programmable reference slice (eg, reference slice 114Ref) is provided in the mirror image quadrant of the corresponding memory slice. For example, when reading a memory slice in quadrant Q1, the programmed reference slice 114Ref (region B) in quadrant Q3 is simultaneously presented to sense amplifier 206 shared between quadrants Q1 and Q3. Similarly, when reading a memory slice in quadrant Q 3 , the reference slice 114 Ref (region A) of quadrant Q 1 is presented to shared sense amplifier 206 . There may be more than one reference slice distributed along the length of NOR string 112 to partially accommodate the RC delay mismatch between the slice being read and its reference slice. Alternatively, the system controller calculates the time delay between the global wordlines of the addressed slice and the global wordlines of the reference slice based on their respective physical positions along their respective NOR strings. can be applied. If the number of planes is high (e.g. 8 or more planes), add one or more planes to the top of the block as redundant planes in a quadrant (i.e. to replace defective planes), or It can serve as a programmable reference page that provides a reference threshold voltage to addressed pages that share the same global wordline conductor 208g-a. The sense amplifiers at the end of each NOR string receive the read signal from the addressed page at the same time as they receive the signal from the reference page at the top of the block. This is because both pages are activated by the same global word line.

一実施形態では、各メモリブロックは2つのハーフ部分からなり、例えば、象限Q1及び象限Q2が「上側ハーフ部分」を構成し、象限Q3及び象限Q4は「下側ハーフ部分」を構成する。この例では、各象限は16個のプレーンを有し、各プラスチックは4096(4K)のNORストリングを有し、各NORストリングは1024(1K)のTFTを有する。1024である単位「K」を使用するのが通例である。互いに隣接する象限Q1及びQ2は、象限ごとに2048(2K)個のローカルワード線208Wを駆動する1K個のグローバルワード線(例えば、グローバルワード線208g-a)を共有する(すなわち、互いに隣接する2つのNORストリングからのTFTの各ペアに対して1本のローカルワード線)。象限Q1からの4KのTFTと象限Q2からの4KのTFTとにより、TFTの8Kビットのページが形成される。16ページにより128Kビットのスライスが形成され、1Kのスライスがハーフブロック内に提供され、これにより、ブロックごとに256Mビットの総ストレージが提供される(ここで、1Mビットは1K×1Kビットである)。象限Q2及び象Q4の各プレーン内の4Kストリングは、電圧Vb1のための電圧源及びセンスアンプ(SA)を含む基板回路206を共有する。また、各象限は、欠陥のあるNORストリングと置き換えるためのスペアとして、並びに、プログラム/消去サイクル数、象限欠陥マップ及び象限ECCなどの象限パラメータを記憶するために使用される冗長NORストリングも含む。このようなシステムデータは、システムコントローラによりアクセス可能である。プレーンの数が多いブロックの場合、欠陥のあるプレーンを交換するためのスペアとして、各ブロックに1以上のプレーンを追加することが望ましい。 In one embodiment, each memory block consists of two halves, eg, quadrants Q1 and Q2 constitute the "upper half" and quadrants Q3 and Q4 constitute the "lower half." In this example, each quadrant has 16 planes, each plastic has 4096 (4K) NOR strings, and each NOR string has 1024 (1K) TFTs. It is customary to use the unit "K" which is 1024. Quadrants Q1 and Q2 that are adjacent to each other share (ie, are adjacent to each other) 1K global wordlines (eg, global wordlines 208g-a) that drive 2048 (2K) local wordlines 208W per quadrant. One local word line for each pair of TFTs from two NOR strings). The 4K TFTs from quadrant Q1 and the 4K TFTs from quadrant Q2 form an 8K bit page of TFTs. The 16 pages form slices of 128Kbits, and 1K slices are provided in half-blocks, providing 256Mbits of total storage per block (where 1Mbits is 1K x 1Kbits). ). The 4K strings in each plane of quadrant Q2 and quadrant Q4 share a board circuit 206 that includes a voltage source for voltage Vb1 and a sense amplifier (SA). Each quadrant also contains a redundant NOR string that is used as a spare to replace a defective NOR string and for storing quadrant parameters such as program/erase cycle count, quadrant defect map and quadrant ECC. Such system data is accessible by the system controller. For blocks with a large number of planes, it is desirable to add one or more planes to each block as spares to replace defective planes.

プログラム可能な基準プレーン、スペアプレーンProgrammable reference plane, spare plane

本発明のNORストリングのアレイに基づく大容量記憶システムは、何百万ものメモリブロックを含む何千もの「チップ」に及ぶ、エラーフリー超並列消去、プログラム及びプログラム禁止、及び読み出し動作の十分な潜在能力を完全に制御するための専用のインテリジェント高速システムコントローラを必要とする。必要な高速を達成するために、オフチップシステムコントローラは、一般的には、状態機械またはメモリ回路に実装された専用の論理機能に依存している。同様に、各メモリ回路は、システムパラメータと、メモリ回路に格納されたファイルに関する情報とを格納している。このようなシステム情報は、一般的に、システムコントローラからはアクセス可能であるが、ユーザからはアクセスできない。システムコントローラがメモリ回路関連情報を迅速に読み出すことは有利である。TFTごとに1ビットが記憶されるバイナリメモリシステム(例えば、図6Aのブロック構成)の場合、ユーザがアクセス可能な各ブロック内の記憶容量は、「4象限」×「ブロックごとに16プレーン」×「象限ごとに1プレーンあたりの4K NORストリング」×「NORストリングごとに1K TFT」(256Mビットに相当する)で求められる。 A mass storage system based on the array of NOR strings of the present invention provides ample latency for error-free massively parallel erase, program and program inhibit, and read operations across thousands of "chips" containing millions of memory blocks. Requires a dedicated intelligent high-speed system controller for full control of capacity. To achieve the required high speed, off-chip system controllers typically rely on dedicated logic functions implemented in state machines or memory circuits. Similarly, each memory circuit stores system parameters and information about files stored in the memory circuit. Such system information is typically accessible by the system controller but not by the user. It is advantageous for the system controller to read memory circuit related information quickly. For a binary memory system in which one bit is stored per TFT (e.g., the block configuration of FIG. 6A), the user-accessible storage capacity within each block is "4 quadrants" x "16 planes per block" x "4K NOR string per plane per quadrant" x "1K TFT per NOR string" (equivalent to 256 Mbits).

この構成下のブロック(すなわち、256メガビット)は、2Kスライスを提供する。4Kブロックを含むことによって、テラビットメモリ回路を提供することができる。 A block under this configuration (ie, 256 megabits) provides 2K slices. By including 4K blocks, a terabit memory circuit can be provided.

図6A及び図6Bに示すように、象限Q2及び象限Q4内のTFTは、電圧源Vbl、センスアンプSA、データレジスタ、XORゲート、及び、基板回路206との間の入出力(I/O)端子を共有する。一構成によれば、図6Aは、NORストリング112、クォータープレーン110、ハーフスライス114、及びハーフページ113を示す。また、基板からバックバイアス電圧Vbbを供給するピラー290も示されている。図6Bは、基準ストリング112(Ref)、基準スライス114(Ref)及び基準プレーン110(Ref)の位置の例を示す。基準ストリングについては、象限Q4の基準ストリング112(Ref)は象限Q2の同一プレーン上のNORストリング112に対する基準ストリングとして機能することができ、この2つのNORストリングが回路206内の共有の差動センスアンプSAに提示される。同様に、象限Q1の基準スライス114Ref(領域A)は象限Q3のスライスの基準として機能することができ、象限Q1の基準スライスBは象限Q3のスライスの基準として機能することができ、この場合も、象限Q1と象限Q3との間に設けられた差動センスアンプSAを共有する。グローバルワード線208g-aは、ローカルワード線208W及びローカルプリチャージワード線208-CHGに接続されている。基板回路及び入力/出力チャネル206は、象限Q2及び象限Q4内のTFT間で共有される。この構成下では、上記の各構成要素の物理的位置により、NORストリング112の抵抗及びキャパシタンスを半分に削減することができる。同様に、グローバルワード線ドライバ262は、グローバルワード線の抵抗及びキャパシタンスを半分に削減するために象限Q1及び象限Q2間で共有され、ピラー290(任意選択)は、NORストリング112のP副層を基板電圧に接続する。 As shown in FIGS. 6A and 6B, the TFTs in quadrant Q2 and quadrant Q4 are voltage source V bl , sense amplifier SA, data registers, XOR gates, and input/output (I/O) signals to and from substrate circuit 206 . ) share the terminal. According to one configuration, FIG. 6A shows NOR string 112, quarter plane 110, half slice 114, and half page 113. FIG. Also shown is a pillar 290 that provides a back bias voltage Vbb from the substrate. FIG. 6B shows an example of the locations of reference strings 112 (Ref), reference slices 114 (Ref), and reference planes 110 (Ref). As for the reference strings, the reference string 112 (Ref) in quadrant Q4 can serve as the reference string for the NOR string 112 on the same plane in quadrant Q2, the two NOR strings providing a shared differential sense in circuit 206. Presented to Amplifier SA. Similarly, quadrant Q1 reference slice 114 Ref (region A) can serve as a reference for quadrant Q3 slices, and quadrant Q1 reference slice B can serve as a reference for quadrant Q3 slices, again. , share the differential sense amplifier SA provided between the quadrants Q1 and Q3. Global word lines 208g-a are connected to local word lines 208W and local precharge word lines 208-CHG. Substrate circuitry and input/output channels 206 are shared between the TFTs in quadrant Q2 and quadrant Q4. Under this configuration, the resistance and capacitance of NOR string 112 can be cut in half due to the physical location of the above components. Similarly, global wordline driver 262 is shared between quadrant Q1 and quadrant Q2 to cut global wordline resistance and capacitance in half, and pillar 290 (optional) is the P sublayer of NOR string 112 . to the board voltage.

集積回路上のシリコンのリアルエステートはコストがかかるので、各プレーンに基準ストリングまたは基準ページを追加することよりも、1以上の追加のプレーンにいくつかまたは全ての基準ストリングまたは基準ページを設けることが有利であり得る。追加の1以上のプレーンが、最小限の追加のシリコンのリアルエステート及び基準プレーンを消費することは、グローバルワード線208g-aは、それが同一象限内のアクティブストリングに沿った同一アドレス位置のいずれかのプレーン上のアドレス指定ページにアクセスすると同時に、基準ページにアクセスするという利点を有する。例えば、図6Bでは、象限Q2において破線として示されている基準ストリング112Refは、この例では基準プレーン110Ref内に存在する。NORストリング112Refは、同一象限内の読み出し用に選択されたメモリNORストリング112をトラックし、この2つのNORストリングからの読み出し信号は、その象限用の差動センスアンプSAに実質的に同時に入力される。図6Bでは、基準プレーン110Refが頂部プレーンに設けられているように示されているが、象限内の任意のプレーンを基準プレーンとして指定することができる。実際、基準プレーン上の全てのNORストリングが基準ストリングである必要はない。例えば、8つのNORストリングごとに1つのNORストリングを、他のプレーン内の8つのNORストリングによって共有される基準NORストリングとして指定することもできる。基準プレーン内の残りのNORストリングは、ブロック内の他のプレーン上の欠陥ストリングと置き換えるためのスペアのストリングとして機能することができる。 Because of the cost of silicon real estate on integrated circuits, it is possible to provide some or all of the reference strings or reference pages in one or more additional planes rather than adding reference strings or reference pages to each plane. can be advantageous. The additional one or more planes consumes minimal additional silicon real estate and reference planes so that the global word line 208g-a can be located at any of the same address locations along the active strings in the same quadrant. It has the advantage of accessing the reference page at the same time as accessing the addressed page on that plane. For example, in FIG. 6B, reference string 112Ref, shown as a dashed line in quadrant Q2, lies within reference plane 110Ref in this example. The NOR string 112Ref tracks the memory NOR string 112 selected for reading in the same quadrant, and the read signals from the two NOR strings are input substantially simultaneously to the differential sense amplifier SA for that quadrant. be. Although FIG. 6B shows the reference plane 110Ref as being located in the top plane, any plane within a quadrant can be designated as the reference plane. In fact, not all NOR strings on the reference plane need be reference strings. For example, one NOR string out of eight NOR strings may be designated as a reference NOR string shared by eight NOR strings in other planes. The remaining NOR strings in the reference plane can serve as spare strings to replace defective strings on other planes in the block.

あるいは、1以上の追加のプレーン(例えば、図6Cのプレーン117)を、同一象限内の欠陥のあるNORストリング、欠陥のあるページ、または欠陥のあるプレーンと置き換えるためのスペアのメモリリソースとして機能するように確保しておくこともできる。 Alternatively, one or more additional planes (eg, plane 117 in FIG. 6C) serve as spare memory resources to replace defective NOR strings, defective pages, or defective planes in the same quadrant. You can also ensure that

電気的にプログラム可能な基準ストリング、スライス、ページまたはプレーンに関しては、指定された閾値電圧状態に設定した後は、不注意によるプログラムまたはプログラム中の消去、非基準ストリングの消去または読み出しを阻止するよう常に注意しなければならない。 For electrically programmable reference strings, slices, pages or planes, once set to the specified threshold voltage state, it is designed to prevent inadvertent programming or erasing during programming, erasing or reading of non-reference strings. Always be careful.

1ペタバイト(8×1015ビット)の非常に大きな記憶システムは、32Mブロックまたは64Gスライスを含む8000個の1テラビットメモリ回路(「チップ」)を必要とする(1ギガビットは1K×1メガビットである)。これにより、大量のデータが書き込まれる(すなわちプログラムされる)または読み出される。したがって、多数のチップ上の非常に多数のブロック、スライス、またはページを、同時にプログラム及び読み出すことができ、かつ、そのことをシステムレベルで最小限の電力消費で行うことができると有利である。また、テラビット容量のメモリチップが、要求されたデータを多数のブロックに対して並列に入出力することができるように、多数の入出力チャネルを有することも有利である。所与の記憶されたファイルまたはデータセットの最新バージョンの物理的位置を見つけ出すためには、論理アドレスを最新の物理アドレスに変換するなど、システムコントローラを維持するのにかなりの時間を要する。論理アドレスから物理アドレスへの変換は、例えば、正しいチップ上の正しいブロック内の適切なスライスにアクセスするための大きな集中型ルックアップFAT(ファイル割り当てテーブル)を必要とする。このような検索は、(例えば、50ナノ秒~100マイクロ秒の範囲の)かなりの読み出しレイテンシを追加する恐れがあり、これは、高速読み出しアクセス目標(例えば、100ナノ秒未満)の妨げとなる。したがって、本発明の一態様は、以下に説明するように、集中型の大規模FATに関連する読み出しレイテンシを飛躍的に短縮するために、システム全体の並列オンチップ高速ファイル検索を導入することによって検索時間を大幅に短縮する。 A very large storage system of 1 Petabyte (8 x 10 15 bits) would require 8000 1 Terabit memory circuits ("chips") containing 32M blocks or 64G slices (1 Gigabit is 1K x 1 Megabit). ). This allows large amounts of data to be written (ie programmed) or read. Therefore, it would be advantageous to be able to program and read a large number of blocks, slices, or pages on a large number of chips simultaneously, and to do so at the system level with minimal power consumption. It is also advantageous for a terabit capacity memory chip to have multiple I/O channels so that requested data can be input and output to multiple blocks in parallel. Finding the physical location of the latest version of a given stored file or data set takes a significant amount of time to maintain the system controller, such as translating logical addresses to the latest physical addresses. Translation from logical to physical addresses, for example, requires a large centralized lookup FAT (File Allocation Table) to access the appropriate slice in the correct block on the correct chip. Such searches can add significant read latency (eg, in the range of 50 ns to 100 microseconds), which interferes with fast read access goals (eg, less than 100 ns). . Accordingly, one aspect of the present invention is to dramatically reduce the read latency associated with centralized large FATs by introducing system-wide parallel on-chip fast file searches, as described below. Significantly reduce search time.

高速読み出し:パイプラインストリーミングとランダムアクセスFast reads: pipelined streaming and random access

本発明の新規のマルチチップ記憶システムのシステム開始時は、全てのチップが消去され、基準ストリング、基準スライスまたは基準プレーンがそれらの基準状態にプログラムされる。システムコントローラは、センスアンプ及び電圧源206に物理的に最も近いメモリスライス(例えば、図6Cのスライス116)をキャッシュストレージとして指定する。各NORストリングの長さに沿ったRC遅延のために、基板回路206に物理的に最も近い各ストリング内のTFTは、基板回路206から最も遠いTFTよりも数ナノ秒早く確立された電圧Vblを有することとなる。例えば、各象限内の1Kのスライスのうちの最初の~50のスライス(図6Cにスライス116として示す)は最短の読み出しレイテンシを有し、象限動作パラメータ、並びに、その象限に格納されたファイルまたはデータセットに関する情報を格納するために使用されるキャッシュメモリまたはストレージとして指定することができる。例えば、上側ハーフブロック(すなわち、象限Q1及びQ2)に書き込まれる各メモリページ(2×4Kビット)またはスライス(2×4Kビット×16=128Kビット)は、システムコントローラによってそれに割り当てられた固有の識別子番号、並びに、格納されたファイルの種類を識別するインデックス番号を有することができる。 At system startup of the novel multi-chip storage system of the present invention, all chips are erased and the reference strings, reference slices or reference planes are programmed to their reference state. The system controller designates the memory slice physically closest to the sense amplifiers and voltage sources 206 (eg, slice 116 in FIG. 6C) as cache storage. Due to the RC delay along the length of each NOR string, the TFT in each string physically closest to the substrate circuit 206 will have voltage Vbl established several nanoseconds earlier than the TFT furthest from the substrate circuit 206. will have For example, the first ˜50 slices of the 1K slices in each quadrant (shown as slice 116 in FIG. 6C) have the shortest read latency, and the quadrant operating parameters as well as the files or files stored in that quadrant. Can be designated as cache memory or storage used to store information about datasets. For example, each memory page (2 x 4 Kbits) or slice (2 x 4 Kbits x 16 = 128 Kbits) written to the upper half-block (i.e., quadrants Q1 and Q2) has a unique identifier assigned to it by the system controller. number, as well as an index number that identifies the type of file stored.

キャッシュストレージは、ファイル管理データなどのオンチップリソース管理データを格納するために使用され得る。ファイルは、例えば、「ホットファイル」(すなわち、多数のアクセス、または「高サイクルカウント」に関連する)、「コールドファイル」(すなわち、長期間変更されていない。そして、将来に、より低速の記憶装置またはアーカイバルなメモリに移動させる準備ができている)、「バックグラウンドモードで将来にファイルを消去する準備ができている」、「削除ファイル」(すなわち、スキップオーバされる)、または、「代替ファイル」(すなわち、欠陥のあるファイルと置き換える)として識別することができる。識別子には、その識別子に関連するファイルが象限に書き込まれた最後の日時を表すタイムスタンプも含まれ得る。通常32ビット~128ビットの長さのこのような固有の識別子を、同一のハーフブロック内の他のメモリスライスへのファイル自体の書き込みの一部として、1以上のキャッシュスライスに書き込むことができる。ファイルは使用可能な消去済みスペースに順次書き込まれ、メモリに書き込まれた新しいファイルごとに前の固有の識別子を1つずつ増やすことによっての識別子を割り当てることができる。所望に応じて、新しいファイルをスライスの一部に書き込み、スライスの書き込まれていない部分を次のファイルの一部または全体の書き込みに使用することにより、記憶領域を無駄にすることを回避できる。システムの全メモリ空間が使用されるまで連続して書き込むことは、システム全体のTFTの消耗を平準化するのに役立つ。他のオンチップリソース管理データには、チップ、ブロック、プレーン、スライス、ページ及びストリングパラメータ、欠陥ストリング及びそれらの置換ストリングのアドレス位置、欠陥ページ、欠陥プレーン、欠陥スライス及び欠陥ブロック並びにそれらの代替置換、ブロック内に存在する全てのファイルについてのファイル識別子、使用できないメモリをスキップオーバするためのルックアップテーブルとリンクリスト、ブロック消去サイクルカウント、最適な電圧・パルス形状・消去時間、プログラム、プログラム禁止、プログラムスクラブ、読み出し、マージン読み出し、読み出しリフレッシュ、読み出しスクラブ動作、エラー訂正コード、データ回復モード、並びに、他のシステムパラメータが含まれ得る。 Cache storage may be used to store on-chip resource management data such as file management data. Files are e.g. "hot files" (i.e. associated with a large number of accesses, or "high cycle counts"), "cold files" (i.e. not modified for a long period of time, and in the future may be stored more slowly). ready to be moved to device or archival memory); It can be identified as a "replacement file" (ie, to replace the defective file). The identifier may also include a timestamp representing the last time the file associated with that identifier was written to the quadrant. Such a unique identifier, typically 32-bits to 128-bits long, can be written to one or more cache slices as part of writing the file itself to other memory slices within the same half-block. Files are written sequentially to the available erased space, and each new file written to memory can be assigned an identifier by incrementing the previous unique identifier. If desired, a new file can be written to part of a slice, and the unwritten part of the slice can be used to write part or all of the next file to avoid wasting storage space. Writing continuously until the entire memory space of the system is used helps to even out the consumption of TFTs across the system. Other on-chip resource management data include chip, block, plane, slice, page and string parameters, address locations of defective strings and their replacement strings, defective pages, defective planes, defective slices and defective blocks and their alternate replacements. , file identifiers for all files present in the block, lookup tables and linked lists for skipping over unusable memory, block erase cycle count, optimal voltage/pulse shape/erase time, program, program inhibit, Program scrub, read, margin read, read refresh, read scrub operation, error correction code, data recovery mode, as well as other system parameters may be included.

ブロックレベルでの各チップのモジュール性、並びに、プログラム及び消去のためのファウラー・ノルドハイムトンネリングに伴う低電力動作のために、いくつかのブロックの消去、いくつかの他のブロックでのプログラム、及び残りのブロックの1以上の読み出しを同時に実行するようにチップを設計することが可能である。システムコントローラは、バックグラウンドモードで動作するために、その動作の並列処理をブロックレベルで使用することができる。例えば、システムコントローラは、いくつかのブロックまたはチップ全体を削除(すなわち、スペースを空けるために消去)し、断片化ファイルを統合ファイルにデフラグメントし、かつ、所定時間を超えて非アクティブであったファイル、ブロックまたはチップをより低速のまたはアーカイバルな記憶装置、あるいは日時が近いタイムスタンプを有するファイルをグループ化させるチップに移動させると共に、最新のタイムスタンプによって元のファイル識別子を次に利用可能な物理ブロックのキャッシュ記憶装置116に書き換えることができる。 For modularity of each chip at the block level and low power operation with Fowler-Nordheim tunneling for programming and erasing, erasing some blocks, programming in some other blocks, and It is possible to design the chip to perform one or more reads of the remaining blocks simultaneously. The system controller can use parallelism of its operations at the block level to operate in background mode. For example, the system controller may delete (i.e., erase to free up space) some blocks or entire chips, defragment fragmented files into consolidated files, and have been inactive for more than a predetermined amount of time. Moving files, blocks or chips to slower or archival storage, or chips that group files with timestamps that are close in date and time, and keeping the original file identifier with the latest timestamp next available It is possible to rewrite the physical block cache storage device 116 .

ペタバイトストレージシステム内の何百万ものファイルの中から1つファイルの最新バージョンの位置の高速な検索を促進するためには、システムコントローラが迅速にアクセスできるように、各ファイルが物理的に再配置された場所にそのファイルの固有の識別子を割り当てることが重要である。本発明の一実施形態によれば、システムコントローラは、検索するファイルの固有の識別子(すなわち、32~128ビットワード)をシステム内のいくつかのまたは全てのチップに対して同時にブロードキャストする。各チップは、その識別子を一時的に記憶するためのバッファメモリを備えており、オンチップ排他的論理和(XOR)回路を使用して、バッファメモリ内の識別子を各ブロックのキャッシュ116に記憶された全ての識別子と比較し、一致が見つかった場合には、そのことを、それに対応するファイルの位置と共にシステムコントローラに報告する。2以上の一致が見つかった場合には、システムコントローラは、最新のタイムスタンプを有する識別子を選択する。検索するファイルが既知の期間内に書き込まれている場合、検索はわずか数チップに絞り込むことができる。1テラビットのチップの場合、各ブロックの全ての2Kスライスの全ての64ビット識別子を格納するためには、1つの128Kビットスライスまたは16×8Kビットページで十分である。 To facilitate fast retrieval of the location of the latest version of one of the millions of files in a petabyte storage system, each file is physically relocated for rapid access by the system controller. It is important to assign a unique identifier for that file to the specified location. According to one embodiment of the present invention, the system controller simultaneously broadcasts the unique identifier (ie, 32-128 bit word) of the file to search to some or all chips in the system. Each chip has a buffer memory for temporarily storing its identifier, and the identifier in the buffer memory is stored in each block's cache 116 using an on-chip exclusive OR (XOR) circuit. If a match is found, it is reported to the system controller along with the location of the corresponding file. If more than one match is found, the system controller selects the identifier with the latest timestamp. If the files to search were written within a known period of time, the search can be narrowed down to just a few chips. For a 1 Terabit chip, one 128 Kbit slice or 16×8 Kbit page is sufficient to store all 64-bit identifiers for all 2K slices of each block.

高速読み出しキャッシュメモリ用のTFTペアTFT pairs for fast read cache memory

キャッシュ記憶装置116の読み出しレイテンシを短縮するために、センスアンプ206に物理的に最も近いNORストリング内のTFTを対にして配置することができる。例えば、互いに隣接するNORストリングでは、共通のローカルワード線によって互いに関連付けられた2つのTFTを共有して、それらの間に単一のデータビットを格納することができる。例えば、実施形態EMB-3(図2K)では、プレーン202-7は、ローカルワード線208-Wを共有する互いに隣接するアクティブストリップからの一対のTFTを含む(例えば、1つのNORストリング上のTFT281は、TFT283のための基準TFTとして機能し得る。その逆も同様である)。一般的なプログラム動作では、両方のNORストリング上のTFTが消去状態に初期化され、次に一方のTFT、例えばTFT281がより高い閾値電圧にプログラムされ、他方のTFT283が消去状態に維持されるようにプログラム禁止される。互いに隣接する2つのアクティブストリップ上の両方のTFTは、それらの共有ローカルワード線208Wが読み出し電圧まで上昇すると、基板回路内の差動センスアンプによって同時に読み出され、導通し始めた最初のTFTが、センスアンプを、TFT281またはTFT283がプログラムされたTFTであるかどうかに応じて状態「0」または状態「1」に変化させる。 To reduce the read latency of the cache store 116, the TFTs in the NOR strings physically closest to the sense amplifier 206 can be placed in pairs. For example, adjacent NOR strings can share two TFTs associated with each other by a common local word line to store a single data bit between them. For example, in embodiment EMB-3 (FIG. 2K), plane 202-7 includes a pair of TFTs from adjacent active strips that share local word line 208-W (eg, TFT 281 on one NOR string). may serve as a reference TFT for TFT 283 and vice versa). In a typical program operation, the TFTs on both NOR strings are initialized to the erased state, and then one TFT, say TFT 281, is programmed to a higher threshold voltage while the other TFT 283 remains in the erased state. program is prohibited. Both TFTs on two active strips adjacent to each other are simultaneously read by the differential sense amplifiers in the substrate circuit when their shared local word line 208W is raised to the read voltage, with the first TFT starting to conduct. , causes the sense amplifier to change to state '0' or state '1' depending on whether TFT 281 or TFT 283 is the programmed TFT.

このTFT対スキームは、互いに隣接する2つのNORストリングのTFTがほぼ完全にマッチしているので、高速センシング及びより高い耐久性という利点を有する。したがって、センスアンプでは、読み出される2つのTFT間のプログラム電圧差が小さくても、センスアンプを正しく作動させるのに十分である。加えて、プログラム可能な基準TFTの閾値電圧は、デバイスの寿命中に多数の書き込み/消去サイクルにわたってドリフトする恐れがあるので、このスキームでは、基準TFT及び読み出しTFTは両方とも新しいサイクルごとにリセットされる。実際、対をなす2つのTFTのうちのいずれか一方が、基準TFTとして機能することができる。対をなす2つのTFTが各サイクルに書き込まれたデータを反転させるかまたは反転させないようにランダムにスクランブルされる場合、各対の各TFTが統計的に他のTFTと略同数のサイクルにわたって基準TFTとして機能する(反転/非反転コードは、読み出し動作中のスクランブル解除を助けるために、プログラムされているページと同一のページに格納することができる)。対を成すTFTは互いに対して非常に接近しているので、すなわち同一プレーン上の互いに隣接する2つのアクティブストリップ上に存在するので、TFTは製造プロセスにおける局所的変動について互いに最良にトラックすることができ、また、読み出し動作中に最良に中和(すなわち、相殺する)することができる。 This TFT pairing scheme has the advantage of fast sensing and higher durability because the TFTs of two NOR strings adjacent to each other are almost perfectly matched. Therefore, in the sense amplifier, even a small program voltage difference between the two TFTs being read out is sufficient to operate the sense amplifier correctly. Additionally, since the threshold voltage of the programmable reference TFT can drift over many write/erase cycles during the lifetime of the device, in this scheme both the reference and read TFTs are reset with each new cycle. be. In fact, either one of the two TFTs in a pair can function as a reference TFT. If the two TFTs of a pair are randomly scrambled to either invert or not invert the data written in each cycle, then statistically each TFT in each pair is the reference TFT for approximately the same number of cycles as the other TFT. (The inverted/non-inverted code can be stored in the same page as it is programmed to aid in descrambling during read operations). Since the paired TFTs are very close to each other, i.e. on two adjacent active strips on the same plane, the TFTs can best track each other for local variations in the manufacturing process. and can be best neutralized (ie, canceled) during read operations.

あるいは、TFT対スキームは、TFT対が共通の垂直ローカルワード線を共有する別のプレーン上のTFTに適用してもよい。このスキームの1つの欠点は、2つのTFTがそれらの間に1ビットを格納する必要があるため、シリコン効率が50%近く削減されることである。この理由で、各ブロックは、ブロックのわずかな割合(例えば、1%から10%)だけが高速デュアルTFT対として使用され、ブロックの残りの部分が通常のNORストリング及びプログラム可能な基準TFTストリングとして動作するように構成することができる。TFT対スキーム用に確保されている実際の割合は、特定の使用用途に応じて、システムコントローラによって臨機応変に変更することができる。本発明のNORストリングを動作させるための高レベルのフレキシビリティは、従来のNANDストリングとは異なり、NORストリング内のTFTがランダムにアドレス指定可能であり、かつ、互いに独立してまたは他のNORストリング内のTFTから独立して動作するという事実に起因する。 Alternatively, the TFT pair scheme may be applied to TFTs on separate planes where the TFT pairs share a common vertical local word line. One drawback of this scheme is that two TFTs are required to store a bit between them, reducing silicon efficiency by nearly 50%. For this reason, each block is such that only a small percentage (eg, 1% to 10%) of the block is used as high speed dual TFT pairs, and the rest of the block is used as normal NOR strings and programmable reference TFT strings. can be configured to work. The actual ratio reserved for TFT-to-scheme can be changed on an ad-hoc basis by the system controller, depending on the particular application. A high level of flexibility for operating the NOR strings of the present invention is that, unlike conventional NAND strings, the TFTs within the NOR strings are randomly addressable and can be independently addressed from each other or to other NOR strings. This is due to the fact that it operates independently of the TFTs inside.

ビデオまたは高解像度イメージングなどのデータ記憶装置の多数の用途は、多くのページまたはさらに多くのスライスを占めるデータファイルを必要とする。このようなファイルは、パイプライン方式で迅速にアクセスすることができる。すなわち、システムコントローラは、ファイルの最初のページまたは最初のスライスをキャッシュメモリに格納するとともに、残りのページまたはスライスを低コストのメモリに格納し、データをパイプラインシーケンスでストリーム出力する。したがって、ページまたはスライスは連続ストリームにリンクされ、このことにより、ファイルの最初のページがセンスアンプに迅速に読み込まれ、データバッファシフトレジスタに転送されて、プリチャージ中にブロックから最初のページをクロックアウトするとともに、パイプラインシーケンスにおける次の遅いページを読み出し、これにより、最初のページに続く各ページの読み出しアクセス時間を隠すようにする。例えば、キャッシュメモリに格納された8Kビットの最初のページが10ナノ秒で読み込まれ、その後、1Gビット/秒でクロックアウトされる場合、8Kビット全体のクロックアウトを完了するのに約1マイクロ秒を要するが、これは、より低速なより低コストのページから2ページ目を読み出すのに十分過ぎるほどの時間である。ランダムに選択されたTFTストリングをプリチャージすることによって提供されるフレキシビリティは、1以上のブロックから1以上のデータファイルを同時に読み出すとともに、それらのデータストリームを1以上のデータ入力/出力ポートにオンチップで送ることを可能にする。 Many applications of data storage such as video or high resolution imaging require data files that occupy many pages or even many slices. Such files can be rapidly accessed in a pipeline fashion. That is, the system controller stores the first page or first slice of the file in cache memory and the remaining pages or slices in lower cost memory and streams the data out in pipeline sequence. Pages or slices are therefore linked into a continuous stream, which allows the first page of the file to be quickly read into the sense amplifiers and transferred to the data buffer shift register to clock the first page out of the block during precharge. out and read the next slow page in the pipeline sequence, thereby hiding the read access time for each page following the first page. For example, if the first page of 8Kbits stored in cache memory is read in 10ns and then clocked out at 1Gbit/s, it takes about 1 microsecond to complete clocking out the entire 8Kbits. , which is more than enough time to read the second page from the slower, lower cost page. The flexibility provided by precharging randomly selected TFT strings allows the simultaneous reading of one or more data files from one or more blocks and turning those data streams on to one or more data input/output ports. Allows sending in chips.

ランダムアクセス読み出しrandom access read

ランダムアクセス読み出し本発明のプリチャージスキームは、データが連続的にクロックインされるか、またはランダムにアクセスされるように、また同様に、ストリームで連続的に読み出されるか、またはワードによってランダムにアクセスされるようにプログラムすることを可能にする。例えば、1つのプレーン内のアドレス指定されたページは、1以上の動作で、アドレス指定されたプレーンのセンスアンプ、レジスタまたはラッチに読み込むことができ、その後、チップの入力/出力パッドをルーティングするために、一度に1ワードで、32ビット、64ビットまたは128ビットワードでランダムにアクセスできる。このようにして、ページ全体を逐次的にストリーミングすることに伴う遅延を回避することができる。 Random Access Read The precharge scheme of the present invention allows data to be clocked in continuously or accessed randomly, and likewise read out continuously in a stream or accessed randomly by word. allow you to program it to For example, an addressed page in one plane can be read into the addressed plane's sense amplifiers, registers or latches in one or more operations, and then to route the chip's input/output pads. can be randomly accessed in 32-bit, 64-bit or 128-bit words, one word at a time. In this way, delays associated with streaming entire pages sequentially can be avoided.

全ての実施形態において、例えば図2Hにおいて、アクティブストリップの両側のTFTのうちの一方のTFTのみが1回の読み出し動作に参加することができる。アクティブストリップの反対側にある全てのTFTは、「オフ」状態に設定する必要がある。例えば、TFT285を読み出す場合、同一のアクティブストリップ上のTFT283は遮断しなければならない。マルチ状態TFTの正しい状態を読み出す他のスキームは、当業者には既知である。 In all embodiments, for example in FIG. 2H, only one of the TFTs on either side of the active strip can participate in one read operation. All TFTs on the opposite side of the active strip should be set to the "off" state. For example, when reading TFT 285, TFT 283 on the same active strip must be blocked. Other schemes for reading the correct state of multi-state TFTs are known to those skilled in the art.

本発明のTFTの読み出しは、従来のNANDフラッシュメモリセルを読み出すことよりはるかに速い。これは、読み出される1つのTFTと直列のTFTを「オン」にする必要があるNANDストリングと比較して、NORストリングでは、読み出されるTFTのみを「オン」にすればよいからである。金属副層224がアクティブ層の一体部分として設けられていない実施形態(例えば、図2B-1の220a参照)では、両側に1、024個の不揮発性TFTを有するストリングについて、各アクティブストリップの一般的な線路抵抗は、約500、000オームであり、各アクティブストリップの一般的なキャパシタンス(例えば、図3Aのキャパシタ360)は、約5フェムトファラドであり、これにより、約10ナノ秒以下のRC時間遅延が提供される。アクティブストリップの線路抵抗Rを低減させるために金属副層224が設けられた場合は、時間遅延は大幅に減少され得る。読み出しレイテンシをさらに短縮するためには、選択されたアクティブブロック内のいくつかまたは全てのプレーンは、それらの読み出し電圧Vss(ソース線)及びVbl(ビット線)にプリチャージされた状態に保たれ、これにより、該プレーンは、アドレス指定されたTFTを直ちにセンシングできる状態になる(すなわち、読み出し動作の直前のプリチャージに必要な時間が不要になる)。電荷リークを補償するためにキャパシタ360を定期的に再充電するために必要な電流は非常に小さいので、このようなスタンバイ状態では待機電力をほとんど必要としない。各ブロック内で、8以上の全てのプレーンの全てのNORストリングは、高速読み出しに備えてプリチャージすることができる。例えば、プレーン207-0(図2A)内の全てのNORストリングを読み出した後、プレーン207-1のNORストリングのTFTは、そのソース線電圧Vss及びビット線電圧Vblが読み出し動作のために事前に設定されているので、すぐに読み出すことができる。 Reading the TFT of the present invention is much faster than reading a conventional NAND flash memory cell. This is because in a NOR string only the TFT to be read needs to be turned "on" as compared to a NAND string which requires turning "on" a TFT in series with one TFT being read. In embodiments where the metal sublayer 224 is not provided as an integral part of the active layer (see, eg, 220a in FIG. 2B-1), for a string having 1,024 nonvolatile TFTs on each side, each active strip typically has The typical line resistance is about 500,000 ohms, and the typical capacitance of each active strip (eg, capacitor 360 in FIG. 3A) is about 5 femtofarads, which gives an RC of about 10 ns or less. A time delay is provided. The time delay can be significantly reduced if a metal sublayer 224 is provided to reduce the line resistance R of the active strip. To further reduce read latency, some or all planes within a selected active block are kept precharged to their read voltages V ss (source lines) and V bl (bit lines). This leaves the plane ready for immediate sensing of the addressed TFTs (ie eliminating the time required for precharging just prior to the read operation). Since the current required to periodically recharge capacitor 360 to compensate for charge leakage is very small, such a standby state requires little standby power. Within each block, all NOR strings in all 8 or more planes can be precharged for fast read. For example, after reading all the NOR strings in plane 207-0 (FIG. 2A), the TFTs of the NOR strings in plane 207-1 will have their source line voltage V ss and bit line voltage V bl for the read operation. It is preconfigured and can be read immediately.

メモリブロック100では、NORストリングごとに1つのTFTのみが、1回の動作で読み出すことができる。8000個の並んでいるNORストリングを有するプレーンでは、各NORストリングが基板201内のそれ自体のセンスアンプ206(図2C)に接続されているという条件で、共通のグローバルワード線を共有する8000個のTFTを全て同時に読み出すことができる。各センスアンプが、例えば、ストリング復号化回路を使用して同一プレーン内の4個のNORストリング間で共有される場合、4つの読み出し動作を4つの連続するステップで行う必要がある(各読み出し動作で、2000個のTFTを読み出す)。各プレーンは専用のセンスアンプのセットを備えてもよいし、あるいは、プレーン復号化セレクタを介して、8以上のプレーン内のNORストリング間で1セットのセンスアンプを共有してもよい。加えて、1以上のセットのセンスアンプを、象限内及びその鏡像象限のNORストリング間で共有してもよい(例えば、図6A、図6B及び図6C中のセンスアンプ(SA)206を参照)。各プレーンが個別のセンスアンプを備えることにより、全てのプレーンのNORストリングの同時読み出し動作が可能になり、これにより、読み出し動作のスループットが向上する。しかしながら、このようなより高いデータスループットは、より大きな電力消費と、追加のセンスアンプに必要とされる追加のチップ面積とを代償にして得られる(それらが、ブロック100の真下の基板201内に配置できる場合を除いて)。実際には、メモリブロックのパイプラインクロッキングまたはデータ入出力を理由として、NORストリングのスタックごとに1セットのセンスアンプだけで十分であり得る。したがって、あるプレーンの最初のページがそのセンスアンプから高速シフトレジスタに転送される間に、2番目のプレーンの最初のページが2番目のセットのセンスアンプに読み込まれ、この2つのセットが1つのセットの入力/出力シフトレジスタを共有する。 In memory block 100, only one TFT per NOR string can be read in one operation. In a plane with 8000 side-by-side NOR strings, 8000 sharing a common global word line, provided that each NOR string is connected to its own sense amplifier 206 (FIG. 2C) in substrate 201. can be read out simultaneously. If each sense amplifier is shared between, for example, four NOR strings in the same plane using a string decoding circuit, four read operations must be performed in four successive steps (each read operation and read out 2000 TFTs). Each plane may have a dedicated set of sense amplifiers, or a set of sense amplifiers may be shared between NOR strings in eight or more planes via a plane decode selector. Additionally, one or more sets of sense amplifiers may be shared between NOR strings within a quadrant and its mirror quadrant (see, eg, sense amplifier (SA) 206 in FIGS. 6A, 6B, and 6C). . Having separate sense amplifiers for each plane allows simultaneous read operations of the NOR strings of all planes, thereby increasing the throughput of read operations. However, such higher data throughput comes at the cost of higher power consumption and additional chip area required for the additional sense amplifiers (which are located in substrate 201 directly below block 100). (unless it can be placed). In practice, due to pipeline clocking or data input/output of memory blocks, only one set of sense amplifiers per stack of NOR strings may be sufficient. Thus, while the first page of one plane is being transferred from its sense amplifiers to the high speed shift register, the first page of a second plane is being read into a second set of sense amplifiers, combining the two sets into one. Share a set of input/output shift registers.

あまりにも多くのTFTが一度に読み出されると、並列動作でも接地電圧のバウンスによって過度の電気ノイズが発生する恐れがある。この接地バウンスは、各アクティブストリップの仮想Vss電圧を設定し一時的に保持するためにプリチャージキャパシタ360に依存する全ての実施形態において、実質的に抑制される。この場合、全てのNORストリングの電源電圧VssはチップのVss接地線に接続されていないので、チップの接地電源から電荷を引き出すことなく、任意の数のアクティブストリップを同時に検出することが可能となる。 If too many TFTs are read out at once, even in parallel operation, ground voltage bounce can cause excessive electrical noise. This ground bounce is substantially suppressed in all embodiments that rely on precharge capacitors 360 to set and temporarily hold the virtual V ss voltage for each active strip. In this case, the supply voltage Vss of all NOR strings is not connected to the chip's Vss ground line, so any number of active strips can be sensed simultaneously without drawing charge from the chip's ground supply. becomes.

プログラム(書き込み)動作及びプログラム禁止動作Program (write) operation and program inhibit operation

NORストリング内のアドレス指定されたTFTをその意図された閾値電圧にプログラムするためのいくつかの方法がある。他の一般的に使用されている方法は、直接トンネリングまたはファウラー・ノルドハイムトンネリングに関わらず、トンネリングによるものである。この業界で過去40年間採用されている最も一般的な方法は、チャネルホットエレクトロン注入によるものである。これらのトンネリングメカニズム及び電荷トラップメカニズムはいずれも非常に効率的であるため、NORストリング内のTFTをプログラムするのに必要な電流はごくわずかであり、このため、最小限の電力消費で数十万のTFTの並列プログラムが可能となる。説明目的のために、トンネリングによるプログラムは、アドレス指定されたワード線(制御ゲート)への100マイクロ秒(μs)の持続時間の20Vパルスの印加と、アクティブストリップ(例えば、図2Aのアクティブ層202-0に形成されたアクティブストリップ)への0Vの印加とが必要であると仮定する。この条件下で、ソース領域及びドレイン領域としてそれぞれ機能するN副層221及びN副層223(図2B-1)は、両方とも0Vに設定される。TFTのPチャネル副層222はプレーンで反転されているので、電子は対応する電荷トラップ層にトンネルする。TFTプログラムは、ローカルワード線とソース及びドレイン領域との間に、半選択電圧(例えば、この例では10V)を印加することによって禁止することができる。プログラム禁止は、例えば、ストリップ電圧を0Vに保持しながらワード線電圧を10Vまで下げること、またはワード線電圧を20Vに保持しながらアクティブストリップ電圧を10ボルトまで上げること、あるいはこれら2つの組み合わせによって達成することができる。 There are several methods for programming an addressed TFT in a NOR string to its intended threshold voltage. Another commonly used method is by tunneling, whether direct tunneling or Fowler-Nordheim tunneling. The most common method employed in the industry for the last 40 years is by channel hot electron injection. Both of these tunneling and charge trapping mechanisms are so efficient that the current required to program the TFTs in the NOR string is negligible, allowing hundreds of thousands of watts with minimal power consumption. of TFTs can be programmed in parallel. For illustrative purposes, programming by tunneling consists of applying a 20V pulse of 100 microseconds (μs) duration to the addressed word line (control gate) and applying an active strip (eg, active layer 202 in FIG. 2A). Suppose we need to apply 0V to the active strip (which is formed at -0). Under this condition, the N + sublayer 221 and N + sublayer 223 (FIG. 2B-1), functioning as source and drain regions respectively, are both set to 0V. Since the P - channel sublayer 222 of the TFT is plane inverted, electrons tunnel to the corresponding charge trapping layer. TFT programming can be inhibited by applying a half-select voltage (eg, 10V in this example) between the local word line and the source and drain regions. Program inhibit is achieved, for example, by lowering the word line voltage to 10 V while holding the strip voltage at 0 V, or raising the active strip voltage to 10 volts while holding the word line voltage at 20 V, or a combination of the two. can do.

一度にプログラムすることができるのは、アドレス指定された1つのアクティブストリップ内の1つのTFTのみであるが、他のストリップ上のTFTも同一のプログラムサイクル中に同時にプログラムすることができる。アドレス指定されたアクティブストリップの一方の側縁部上の多数のTFTのうちの1つ(例えば、偶数アドレス指定されたNORストリング内の1つのTFT)をプログラムするとき、そのNORストリング内の他の全てのTFTはプログラム禁止され、また、アクティブストリップの他方の側縁部上の全てのTFT(例えば、奇数アドレス指定されたNORストリング内の全てのTFT)も同様にプログラム禁止される。 Only one TFT in an addressed active strip can be programmed at a time, but TFTs on other strips can be programmed simultaneously during the same program cycle. When programming one of many TFTs on one side edge of an addressed active strip (e.g., one TFT in an even-addressed NOR string), the other TFTs in that NOR string All TFTs are program inhibited, and all TFTs on the other side edge of the active strip (eg, all TFTs in odd addressed NOR strings) are similarly program inhibited.

アドレス指定されたTFTがその指定された状態の目標閾値電圧にプログラムされると、その目標電圧をオーバーシュートしたときにTFTに不要なストレスがかかるので、そのTFTのプログラム禁止が必要とされる。MLCが使用される場合、目標電圧をオーバーシュートすると、次に高い目標閾値電圧状態でオーバーステップまたはマージを引き起こす恐れがある。そのため、意図された閾値電圧に達したTFTは、プログラム禁止にしなければならない。同一のグローバルワード線及びそれに関連するローカルワード線を共有する同一プレーン上の隣接するアクティブストリップ内の全てのTFTは、20Vのプログラム電圧に曝されるので、それらの目標閾値電圧にプログラムした後はプログラム禁止にする必要があることに留意されたい。また、消去状態にあり、消去された状態を保持するべきTFTは、プログラム禁止にする必要がある。同様に、同一のブロック内にあり、同一のグローバルワード線及びそれに関連するローカルワード線を共有する他のプレーン上の全てのTFT(すなわち、スライス114内の全てのTFT)も20Vのプログラム電圧に曝されるので、それらもプログラム禁止にする必要がある。これらのプログラム条件及びプログラム禁止条件は全て、本発明のメモリブロックに適用することができる。その理由は、各アクティブストリップの偶数側及び奇数側は、互いに異なるグローバルワード線及びそれらに関連するローカルワード線によって制御されるため、並びに、各アクティブストリップの共有のソース線及びビット線の電圧は、そのプレーンに関係なく、同一のプレーン上または他のプレーン上の他の全てのアクティブストリップから独立して設定できるためである。 Once an addressed TFT is programmed to the target threshold voltage of its designated state, program inhibiting of that TFT is required because the TFT is unnecessarily stressed when it overshoots its target voltage. If an MLC is used, overshooting the target voltage can cause overstepping or merging at the next higher target threshold voltage state. Therefore, TFTs that have reached their intended threshold voltage must be program inhibited. All TFTs in adjacent active strips on the same plane that share the same global word line and its associated local word line are exposed to a program voltage of 20V, so after programming to their target threshold voltages, Note that it must be program inhibited. Also, TFTs that are in the erased state and should remain in the erased state should be program inhibited. Similarly, all TFTs on other planes that are within the same block and share the same global word line and its associated local word line (i.e., all TFTs within slice 114) are also pulled to the 20V program voltage. exposed, they should also be program inhibited. All of these program and program inhibit conditions are applicable to the memory blocks of the present invention. This is because the even and odd sides of each active strip are controlled by different global wordlines and their associated local wordlines, and the voltages on the shared source and bitlines of each active strip are , regardless of its plane, because it can be set independently from all other active strips on the same plane or on other planes.

プログラムシーケンスの一例では、ブロック内の全てのTFTは、最初に約1Vの閾値電圧に消去される。次に、アドレス指定されたTFTをプログラムする場合は、アドレス指定された各TFTのアクティブストリップ上の電圧は、0Vに設定される(例えば、図3Aに示すように、プリチャージワード線208-CHGと共に接続270を介して、または配線接続280を介して)。あるいは、アドレス指定されたTFTを消去状態(すなわち、プログラム禁止)に保つ場合は、アドレス指定されたTFTのアクティブストリップの共有のソース線上の電圧は、約10Vに設定される。次に、アドレス指定されたTFTに関連するグローバルワード線の電圧を、1回のステップまたは電圧を徐々に増加させる短時間のステップのいずれかによって、約14Vから開始して、約20Vまで上げる。このような電圧を徐々に増加させるステップにより、TFTにかかる電気的ストレスを低減させ、目標閾値電圧のオーバーシュートを回避することができる。ブロック内の全ての他のグローバルワード線は、半選択電圧10Vに設定される。また、メモリブロック内のアドレス指定されていない全てのプレーン上の全てのアクティブストリップ、並びに、アドレス指定されたプレーン内の個別にアドレス指定されていない全てのアクティブストリップも10Vに設定される。それらは、図2Cの基板回路206-0及び206-1へのそれらのアクセストランジスタ(図示せず)を確実にオフにすることによってフローティング状態にすることができる。重要なことは、メモリブロック内のアドレス指定されていない全てのプレーン上のアクティブストリップ、並びに、アドレス指定されたプレーン内の個別にアドレス指定されていない全てのアクティブストリップは、それらの電圧が約0Vに設定されるとフローティング状態になる、すなわち、プログラム禁止モードではない場合には、誤ってプログラムされる恐れがある。これらのアクティブストリップは、それらの10Vのローカルワード線に対して強力に容量結合されているため、10V近くでフローティング状態になる。徐々に高くなる電圧プログラムパルスの各々に続いて、アドレス指定されたTFTがその目標閾値電圧に達したか否かを決定するために読み出しサイクルが行われる。目標閾値電圧に達すると、さらなるプログラムを禁止するために、アクティブストリップ電圧は約10Vまで上昇する(あるいは、ブロック内の1つのアドレス指定されたグローバルワード線を除く他の全てが10Vまで上昇すると、ストリップはフローティング状態になり、10V近くまで上昇する)。一方、グローバルワード線は、同一プレーン上の目標閾値電圧に達していない他のアドレス指定されたストリップのプログラムを継続する。このプログラム/読み出し検証シーケンスは、全てのアドレス指定されたTFTが正しくプログラムされたことが読み出し検証されたときに終了する。休止状態の、すなわち頻繁にアクセスされないチップ上の全てのブロックは、好ましくは、例えばそれらのアクティブストリップ及び導体上の電圧を接地電位に設定することによって、電源オフにするべきである。 In one example program sequence, all TFTs in a block are first erased to a threshold voltage of approximately 1V. Then, when programming the addressed TFTs, the voltage on the active strip of each addressed TFT is set to 0V (eg, precharge word line 208-CHG as shown in FIG. 3A). via connection 270 or via hardwired connection 280). Alternatively, if the addressed TFT is to be kept in an erased state (ie, program inhibited), the voltage on the shared source line of the active strip of the addressed TFT is set to about 10V. Next, the voltage of the global word line associated with the addressed TFT is raised, starting at about 14V, to about 20V either in one step or in short time steps of gradually increasing voltage. Such a step of gradually increasing the voltage reduces the electrical stress on the TFT and avoids overshooting the target threshold voltage. All other global wordlines in the block are set to the half-select voltage of 10V. Also, all active strips on all non-addressed planes within a memory block, as well as all non-individually addressed active strips within addressed planes, are set to 10V. They can be left floating by ensuring that their access transistors (not shown) to the substrate circuits 206-0 and 206-1 of FIG. 2C are turned off. Importantly, active strips on all non-addressed planes within a memory block, as well as all non-individually addressed active strips within addressed planes, have a voltage of approximately 0V. When set to , it floats, ie, it can be accidentally programmed if not in program inhibit mode. These active strips are strongly capacitively coupled to their 10V local word lines, so they float near 10V. Each incremental voltage program pulse is followed by a read cycle to determine whether the addressed TFT has reached its target threshold voltage. Once the target threshold voltage is reached, the active strip voltage is raised to about 10V to inhibit further programming (or if all but one addressed global word line in the block rises to 10V). The strip floats and rises to nearly 10V). Meanwhile, the global word lines continue to program other addressed strips on the same plane that have not reached their target threshold voltage. The program/read verify sequence ends when all addressed TFTs have been read verified to have been programmed correctly. All blocks on the chip that are dormant, ie not frequently accessed, should preferably be powered off, for example by setting the voltages on their active strips and conductors to ground potential.

MLCが使用されるとき、複数の閾値電圧状態のうちの正しい1つのプログラムは、全ての目標電圧状態を並列にプログラムすることによって加速され得る。まず、全てのアドレス指定されたアクティブストリップのキャパシタ360を(例えば、図3Aの接続270及びプリチャージワード線208-CHGを介して)、いくつかの電圧(例えば、2ビットの情報を各TFTに記憶する場合は、0V、1.5V、3.0Vまたは4.5V)のうちの1つの電圧にプリチャージする。次に、約20Vのパルスをアドレス指定されたグローバルワード線に印加し、これにより、TFTの電荷トラップ層を、異なる有効トンネリング電圧(すなわち、それぞれ20V、18.5V、17Vまたは15.5V)に曝す。この結果、単一の粗いプログラムステップでプログラムされた4つの閾値電圧のうちの正しいものが得られる。その後、細かいプログラムパルスが、個々のTFTレベルで印加され得る。 When MLC is used, programming the correct one of multiple threshold voltage states can be accelerated by programming all target voltage states in parallel. First, all addressed active strip capacitors 360 (eg, via connection 270 and precharge word line 208-CHG in FIG. 3A) are driven to some voltage (eg, two bits of information to each TFT). For storage, precharge to one voltage of 0V, 1.5V, 3.0V or 4.5V). A pulse of approximately 20V is then applied to the addressed global word line, thereby energizing the charge trapping layer of the TFT to different effective tunneling voltages (i.e. 20V, 18.5V, 17V or 15.5V respectively). expose. This results in the correct one of the four threshold voltages being programmed in a single coarse programming step. A fine program pulse can then be applied at the individual TFT level.

ブロック内の全てのアクティブストリップの固有寄生キャパシタンスCを理由として、ブロック内の全てのプレーン上の全てのアクティブストリップは、アドレス指定されたグローバルワード線上に高電圧パルスを印加する前に(並列または順次に)所定の位置にプリチャージ電圧状態を設定することができる。この結果、非常に多数のTFTの並列プログラムを実現することができる。例えば、図1A-2では、1つのページ113内の全てのTFT、または1つのスライス114内の全てのページを1つの高電圧パルスシーケンスでコースプログラムすることができる。その後、個々の読み出し検証、及び必要ならば、適切にプログラムされたアクティブストリップをプログラム禁止モードのリセットを行うことができる。プログラム時間は比較的長い(例えば、約100マイクロ秒)のに対して、全てのキャパシタ360のプリチャージまたはアドレス指定されたTFTの読み出し検証は、約100ナノ秒で、すなわち1,000倍早く実行することができるので、プリチャージは有益である。したがって、単一のグローバルワード線プログラムシーケンスで多数のTFTをプログラムすることが有利であり、このことは可能である。その理由は、直接トンネリングまたはファウラー・ノルドハイムトンネリングのプログラムメカニズムが、プログラムされるTFTごとにわずかな電流しか必要としないからである。プログラムは一般的に、電荷トラップ材料中に100個以下の電子をトラップしてTFTの閾値を1ボルト以上にシフトすることを必要とし、これらの電子は、アクティブストリングの寄生キャパシタにプリチャージされた電子の貯蔵庫から容易に供給することができる(ただし、ストリングが、寄生キャパシタンスに寄与する十分な数のTFTを有することが条件である)。 Due to the inherent parasitic capacitance C of all active strips in the block, all active strips on all planes in the block must be checked (either in parallel or sequentially) before applying a high voltage pulse on the addressed global word line. and (b) the precharge voltage state can be set at a predetermined location. As a result, parallel programming of a very large number of TFTs can be realized. For example, in FIG. 1A-2, all TFTs in one page 113 or all pages in one slice 114 can be coarse programmed with one high voltage pulse sequence. Thereafter, individual read verification and, if necessary, reset of the properly programmed active strip to program inhibit mode can be performed. While the program time is relatively long (e.g., about 100 microseconds), precharging all capacitors 360 or reading and verifying the addressed TFTs is performed in about 100 nanoseconds, or 1,000 times faster. Precharging is beneficial because it can Therefore, it is advantageous and possible to program multiple TFTs in a single global wordline program sequence. This is because the Direct Tunneling or Fowler-Nordheim Tunneling programming mechanism requires only a small amount of current per TFT to be programmed. The program typically required trapping less than 100 electrons in the charge trapping material to shift the threshold of the TFT above 1 volt, and these electrons precharged the parasitic capacitors of the active string. It can easily be supplied from a reservoir of electrons (provided the string has a sufficient number of TFTs to contribute to the parasitic capacitance).

従来のチャネルホットエレクトロン注入メカニズムを用いたTFTのプログラムは、トンネリングによるプログラムと比較して効率が低いので(1つのTFTの閾値電圧を適切にシフトするために、桁違いに多くの電子を必要とする)、チャネルホットエレクトロン注入は、複数のアクティブストリップをプリチャージすることに依存する実施形態での使用には適していない。それどころか、チャネルホットエレクトロン注入プログラムは、プログラム中にアドレス指定されたソース領域及びドレイン領域への配線接続を必要とするため、並列プログラムを実行する能力を著しく制限する。 Programming TFTs using the conventional channel hot electron injection mechanism is less efficient than programming by tunneling (orders of magnitude more electrons are required to adequately shift the threshold voltage of a single TFT). ), channel hot electron injection is not suitable for use in embodiments that rely on precharging multiple active strips. On the contrary, channel hot electron injection programs require wiring connections to the source and drain regions addressed during the program, which severely limits the ability to perform parallel programs.

消去動作Erase operation

いくつかの電荷トラップ層では、消去は、トラップされた電子電荷の逆トンネリング、またはトラップされた電子を電気的に中和するためのホールのトンネリングによって達成される。消去はプログラムよりも遅く、数十ミリ秒の消去パルスを必要とし得る。したがって、消去動作は、ブロックまたは複数ブロックレベルで頻繁に、多くの場合はバックグラウンドモードで実施される。消去されるブロックは、それらの予め定められた消去電圧にプリチャージされるようにタグ付けされ、次いで、全てのタグ付けされたブロックを同時に消去し、他のタグ付けされたブロックの消去を継続しながら、正しく消去されたことが確認されたブロックの消去を中止する。一般的に、ブロック消去は、ブロック内の全てのグローバルワード線の電圧を0Vに保ちながら、ピラー290(図3A、図4D、図2K-1)を介した接続を通じて各アクティブストリップのP副層222(図2B-1)に対して約20Vを印加することによって実行することができる。しかしながら、ピラー290は、金属副層224が使用される実施形態では使用することができない。その理由は、金属副層224は、互いに異なるプレーン間の過度のリークのための経路を提供するためである。このため、Pチャネル222への基板接触が存在しない場合における、ブロック内の全てのTFTを消去するための1つの代替方法は、P副層222を1×1017/cmから1×1018/cmの比較的広い範囲にドープして、N逆バイアス伝導特性を高めることである。次に、消去される全てのアクティブストリップのN副層221及び223が(図2Cの基板接続206-0を介して)約20Vまで上昇すると、逆接合リークによりP副層222(チャネル領域)上の電圧が約20Vになり、約0Vに保持されたローカルワード線を有する全てのTFTについて、電荷トラップ層にトラップされた電子をP副層222に放出することによってトンネル消去が開始される。 In some charge trapping layers, erasure is accomplished by reverse tunneling of trapped electron charges or tunneling of holes to electrically neutralize trapped electrons. Erase is slower than program and can require an erase pulse of tens of milliseconds. Therefore, erase operations are frequently performed at the block or multi-block level, often in background mode. Blocks to be erased are tagged to be precharged to their predetermined erase voltage, then erase all tagged blocks simultaneously and continue erasing other tagged blocks. while erasing blocks that have been confirmed to have been correctly erased is stopped. In general, block erase is performed by keeping the voltage of all global wordlines in the block at 0V, while maintaining the P - sub-sub of each active strip through connections via pillars 290 (FIGS. 3A, 4D, 2K-1). This can be done by applying about 20V to layer 222 (FIG. 2B-1). However, pillars 290 cannot be used in embodiments where metal sublayer 224 is used. This is because metal sublayer 224 provides a path for excessive leakage between different planes. Thus, one alternative method for erasing all TFTs in a block in the absence of substrate contact to the P - channel 222 is to reduce the P - sublayer 222 from 1×10 17 /cm 3 to 1×1×10 17 /cm 3 . Doping in a relatively broad range of 10 18 /cm 3 to enhance the N + P - reverse bias conduction characteristics. Then, when the N + sublayers 221 and 223 of all active strips to be erased rise to about 20V (via substrate connection 206-0 in FIG. 2C), reverse junction leakage causes P sublayer 222 (channel region ) goes to about 20 V, and tunnel erase is initiated by releasing electrons trapped in the charge trapping layer to the P sublayer 222 for all TFTs with local word lines held at about 0 V. be.

部分的なブロック消去も可能である。例えば、1以上の選択されたスライス114(図6B)上のTFTのみを消去する場合、一般的にブロック100内の全てのアクティブストリップによって共有されるピラー290は、ブロック内の全てのTFTのP副層222(チャネル)に高い消去電圧Veraseを供給するために、基板回路(例えば、図5Bの基板回路262-0)に接続される。消去のために選択されたスライス以外のブロック内の全てのスライスのグローバルワード線は、半消去電圧約10Vに保持されるか、またはフローティング状態になる。消去される1以上のスライスは、消去パルスの持続時間の間、それらのグローバルワード線を約0Vにする。このスキームは、ストリップ選択デコーダが、それらの接合部で消去電圧Verase約20ボルトに耐えることができる高電圧トランジスタを使用することを必要とする。あるいは、アドレス指定されたグローバルワード線を除いた全てをゼロボルトに保持するとともに、アドレス指定されたグローバルワード線に基板から供給される-20Vをパルスし、プレーン202-0から202-7内の全てのアクティブストリップを0Vに充電する。この方法は、アドレス指定されたグローバルワード線を共有する全てのTFTの1以上のZ-Xスライス114の部分的なブロック消去を可能にする。 Partial block erasure is also possible. For example, when erasing only TFTs on one or more selected slices 114 (FIG. 6B), pillar 290, which is typically shared by all active strips in block 100, is the P of all TFTs in the block. - connected to a substrate circuit (eg, substrate circuit 262-0 in FIG. 5B) to supply a high erase voltage Verase to the sublayer 222 (channel); The global wordlines of all slices in the block other than the slice selected for erasure are either held at a half-erase voltage of about 10V or floated. One or more slices to be erased have their global word line approximately 0V for the duration of the erase pulse. This scheme requires that the strip select decoders use high voltage transistors capable of withstanding an erase voltage V_erase of about 20 volts at their junctions. Alternatively, while holding all but the addressed global word line at zero volts, pulse the -20V supplied from the substrate to the addressed global word line, and all in planes 202-0 through 202-7 charge the active strip to 0V. This method allows partial block erasure of one or more ZX slices 114 of all TFTs sharing an addressed global word line.

部分的なブロック消去のための他のスキームも可能である。例えば、1以上の選択されたZ-Xスライスを消去し、かつ他の全ての消去を禁止する場合には、ブロック内の全てのグローバルワード線を最初に0Vに保持するともに、ブロック内の全てのストリングを半選択電圧約10Vに基板から充電し、次いで、それらの基板270内のアクセス選択トランジスタ(図示せず)をオフにすることによって絶縁状態(フローティング状態)にする。次に、ブロック内の全てのグローバルワード線を約10Vまで上昇させて、全てのアクティブストリング上の電圧を容量結合によって約20Vまでブーストさせる。次いで、消去される1以上のZ-Xスライスのグローバルワード線を0Vにするとともに、残りのグローバルワード線は消去パルスの持続時間の間は10Vに保持し続ける。部分的なブロック消去のためのアクティブストリップを選択するためには、基板270内のそれらのアクセストランジスタは、プログラム動作または消去動作に必要な期間を超える期間にわたってアクティブストリップ上の電荷を約20Vに保持することができる高電圧トランジスタである必要があることに留意されたい。消去パルスの大きさ及び持続時間は、ほとんどのTFTが、ゼロボルトと1ボルトとの間のわずかなエンハンスメントモード閾値電圧まで消去されるようなものであるべきである。いくつかのTFTは、オーバーシュートし、空乏モード(すなわち、わずかに負の閾値電圧を有する)に消去され得る。そのようなTFTは、消去シーケンスの一部として、消去パルスの終了後に、わずかなエンハンスメントモード閾値電圧にソフトプログラムする必要がある。 Other schemes for partial block erasure are also possible. For example, if one or more selected ZX slices are to be erased and all other erases are inhibited, all global word lines in the block are initially held at 0V and all , are charged from the substrate to a half-select voltage of about 10V and then isolated (floating) by turning off access select transistors (not shown) in their substrate 270 . All global wordlines in the block are then raised to about 10V to boost the voltage on all active strings to about 20V by capacitive coupling. The global word lines of one or more ZX slices to be erased are then forced to 0V, while the remaining global word lines remain at 10V for the duration of the erase pulse. To select the active strips for partial block erase, those access transistors in substrate 270 hold the charge on the active strips at about 20V for a period exceeding that required for a program or erase operation. Note that it must be a high voltage transistor capable of The magnitude and duration of the erase pulse should be such that most TFTs are erased to a small enhancement mode threshold voltage between zero and 1 volt. Some TFTs may overshoot and be erased into depletion mode (ie, have a slightly negative threshold voltage). Such TFTs need to be soft programmed to a small enhancement-mode threshold voltage after the erase pulse ends as part of the erase sequence.

高度にスケールされた短いチャネルTFTにおけるフリンジ電界によって支援された横方向ホッピングトンネル消去Lateral Hopping Tunnel Erase Assisted by Fringe Fields in Highly Scaled Short Channel TFTs

本開示中で前述したように、本発明のアクティブストリップは、超短チャネルTFTで製造することができる(例えば、図5Gの実施形態EMB-3AのTFT T 585のP副層522は、10Nm程度の短い有効チャネル長さLを有し得る)。図7は、実施形態EMB-3Aのアクティブ層502-7のZ-X平面における断面図であり、図5Gの短チャネルTFT T 585をより詳細に示している。この短チャネルTFT T 585では、N副層521はソースとして機能し、N副層523はドレインとして機能し、P副層522は、電荷蓄積材料531及びワード線208Wと共にチャネルとして機能する。図7は、ワード線208W上の電圧(約0V)とN副層521及び523の両副層上の電圧(約20V)とによって提供される楕円空間574内のフリンジ電界下でのN副層521及びN副層523への電子トンネリングを伴う(矢印578で示す)、電荷トラップ材料531-CT内のトラップされた電子の横方向ホッピングメカニズム(矢印577で示す)を用いた、十分に短いチャネル長さLを有するTFTの消去を示す。 As previously described in this disclosure, the active strip of the present invention can be fabricated with ultra - short channel TFTs (eg, the P- sublayer 522 of TFT T R 585 of embodiment EMB-3A of FIG. 5G is may have an effective channel length L as short as 10 Nm). FIG. 7 is a cross-sectional view in the ZX plane of active layer 502-7 of embodiment EMB-3A, showing the short-channel TFT T R 585 of FIG. 5G in greater detail. In this short-channel TFT T R 585, the N + sublayer 521 functions as the source, the N + sublayer 523 functions as the drain, and the P− sublayer 522 functions as the channel along with the charge storage material 531 and the word line 208W. do. FIG. 7 illustrates the N + voltage under a fringing field in elliptical space 574 provided by the voltage on word line 208W (approximately 0 V) and the voltage on both N + sublayers 521 and 523 (approximately 20 V). Using a lateral hopping mechanism (indicated by arrows 577) of trapped electrons in charge trapping material 531-CT, with electron tunneling into sublayer 521 and N 2 + sublayer 523 (indicated by arrows 578), sufficient shows the erasure of a TFT with a short channel length L.

図7に示すように、電荷トラップ層531は、トンネル誘電体副層531-Tと、電荷トラップ副層531-CT(例えば、シリコンリッチ窒化シリコン)と、ブロッキング誘電体副層531-Bとから構成される。それを覆うチャネル(すなわち、P副層522)は、その非常に短いチャネル長を理由として、ローカルワード線208WとN副層521(ソース領域)とN副層523(ドレイン領域)との間のフリンジ電界(図7では、破線の楕円形574によって示される)によって大きく影響される。 As shown in FIG. 7, the charge trapping layer 531 is composed of a tunnel dielectric sublayer 531-T, a charge trapping sublayer 531-CT (eg, silicon-rich silicon nitride), and a blocking dielectric sublayer 531-B. Configured. The overlying channel (i.e., P sublayer 522) is separated from local word line 208W and N 2 + sublayer 521 (source region) and N 2 + sublayer 523 (drain region) because of its very short channel length. is strongly influenced by the fringing field between (indicated by the dashed ellipse 574 in FIG. 7).

消去中、電荷トラップ副層531-CTにトラップされた電子(破線575で示す)は、矢印573及び576で示すように、両領域とも高い消去電圧Verase約20Vに保持されたソース領域(N副層521)及びドレイン領域(N副層523)へのトンネリングによって除去される。ある状況下では、特にPピラー290が設けられていない場合、またはPピラー290が基板から約20Vの全部を供給することができない場合、Pチャネル522上の電圧Veraseは約20Vよりも低くなり、P副層522の近くのトラップされた電子のトンネル消去は効果が低くなる。しかしながら、フリンジ電界574は、電荷トラップ副層531-CTのシリコンリッチ窒化シリコン内での電子の横方向の移動(すなわち、矢印577で示すような横方向)を助ける。この横方向の移動は、ホッピングまたはフランケル・プール伝導と呼ばれることも多く、電子が、その近くのソース領域及びドレイン領域の約20Vに引き寄せられることに起因する。電子が移動してソース領域及びドレイン領域に十分に接近すると、電子は、矢印578で示すように、電荷トラップ副層531-CTからトンネルして出ることができる。このフリンジ電界アシスト消去機構は、ソース-ドレインリークが短いチャネルに対して許容可能であるという条件で、チャネル長さをより短くすると(例えば、5ナノメートルから40ナノメートルの範囲)、より効果的になる。チャネル長さが高度にスケールされている場合、P副層522を可能な限り薄くする(例えば、8ナノメートルから80ナノメートルの範囲の厚さにする)ことによってソース-ドレインリークが抑制され、この結果、トランジスタが「オフ」状態のとき、P副層522はその全体を通して容易に使い果たされる。 During erase , electrons trapped in the charge trapping sublayer 531-CT (indicated by dashed line 575) are transferred to the source region (N + sublayer 521) and by tunneling to the drain region (N + sublayer 523). Under some circumstances, the voltage V erase on P - channel 522 can be less than about 20V, especially if P - pillar 290 is not provided, or if P - pillar 290 cannot supply all of about 20V from the substrate. will also be lower, and tunneling erasure of trapped electrons near the P sublayer 522 will be less effective. However, the fringing field 574 facilitates lateral movement of electrons (ie, laterally as indicated by arrows 577) within the silicon-rich silicon nitride of the charge trapping sublayer 531-CT. This lateral movement, often referred to as hopping or Frankel-Pool conduction, is due to electrons being attracted to about 20V in nearby source and drain regions. Once the electrons have migrated to sufficiently close proximity to the source and drain regions, they can tunnel out of the charge trapping sublayer 531 -CT, as indicated by arrows 578 . This fringe field-assisted erase mechanism is more effective for shorter channel lengths (eg, in the range of 5 nm to 40 nm), provided that the source-drain leakage is acceptable for short channels. become. For highly scaled channel lengths, source - drain leakage is suppressed by making the P- sublayer 522 as thin as possible (eg, with a thickness in the range of 8 nm to 80 nm). As a result, when the transistor is in the "off" state, the P - sublayer 522 is easily depleted throughout.

3次元アレイにおける準揮発性ランダムアクセスTFTメモリストリングSemi-volatile random-access TFT memory strings in three-dimensional arrays

上述の電荷トラップ材料(例えば、ONOスタック)は、データ保持時間(一般的に、長い年数で測定される)は長いが、耐久性は低い。耐久性は、いくつかの書き込み/消去サイクル後の記憶トランジスタの性能劣化の尺度である。頻繁なデータの書き換えを必要とする一部のストレージアプリケーションでは、約10、000サイクル未満の耐久性は低すぎると見なされる。しかしながら、本発明の実施形態EMB-1、EMB-2、及びEMB-3のNORストリングは、データ保持時間は実質的に減少したが耐久性は大幅に増加した(例えば、データ保持時間は数年から数時間または数分に減少したが、耐久性(書き込み/消去サイクル)は1万サイクルから数千万サイクルに増加した)電荷トラップ材料を提供することができる。例えば、ONO膜または電荷トラップ層の同様の組み合わせでは、トンネル誘電体層、一般的には5~10Nmの酸化シリコンは、3ナノメートル以下に薄くされるか、完全に他の誘電体(例えば、窒化シリコンまたはSiN)に置換されるか、または単純に除去されない。同様に、電荷トラップ材料層は、従来のSiよりもシリコンリッチな、よりシリコンリッチな窒化シリコン(例えば、Si1.01.1)にしてもよい。適切な正の制御ゲートプログラム電圧下で、電子は、より薄いトンネル誘電体層を通って窒化シリコン電荷トラップ材料層内に直接トンネルすることができる(一般的に、プログラムするためにより高い電圧を必要とするファウラー・ノルドハイムトンネリングとは異なる)。電子は、数分、数時間、または数日の間、窒化シリコン電荷トラップ層に一時的にトラップされる。電荷トラップ窒化シリコン層及びブロッキング層(例えば、酸化シリコン、酸化アルミニウム、または他の高K誘電体)は、電子が制御ゲート(すなわち、ワード線)に逃げることを防ぐ。しかしながら、電子は負に帯電しており互いに反発し合うので、トラップされた電子は最終的にアクティブストリップのN副層221及び223、並びにP副層222にリークして戻る。たとえ3Nm以下のトンネル誘電体層が長期間のサイクルの後に局所的に破壊されたとしても、電荷トラップ材料中にトラップされている電子はその電荷トラップ材料からなかなか離れない。 The charge trapping materials described above (eg, ONO stacks) have long data retention times (typically measured in years) but low durability. Endurance is a measure of performance degradation of a storage transistor after a number of write/erase cycles. For some storage applications that require frequent data rewrites, endurance below about 10,000 cycles is considered too low. However, the NOR strings of embodiments EMB-1, EMB-2, and EMB-3 of the present invention have substantially reduced data retention times but greatly increased endurance (e.g., data retention times of several years). from hours or minutes, but endurance (write/erase cycles) increased from 10,000 cycles to tens of millions of cycles). For example, in ONO films or similar combinations of charge trapping layers, the tunnel dielectric layer, typically 5-10 Nm of silicon oxide, is thinned to 3 nm or less, or completely other dielectrics (e.g. silicon nitride or SiN) or simply not removed. Similarly, the charge trapping material layer may be more silicon-rich silicon nitride (eg, Si 1.0 N 1.1 ), which is more silicon-rich than conventional Si 3 N 4 . Under a suitable positive control gate programming voltage, electrons can tunnel directly into the silicon nitride charge trapping material layer through a thinner tunnel dielectric layer (generally requiring a higher voltage to program). (unlike Fowler-Nordheim tunneling). Electrons are temporarily trapped in the silicon nitride charge trapping layer for minutes, hours, or days. Charge trapping silicon nitride layers and blocking layers (eg, silicon oxide, aluminum oxide, or other high-K dielectrics) prevent electrons from escaping to the control gate (ie, wordline). However, since the electrons are negatively charged and repel each other, the trapped electrons eventually leak back to the N + sublayers 221 and 223 and the P - sublayer 222 of the active strip. Even if a tunnel dielectric layer of 3 Nm or less is locally destroyed after long-term cycling, the electrons trapped in the charge trapping material will stick to the charge trapping material.

電荷蓄積材料の他の組み合わせによっても、耐久性は高いがデータ保持時間は短い(「半揮発性」または「準揮発性」)TFTを得ることができる。このようなTFTは、失われた電荷を補充するために定期的な書き込みリフレッシュまたは読み出しリフレッシュを必要とし得る。実施形態EMB-1、EMB-2及びEMB-3のTFTは、TFT内に耐久性の高い電荷トラップ層を含めることによって、低レイテンシのDRAMのような高速読み出しアクセス時間を提供するので、このようなTFTを有するNORストリングアレイは、現在DRAMを必要とするいくつかのアプリケーションで使用することができる。DRAMと比較したこのようなNORストリングアレイの利点としては、DRAMよりもはるかに低いビットあたりコスト(DRAMは3次元ブロックに容易に組み込むことができないので)、及び、DRAMよりもはるかに低い電力消費(現在のDRAM技術ではリフレッシュサイクルは約64ミリ秒ごと実行する必要があるのに対して、NORストリングアレイではリフレッシュサイクルは数分または数時間に1回実行するだけでよいので)が挙げられる。本発明のNORストリングアレイの準揮発性の実施形態は、定期的なデータリフレッシュを組み込むために、プログラム/読み出し/消去条件を適切に適応させる。例えば、各準不揮発性NORストリングは頻繁に読み出しリフレッシュまたはプログラムリフレッシュされるので、最低10年間のデータ保持が必要とされる不揮発性TFTでは一般的な「0」状態と「1」状態との間の大きな閾値電圧ウィンドウを提供するために、TFTを「ハードプログラム」する必要はない。例えば、準揮発性の閾値電圧ウィンドウは、10年間のデータ保持をサポートするTFTでは一般的な1V~3Vと比較して、0.2V~1Vという小さい値にすることができる。 Other combinations of charge storage materials can also result in high endurance but short data retention (“semi-volatile” or “semi-volatile”) TFTs. Such TFTs may require periodic write or read refresh to replenish lost charge. This is because the TFTs of embodiments EMB-1, EMB-2, and EMB-3 provide low-latency DRAM-like fast read access times by including a highly durable charge trapping layer within the TFT. NOR string arrays with similar TFTs can now be used in some applications that require DRAM. Advantages of such a NOR string array compared to DRAM include much lower cost per bit than DRAM (because DRAM cannot be easily packed into 3D blocks) and much lower power consumption than DRAM. (Since current DRAM technology requires refresh cycles to occur approximately every 64 milliseconds, whereas NOR string arrays require refresh cycles to occur only once every few minutes or hours). A semi-volatile embodiment of the NOR string array of the present invention appropriately adapts program/read/erase conditions to incorporate periodic data refresh. For example, since each quasi-nonvolatile NOR string is frequently read-refreshed or program-refreshed, the ``0'' and ``1'' states typical for nonvolatile TFTs where a minimum of 10 years of data retention is required. There is no need to "hard program" the TFT to provide a large threshold voltage window of . For example, the quasi-volatile threshold voltage window can be as small as 0.2V to 1V, compared to 1V to 3V, which is typical for TFTs supporting 10-year data retention.

準揮発性NORストリングの読み出し動作、プログラム動作、マージン読み出し動作、リフレッシュ動作及び消去動作Semivolatile NOR String Read, Program, Margin Read, Refresh and Erase Operations

本発明の準揮発性NORストリングまたはスライスは、多くのメモリ用途、例えば、コンピュータのメインボード(「マザーボード」)上の中央処理装置(CPU)またはマイクロプロセッサの動作をサポートするためのメモリ装置における、DRAMの一部または全部の代替物として使用することができる。これらの用途におけるメモリ装置は、一般的に、高速ランダム読み出しアクセスが可能であり、かつ非常に長いサイクル寿命を有することが要求される。このため、本発明の準揮発性NORストリングは、不揮発性NOR実装と同様の読み出し/プログラム/禁止/消去シーケンスを用いる。加えて、プログラムされたTFTに蓄積された電荷は徐々にリークするので、失われた電荷は、読み出しエラーの前にTFTを再プログラムすることによって補充する必要がある。読み出しエラーを防ぐために、当業者にはよく知られているように、プログラムリフレッシュ動作が必要であるか否かを判断するために「マージン読み出し」条件を用いることができる。マージン読み出しは、すぐに故障するであろうTFTを、それを正しいプログラム状態に戻すのに手遅れになる前に識別するための早期検出メカニズムである。準揮発性TFTは通常、より低いプログラム電圧(Vpgm)、プログラム禁止電圧(Vinhibit)、または消去電圧(Verase)で、プログラムされるか、プログラム禁止されるか、または消去されるか、あるいは、より短いパルス持続期間を用いてプログラムされる。より低い電圧またはより短いパルス持続時間により、記憶材料上の誘電応力を低減させることができ、これにより、耐久性が桁違いに向上する。ブロック内の全てのスライスは、電荷蓄積材料からの電荷リークに起因するプログラムされたTFTの過度の閾値電圧シフトを早期に検出するために、マージン条件下での定期的な読み出しを必要とする。例えば、消去閾値電圧は0.5V±0.2Vであり、プログラムされた閾値電圧は1.5V±0.2Vであり、そして、通常の読み出し電圧は約1Vに設定され、マージン読み出しは約1.2Vに設定される。プログラムリフレッシュを必要とするスライスは、読み出した後、同一のスライス内に、あるいは、同一のブロック内または以前に消去した別のブロック内の消去済みスライス内に、正しく再プログラムする必要がある。準揮発性TFTを複数回読み出すと、消去閾値電圧またはプログラム閾値電圧が阻害される可能性があり、スライスを別の消去済みスライスに書き換える必要があり得る。読み出し中に、制御ゲート、ソース領域及びドレイン領域に印加される電圧を下げることによって、読み出しの阻害を抑制することができる。ただし、読み出しを繰り返すと、読み出しエラーが累積的に発生する恐れがある。このようなエラーは、データをエラー訂正コード(「ECC」)で符号化することを要求することによって回復することができる。 The semi-volatile NOR strings or slices of the present invention are useful in many memory applications, e.g. It can be used as a partial or complete replacement for DRAM. Memory devices in these applications are generally required to be capable of high speed random read access and have very long cycle life. Thus, the semi-volatile NOR string of the present invention uses a read/program/inhibit/erase sequence similar to non-volatile NOR implementations. In addition, since the charge stored in a programmed TFT leaks over time, the lost charge must be replenished by reprogramming the TFT prior to read errors. To prevent read errors, a "margin read" condition can be used to determine if a program refresh operation is required, as is well known to those skilled in the art. Margin read is an early detection mechanism to identify a TFT that will soon fail before it is too late to return it to its correct programmed state. Semivolatile TFTs are typically programmed, program inhibited, or erased at lower program voltages (V pgm ), program inhibit voltages (V inhibit ), or erase voltages (V erase ), Alternatively programmed with a shorter pulse duration. Lower voltages or shorter pulse durations can reduce the dielectric stress on the storage material, which improves durability by orders of magnitude. All slices within a block require periodic readout under margin conditions to early detect excessive threshold voltage shifts of programmed TFTs due to charge leakage from the charge storage material. For example, the erase threshold voltage is 0.5V±0.2V, the programmed threshold voltage is 1.5V±0.2V, the normal read voltage is set to about 1V, and the margin read is about 1V. .2V. A slice that requires a program refresh must be correctly reprogrammed into the same slice after being read, or into an erased slice in the same block or another previously erased block. Reading a semi-volatile TFT multiple times may disturb the erased or programmed threshold voltage and may require the slice to be rewritten to another erased slice. By lowering the voltages applied to the control gate, the source region and the drain region during readout, readout inhibition can be suppressed. However, repeated reading may lead to cumulative read errors. Such errors can be recovered by requiring the data to be encoded with an error correction code ("ECC").

本発明の準揮発性メモリを適切に動作させるための1つの困難な必要条件は、多数のTFT、NORストリング、ページまたはスライスを読み出し、プログラムリフレッシュする能力である。例えば、準揮発性の1テラビットチップは、各々128Kビットの約8、000、000スライスを有する。8つのスライス(約100万)のTFTを並行してプログラムリフレッシュすることができ(例えば、8つのブロックごとに1つのスライス)、プログラムリフレッシュ時間を100マイクロ秒と仮定すると、チップ全体のプログラムリフレッシュは約100秒で行うことができる。この大規模な並列処理は、主に次の2つの主要な要因により、本発明のメモリ装置において可能となる。(1)ファウラー・ノルドハイムトンネリングまたは直接トンネリングはTFTごとに必要とされるプログラム電流が極めて低いので、前例のない、100万以上のTFTを過剰な電力を拡大することなく同時にプログラムすることが可能となる。(2)長いNORストリングに固有の寄生キャパシタにより、プリチャージ、及び、複数のNORストリング上のプリチャージ電圧を一時的に保持することが可能となる。これらの特性により、互いに異なるブロック上の多数のページまたはスライスを最初にマージン読み出しモードで読み出してリフレッシュが必要か否かを判断し、リフレッシュが必要だと判断された場合には、単一の並列動作で、ページまたはスライスをプログラムまたはプログラム禁止のために個別にプリチャージし、プログラムリフレッシュすることが可能となる。平均保持時間が約10分以上の準揮発性メモリを使用すると、システムコントローラが、適切にプログラムリフレッシュするのに十分な時間を確保すること、及び、十分にECCリカバリ能力の範囲内にある低いエラーレートを維持することが可能となる。1テラビットのチップ全体が10分ごとにリフレッシュされる場合、そのようなチップは、一般的な64ミリ秒ごとに(すなわち、1、000倍以上の頻度で)リフレッシュされるDRAMチップと比べると有利であり、動作させるための電力消費ははるかに少ない。 One difficult requirement for proper operation of the semi-volatile memory of the present invention is the ability to read and program refresh a large number of TFTs, NOR strings, pages or slices. For example, a semi-volatile 1 Terabit chip has approximately 8,000,000 slices of 128 Kbit each. Assuming that 8 slices (approximately 1 million) of TFTs can be programmed in parallel (e.g., 1 slice for every 8 blocks) and the programmed refresh time is 100 microseconds, the programmed refresh of the entire chip is It can be done in about 100 seconds. This massive parallelism is made possible in the memory device of the present invention primarily due to two major factors. (1) Fowler-Nordheim Tunneling or Direct Tunneling requires an extremely low programming current per TFT, enabling unprecedented programming of over a million TFTs simultaneously without scaling excessive power; becomes. (2) Parasitic capacitors inherent in long NOR strings allow precharging and temporary holding of precharge voltages on multiple NOR strings. These characteristics allow multiple pages or slices on different blocks to be read first in margin read mode to determine if a refresh is required, and if refresh is required, a single parallel In operation, pages or slices can be individually precharged for program or program inhibit and program refreshed. Using semi-volatile memory with an average retention time of about 10 minutes or more, the system controller should have enough time to properly refresh the program, and low errors well within the ECC recovery capability. It is possible to maintain the rate. If an entire 1 Terabit chip is refreshed every 10 minutes, such a chip would be advantageous compared to a typical DRAM chip refreshed every 64 milliseconds (i.e. 1,000 times more frequently). and consumes much less power to operate.

図8Aは、従来技術のストレージシステム800を簡略化した形で示す図であり、このストレージシステム800では、マイクロプロセッサ(CPU)801が、NANDフラッシュチップ804を使用するフラッシュソリッドステートドライブ(SSD)内のシステムコントローラ803と通信する。SSDはハードディスクドライブをエミュレートし、NANDフラッシュチップ804はCPU801と直接通信せず、比較的長い読み出しレイテンシを有する。図8Bは、本発明のメモリデバイスを使用するシステムアーキテクチャ850を簡略化した形で示す図であり、このシステムアーキテクチャ850では、不揮発性NORストリングアレイ854または準揮発性NORストリングアレイ855(またはその両方)は、1以上の入出力(I/O)ポート861を介してCPU801から直接アクセスされる。I/Oポート861は、NORストリングアレイ854及び855に出入りするデータストリーミングのための1以上の高速シリアルポート、あるいは、ランダムにアクセスされる(一度に1ワード)、8ビット、16ビット、32ビット、64ビット、128ビット、または任意の適切なサイズのワイドワードであり得る。このようなアクセスは、例えば、DRAM互換性DDR4、及び将来のより高速の業界標準メモリインターフェースプロトコル、あるいはDRAM、SRAMまたはNORフラッシュメモリのための他のプロトコルを使用して提供され得る。I/Oポート862は、ストレージシステム管理コマンドをハンドルし、フラッシュメモリコントローラ853は、メモリチップ管理動作用及びメモリチップにプログラムされるデータ入力用のCPUコマンドをトランスレートする。加えて、CPU801は、いくつかの標準フォーマット(例えば、PCIe、NVMe、eMMC、SD、USB、SAS、またはマルチギガビット高速データレートポート)のうちの1つを用いて、I/Oポート862を使用して格納されたファイルの読み出し及び書き込みを行う。I/Oポート862は、システムコントローラ853とメモリチップ内のNORストリングアレイとの間で通信する。 FIG. 8A is a simplified diagram of a prior art storage system 800 in which a microprocessor (CPU) 801 resides in a flash solid state drive (SSD) using NAND flash chips 804. communicates with the system controller 803 of the The SSD emulates a hard disk drive, the NAND flash chip 804 does not communicate directly with the CPU 801 and has relatively long read latencies. FIG. 8B illustrates in simplified form a system architecture 850 using the memory device of the present invention, in which a non-volatile NOR string array 854 and/or a semi-volatile NOR string array 855 are shown. ) are accessed directly from CPU 801 via one or more input/output (I/O) ports 861 . I/O port 861 is one or more high speed serial ports for data streaming to and from NOR string arrays 854 and 855, or randomly accessed (one word at a time), 8-bit, 16-bit, 32-bit , 64 bits, 128 bits, or any suitable size wideword. Such access may be provided using, for example, DRAM-compatible DDR4 and future higher speed industry standard memory interface protocols, or other protocols for DRAM, SRAM or NOR flash memory. The I/O port 862 handles storage system management commands, and the flash memory controller 853 translates CPU commands for memory chip management operations and data input to be programmed into the memory chips. Additionally, CPU 801 uses I/O port 862 using one of several standard formats (e.g., PCIe, NVMe, eMMC, SD, USB, SAS, or multi-gigabit high-speed data rate ports). Reads and writes files stored as I/O port 862 communicates between system controller 853 and the NOR string array in the memory chip.

各システムコントローラは通常多数のメモリチップを管理するので、システムコントローラ(例えば、図8Bのシステムコントローラ853)をメモリチップから遠ざけておくことが有利であり、これにより、継続的な実行中のマージン読み出し/プログラムリフレッシュ動作から可能な限り解放され、単純なオンチップ状態機械、シーケンサ、または専用のマイクロコントローラによってより効率的に制御することができる。例えば、入力データについてのパリティ検査ビット(1ビット)またはより強力なECCワード(通常、数ビットから70ビット以上の間)を、オフチップコントローラによって、または専用ロジックまたは状態機械によってオンチップで生成し、プログラムされているページまたはスライスと共に格納することができる。マージン読み出し動作中に、アドレス指定されたページについてオンチップで生成されたパリティビットは、格納されているパリティビットと比較される。2つのパリティビットが一致しない場合には、コントローラはアドレス指定されたページを標準の読み出し下(すなわち、非マージン)で再度読み出す。2つのパリティビットが一致した場合には、たとえそれがまだ完全には破損していなくても、コントローラは、正しいデータをページに再プログラムする。パリティビットが一致しない場合は、オンチップ専用ECCロジックまたはオフチップコントローラが介入して不良ビットを検出及び訂正し、正しいデータを好ましくは別の利用可能なページまたはスライスに書き直し不良ページまたはスライスを恒久的にリタイヤさせる。オンチップECC動作を高速化するためには、オフチップにすることなくECCの一致を迅速に見つけるために、オンチップ排他的論理和、または他の論理回路を有することが有利である。あるいは、メモリチップは、低レイテンシのデータI/Oポートに干渉しないように、ECC及び他のシステム管理作業(例えば動的欠陥管理)のためのコントローラとの通信専用の1以上の高速I/Oポートを有することができる。読み出し動作またはプログラムリフレッシュ動作の頻度は、過剰なプログラム/消去サイクル後のTFTの消耗に起因してメモリチップの寿命にわたって変化するので、コントローラは各ブロック(好ましくは高速キャッシュスライス)にリフレッシュ動作間の時間間隔を示す値を格納するとよい。この時間間隔は、ブロックのサイクル数をトラックする。加えて、本発明のチップまたはシステムは、その出力データがリフレッシュの頻度をチップ温度により調節するのに使用される温度監視回路を有し得る。ここで使用されている例が、不良ページまたはスライスの迅速な修正または置換による自動的なプログラムリフレッシュを達成するために可能ないくつかのシーケンスのうちの1つにすぎないことは明らかであろう。 Since each system controller typically manages a large number of memory chips, it is advantageous to keep the system controller (eg, system controller 853 in FIG. 8B) away from the memory chips, which allows continuous running margin reads. Free from /program refresh operations as much as possible and can be more efficiently controlled by a simple on-chip state machine, sequencer, or dedicated microcontroller. For example, a parity check bit (1 bit) or a stronger ECC word (usually between a few bits and 70 or more bits) for the input data is generated on-chip by an off-chip controller or by dedicated logic or state machines. , can be stored with the page or slice being programmed. During margin read operations, the parity bits generated on-chip for the addressed page are compared with the stored parity bits. If the two parity bits do not match, the controller re-reads the addressed page under normal read (ie, non-margin). If the two parity bits match, the controller reprograms the page with the correct data, even if it is not yet completely corrupted. If the parity bits do not match, dedicated on-chip ECC logic or an off-chip controller intervenes to detect and correct the bad bit, rewrite the correct data preferably to another available page or slice, and make the bad page or slice permanent. to retire. In order to speed up on-chip ECC operation, it is advantageous to have an on-chip exclusive OR, or other logic, to quickly find an ECC match without going off-chip. Alternatively, the memory chip may have one or more high speed I/O dedicated to communication with the controller for ECC and other system management tasks (e.g. dynamic defect management) so as not to interfere with the low latency data I/O ports. can have ports. Because the frequency of read or program refresh operations varies over the life of the memory chip due to TFT wear after excessive program/erase cycles, the controller assigns each block (preferably a fast cache slice) a It is preferable to store a value that indicates the time interval. This time interval tracks the cycle number of the block. Additionally, the chip or system of the present invention may have a temperature monitoring circuit whose output data is used to adjust the refresh frequency with chip temperature. It should be clear that the example used here is just one of several possible sequences for achieving automatic program refresh with rapid correction or replacement of bad pages or slices. .

4、000のブロックのうちの8つのブロックのみ、すなわち全ブロックのうちの0.2%以下のブロックが一度にリフレッシュされる1テラビットチップの例では、プログラムリフレッシュ動作はバックグラウンドモードで実行することができ、他の全てのブロックのプリチャージ動作、読み出し動作、プログラム動作及び消去動作を並行に実行することができる。0.2%のブロックと99.8%のブロックとの間でアドレス衝突が発生した場合、システムコントローラは、そのアクセスのうちの1つがより緊急であると仲裁する。例えば、システムコントローラは、プログラムリフレッシュを中断して高速読み出しを優先し、その後に、プログラムリフレッシュを実行することができる。 In the example of a 1 terabit chip where only 8 out of 4,000 blocks are refreshed at a time, i.e. less than 0.2% of all blocks, the program refresh operation should be performed in background mode. and precharge, read, program and erase operations of all other blocks can be performed in parallel. If an address conflict occurs between the 0.2% and 99.8% blocks, the System Controller arbitrates one of the accesses as more urgent. For example, the system controller can interrupt programmed refresh to prioritize fast reads and then perform programmed refresh.

要約すると、本発明の集積回路メモリチップでは、各アクティブストリップ及びそれに関連する複数の導電性ワード線は、読み出し、プログラム、プログラム禁止または消去の各動作中に、セミフローティング状態(すなわち、基板回路内のストリング選択トランジスタを介してリークする電荷の影響を受ける状態)に保持される所定の電圧に充電することができる単一ポート絶縁キャパシタとして設計される。各アクティブストリップの絶縁セミフローティングキャパシタは、アクティブストリップに関連するNORストリング内のTFTをプログラムまたは消去するのに必要とされる極めて低いファウラー・ノルドハイムまたは直接トンネリング電流と相まって、ランダムに選択された大量のブロックの順次または同時のプログラム、消去または読み出しを可能にする。集積回路メモリチップ内では、第1のグループのブロックの1以上のNORストリングが最初にプリチャージされ、次いで、同時に消去され、一方、第2のグループのブロックの1以上のNORストリングが最初にプリチャージされ、次いで、同時にプログラムまたは読み出される。さらに、第1のグループのブロックの消去及び第2のグループのブロックのプログラムまたは読み出しは、順次または同時に行うことができる。休止状態のブロック(例えば、めったに変更されないアーカイバルデータを記憶するブロック)は、好ましくはセミフローティング状態に保持され、それらのNORストリング及び導体を接地電位に設定した後に基板回路から絶縁されることが好ましい。これらのセミフローティングNORストリングの大規模の並列読み出し及びプログラム帯域幅を利用するために、集積回路メモリチップ内に複数の高速I/Oポートを組み込むことが有利である。データは、これらのI/Oポートとの間においてオンチップで転送することができ、これにより、例えば、ワード幅のランダムアクセスのため、あるいは、チップ外(読み出し)またはチップ内(プログラムまたは書き込み)へのシリアルデータストリームのための複数のチャネルを提供することができる。 In summary, in the integrated circuit memory chip of the present invention, each active strip and its associated plurality of conductive word lines are semi-floated (i.e., in the substrate circuitry) during read, program, program inhibit or erase operations. It is designed as a single-port isolation capacitor that can be charged to a predetermined voltage that is held in a state (subject to charge leaking through the string select transistor). The isolation semi-floating capacitors of each active strip provide a randomly selected large amount of capacitance coupled with the extremely low Fowler-Nordheim or direct tunneling current required to program or erase the TFTs in the NOR strings associated with the active strip. Allows sequential or simultaneous programming, erasing or reading of blocks. Within an integrated circuit memory chip, one or more NOR strings of a first group of blocks are first precharged and then simultaneously erased, while one or more NOR strings of a second group of blocks are first precharged. charged and then programmed or read simultaneously. Further, erasing of the first group of blocks and programming or reading of the second group of blocks can be done sequentially or simultaneously. Dormant blocks (eg, blocks that store archival data that rarely changes) are preferably kept semi-floating and can be isolated from the substrate circuitry after setting their NOR strings and conductors to ground potential. preferable. To take advantage of the massively parallel read and program bandwidth of these semi-floating NOR strings, it is advantageous to incorporate multiple high speed I/O ports within the integrated circuit memory chip. Data can be transferred to and from these I/O ports on-chip, for example for word-wide random access, or off-chip (read) or on-chip (program or write). Multiple channels can be provided for serial data streams to.

上記の詳細な説明は、本発明の特定の実施形態を例示するために提供されたものであり、限定することを意図するものではない。本発明の範囲内で様々な変形及び変更が可能である。本発明は、添付の特許請求の範囲に記載されている。 The foregoing detailed descriptions are provided to illustrate specific embodiments of the invention and are not intended to be limiting. Various modifications and changes are possible within the scope of the invention. The invention is defined in the appended claims.

Claims (55)

メモリ構造体であって、
略平坦な表面を有し、かつ該表面の内部及び表面に形成された回路を含む半導体基板と、
前記半導体基板の前記表面上に形成され、第1の方向に沿って所定の距離によって互いに分離されているアクティブストリップの第1のスタック及び第2のスタックであって、前記アクティブストリップの前記各スタックが、2以上の互いに分離された前記表面上に互いに重畳して配置され、かつ、前記平坦な表面に対して略平行な第2の方向に沿って互いに長手方向に略整列された2以上のアクティブストリップを含む、アクティブストリップの第1のスタック及び第2のスタックと、
電荷トラップ材料と、
前記平坦な表面に対して略垂直な第3の方向に沿って長手方向に延びる第1の複数の導体と、を備え、
前記各スタックの前記アクティブストリップの各々は、第1の導電型を有する第1の半導体層が、それぞれ第2の導電型を有し、かつ、ポリシリコンまたはシリコンゲルマニウムを含む第2の半導体層と第3の半導体層との間に設けられており、
前記第1の複数の導体の各々は、前記アクティブストリップの前記第1のスタックと前記第2のアクティブストリップとの間に設けられ、かつ、前記電荷トラップ材料によって前記アクティブストリップの前記各スタックから分離されている導体群内に存在し、それにより前記各アクティブストリップに少なくとも1つのNORストリングを形成しており、
前記各NORストリングは、前記アクティブストリップの前記第1、第2及び第3の半導体層と、それらに隣接する前記電荷トラップ材料及び前記導体群内の前記導体とから形成された2以上の薄膜ストレージトランジスタを含む複数の薄膜ストレージトランジスタを含み、
(a)前記各アクティブストリップの前記第1、第2及び第3の半導体層はそれぞれ、前記薄膜ストレージトランジスタのチャネル領域、ソース領域及びドレイン領域を提供し、
(b)前記各アクティブストリップの前記薄膜ストレージトランジスタは、共通のソース領域及びドレイン領域を共有し、かつ、
(c)共有された前記ソース領域及び前記ドレイン領域の一方は、読み出し動作中、プログラム動作中、または消去動作中に前記回路に対して電気的に分離され、
(d)前記読み出し動作、前記プログラム動作、または消去動作の前に、前記共有された前記ソース領域及び前記ドレイン領域の他方を通して流れる電流によって、前記アクティブストリップに形成された前記薄膜ストレージトランジスタのうちの1以上の選択されたグループが、電気的に分離された共有領域の寄生キャパシタまたは固有キャパシタを所定の電圧まで充電するために導通状態にされる、メモリ構造体。
A memory structure,
a semiconductor substrate having a substantially planar surface and including circuitry formed in and on the surface;
a first stack and a second stack of active strips formed on the surface of the semiconductor substrate and separated from each other by a predetermined distance along a first direction, wherein each stack of the active strips are disposed superimposed on two or more of said surfaces separated from each other and substantially longitudinally aligned with each other along a second direction substantially parallel to said planar surface a first stack and a second stack of active strips, including active strips;
a charge trapping material;
a first plurality of conductors extending longitudinally along a third direction substantially perpendicular to the planar surface;
Each of the active strips of each stack includes a first semiconductor layer having a first conductivity type and a second semiconductor layer having a second conductivity type and comprising polysilicon or silicon germanium. provided between the third semiconductor layer,
Each of the first plurality of conductors is provided between the first stack of active strips and the second active strip and separated from the respective stack of active strips by the charge trapping material. in a group of conductors, thereby forming at least one NOR string in each said active strip;
two or more thin film storages each said NOR string formed from said first, second and third semiconductor layers of said active strips and said charge trapping material adjacent thereto and said conductors in said group of conductors; including a plurality of thin film storage transistors including transistors;
(a) said first, second and third semiconductor layers of each said active strip respectively provide channel, source and drain regions of said thin film storage transistor;
(b) the thin film storage transistors of each active strip share common source and drain regions; and
(c) one of the shared source and drain regions is electrically isolated with respect to the circuitry during read, program, or erase operations;
(d) one of the thin film storage transistors formed in the active strip by current flowing through the other of the shared source and drain regions prior to the read, program, or erase operation; A memory structure in which one or more selected groups are made conductive to charge parasitic or intrinsic capacitors in electrically isolated shared regions to a predetermined voltage.
請求項1に記載のメモリ構造体であって、
前記第1の方向に沿って形成された第2の複数の導体をさらに備え、
前記第2の複数の導体の各々は、前記半導体基板の前記表面の前記回路の一部を、前記第1の複数の導体のうちの選択された導体であって、前記薄膜ストレージトランジスタのゲート電極として機能する該導体に接続する、メモリ構造体。
2. The memory structure of claim 1, comprising:
further comprising a second plurality of conductors formed along the first direction;
Each of said second plurality of conductors forms a portion of said circuitry on said surface of said semiconductor substrate as a selected conductor of said first plurality of conductors and is a gate electrode of said thin film storage transistor. A memory structure that connects to the conductor that functions as a memory structure.
請求項2に記載のメモリ構造体であって、
前記第2の複数の導体は、前記略平坦な表面と前記アクティブストリップとの間に形成され、
当該メモリ構造体は、前記アクティブストリップ上に前記第1の方向に沿って形成された第3の複数の導体をさらに備え、
前記第3の複数の導体の各々は、前記半導体基板の前記表面の前記回路の一部を、前記第1の複数の導体のうちの選択された導体であって、前記薄膜ストレージトランジスタのゲート電極として機能する該導体に接続する、メモリ構造体。
3. The memory structure of claim 2, comprising:
said second plurality of conductors formed between said substantially planar surface and said active strip;
the memory structure further comprising a third plurality of conductors formed along the first direction on the active strip;
Each of said third plurality of conductors forms a portion of said circuitry on said surface of said semiconductor substrate as a selected conductor of said first plurality of conductors and is a gate electrode of said thin film storage transistor. A memory structure that connects to the conductor that functions as a memory structure.
請求項3に記載のメモリ構造体であって、
前記第1の複数の導体のうちの前記選択された導体であって、前記第2の複数の導体に接続される該導体、及び、前記第1の複数の導体のうちの前記選択された導体であって、前記第3の複数の導体に接続される該導体は、前記アクティブストリップの両側に設けられる、メモリ構造体。
4. The memory structure of claim 3, comprising:
the selected conductor of the first plurality of conductors, the conductor connected to the second plurality of conductors, and the selected conductor of the first plurality of conductors. and wherein the conductors connected to the third plurality of conductors are provided on opposite sides of the active strip.
請求項1に記載のメモリ構造体であって、
前記各アクティブストリップは、前記第2の半導体層及び前記第3の半導体層の一方または両方に対して電気的に接触され、かつ長手方向に略整列された少なくとも1つの金属層をさらに含む、メモリ構造体。
2. The memory structure of claim 1, comprising:
each said active strip further comprising at least one metal layer in electrical contact with one or both of said second semiconductor layer and said third semiconductor layer and substantially longitudinally aligned. Structure.
請求項1に記載のメモリ構造体であって、
読み出し動作またはプログラム動作中に、
前記NORストリングのアドレス指定された前記薄膜ストレージトランジスタに関連する前記導体のみが、読み出し動作またはプログラム動作に必要な所定の電圧まで瞬間的に引き上げられ、かつ、
前記NORストリングの全ての他の前記薄膜ストレージトランジスタに関連する前記導体は、消去された前記薄膜ストレージトランジスタの閾値電圧よりも低い電圧に保持される、メモリ構造体。
2. The memory structure of claim 1, comprising:
During read or program operations,
only the conductor associated with the addressed thin film storage transistor of the NOR string is momentarily raised to a predetermined voltage required for a read or program operation; and
The memory structure, wherein the conductors associated with all other thin film storage transistors of the NOR string are held at a voltage below the threshold voltage of the erased thin film storage transistors.
請求項1に記載のメモリ構造体であって、
前記1以上の薄膜ストレージトランジスタに記憶されたデータは、1年未満のデータ保持時間と、10、000プログラム/消去サイクルを超えるプログラム/消去サイクル寿命とを有する、メモリ構造体。
2. The memory structure of claim 1, comprising:
A memory structure, wherein data stored in the one or more thin film storage transistors has a data retention time of less than one year and a program/erase cycle life of greater than 10,000 program/erase cycles.
請求項1に記載のメモリ構造体であって、
前記第1の半導体層と前記第2の半導体層との間、及び前記第2の半導体層と前記第3の半導体層との間に、ドーパント拡散防止層をさらに含む、メモリ構造体。
2. The memory structure of claim 1, comprising:
The memory structure further comprising a dopant diffusion barrier layer between the first semiconductor layer and the second semiconductor layer and between the second semiconductor layer and the third semiconductor layer.
請求項1に記載のメモリ構造体であって、
前記各薄膜ストレージトランジスタの前記チャネル領域は、前記第1の導電型を有する半導体材料のピラーによって前記半導体基板に接続され、
前記半導体基板は、前記ピラーを介して、前記各薄膜ストレージトランジスタの前記チャネル領域に対して、読み出し動作中の閾値下リークまたは消去動作中の消去電圧を抑制する所定のバックバイアス電圧を提供する、メモリ構造体。
2. The memory structure of claim 1, comprising:
the channel region of each thin film storage transistor is connected to the semiconductor substrate by a pillar of semiconductor material having the first conductivity type;
the semiconductor substrate provides, through the pillars, the channel region of each thin film storage transistor with a predetermined back-bias voltage that suppresses sub-threshold leakage during read operations or erase voltage during erase operations; memory structure.
請求項1に記載のメモリ構造体であって、
前記チャネル領域の長さは、前記第1、第2及び第3の半導体層と前記第1の複数の導体のうちの対応する導体との間のフリンジ電界下で、蓄積電荷の横方向ホッピング伝導及びトンネリングアウトによって消去を達成するのに十分に短い、メモリ構造体。
2. The memory structure of claim 1, comprising:
a length of the channel region for laterally hopping conduction of stored charge under fringing electric fields between the first, second and third semiconductor layers and corresponding conductors of the first plurality of conductors; and memory structures short enough to accomplish erasure by tunneling out.
請求項1に記載のメモリ構造体であって、
前記各NORストリングは、個別にアドレス指定可能であり、
前記複数のNORストリングの各々の前記薄膜ストレージトランジスタのプログラム、消去、または読み出しは同時に行われる、メモリ構造体。
2. The memory structure of claim 1, comprising:
each NOR string is individually addressable;
A memory structure wherein programming, erasing or reading of the thin film storage transistors of each of the plurality of NOR strings is performed simultaneously.
請求項1に記載のメモリ構造体であって、
前記半導体基板の前記表面の前記回路は、前記固有キャパシタを、読み出し電圧、プログラム電圧、プログラム禁止電圧、消去電圧、及び基準ストリングとして指定されたNORストリングの前記プログラム可能な薄膜ストレージトランジスタを設定するための電圧のうちの1つの電圧に選択的に充電する、メモリ構造体。
2. The memory structure of claim 1, comprising:
The circuitry on the surface of the semiconductor substrate sets the intrinsic capacitors to the programmable thin film storage transistors in NOR strings designated as read voltages, program voltages, program inhibit voltages, erase voltages, and reference strings. of the voltages of the memory structure.
請求項12に記載のメモリ構造体であって、
選択された前記複数のNORストリングの各々における選択された前記薄膜ストレージトランジスタの読み出し動作中に、
(i)前記選択された各NORストリングにおける前記選択された薄膜ストレージトランジスタ群により、前記NORストリングの前記第2及び第3の半導体層のうちのフローティング状態の半導体層の固有キャパシタは、前記半導体基板の前記表面の前記回路から所定の電圧に充電され、
(ii)その後、前記選択された各NORストリングの前記第2及び第3の半導体層のうちの他方の半導体層は、読み出し検出電圧に充電されるとともに、前記半導体基板の前記表面の前記回路内のセンスアンプに接続され、かつ、
(iii)前記選択された薄膜ストレージトランジスタに関連する前記第1の複数の導体のうちの対応する導体は、一連の所定の読み出し電圧または電圧ランプに設定され、前記第1の複数の導体のうちの全ての選択されなかった導体は、それらの非導通状態に保持される、メモリ構造体。
13. The memory structure of claim 12, comprising:
during a read operation of the selected thin film storage transistor in each of the plurality of selected NOR strings;
(i) the selected group of thin film storage transistors in each of the selected NOR strings causes the intrinsic capacitance of the floating semiconductor layer of the second and third semiconductor layers of the NOR string to be equal to the semiconductor substrate; charged to a predetermined voltage from the circuit on the surface of the
(ii) thereafter, the other of the second and third semiconductor layers of each of the selected NOR strings is charged to a read detection voltage and in the circuit on the surface of the semiconductor substrate; and connected to the sense amplifier of
(iii) corresponding conductors of the first plurality of conductors associated with the selected thin film storage transistor are set to a series of predetermined read voltages or voltage ramps; All unselected conductors of the memory structure are held in their non-conducting state.
請求項1に記載のメモリ構造体であって、
プログラム動作中に、選択された前記薄膜ストレージトランジスタの前記ソース領域、前記ドレイン領域及び前記チャネル領域の固有キャパシタはそれぞれ、仮想接地電圧またはプログラム禁止電圧に瞬間的にプリチャージされる、メモリ構造体。
2. The memory structure of claim 1, comprising:
A memory structure wherein, during a program operation, the intrinsic capacitors of the source region, the drain region and the channel region of the selected thin film storage transistor are each instantaneously precharged to a virtual ground voltage or a program inhibit voltage.
請求項1に記載のメモリ構造体であって、
前記半導体基板の前記表面の前記回路は、データ保全回路を含み、
前記データ保全回路は、エラーを検出した場合に前記エラーをオンチップエラー訂正回路または外部システムコントローラに通知して、前記オンチップエラー訂正回路または外部システムコントローラにデータ復元及びプログラムリフレッシュ動作を実行させる、メモリ構造体。
2. The memory structure of claim 1, comprising:
the circuitry on the surface of the semiconductor substrate includes data integrity circuitry;
When the data integrity circuit detects an error, the data integrity circuit notifies the on-chip error correction circuit or the external system controller of the error to cause the on-chip error correction circuit or the external system controller to perform data restoration and program refresh operations. memory structure.
請求項1に記載のメモリ構造体であって、
前記第1の半導体層は、犠牲層の全部または一部を除去することにより形成されたキャビティまたは凹部内に設けられる、メモリ構造体。
2. The memory structure of claim 1, comprising:
A memory structure, wherein the first semiconductor layer is provided within a cavity or recess formed by removing all or part of a sacrificial layer.
請求項16に記載のメモリ構造体であって、
前記第1の半導体副層は、前記導体と前記第2及び第3の半導体副層との間に適切な電圧が印加されたときにすぐに空乏化するのに十分に薄い厚さを有する、メモリ構造体。
17. The memory structure of claim 16, comprising:
the first semiconductor sublayer has a thickness sufficiently thin to be readily depleted when a suitable voltage is applied between the conductor and the second and third semiconductor sublayers; memory structure.
請求項16に記載のメモリ構造体であって、
前記アクティブストリップの両側に形成された互いに隣接する前記NORストリングは、狭いスパインによって互いに分離されたそれぞれのチャネルを有する、メモリ構造体。
17. The memory structure of claim 16, comprising:
A memory structure, wherein said adjacent NOR strings formed on opposite sides of said active strip have respective channels separated from each other by narrow spines.
集積回路において、半導体基板上に設けられるメモリ構造体であって、
第1の方向に沿って所定の間隔を隔てて設けられた複数のスタックに配置された不揮発性または準揮発性の薄膜ストレージトランジスタの互いに分離された複数のNORストリングを含み、
前記各NORストリングは、該NORストリングの固有キャパシタンスを、該NORストリング内の個々の前記薄膜ストレージトランジスタのプログラム、プログラム禁止、消去または読み出しに使用される各電圧のうちから選択された所定の電圧まで瞬間的に充電するために、前記半導体基板内の回路から個別にアクセスされ、
前記各NORストリング内の前記薄膜ストレージトランジスタは、ソース副層及びドレイン副層を共有し、
前記各薄膜ストレージトランジスタは、チャネル副層、ワード線導体、及び、前記ワード線導体と前記チャネル副層との間に配置された電荷トラップ材料をさらに含み、
共有された前記ソース副層及び前記ドレイン副層の一方は、読み出し動作中、プログラム動作中、または消去動作中に前記回路に対して電気的に分離され、
前記読み出し動作、前記プログラム動作、または消去動作の前に、前記共有された前記ソース副層及び前記ドレイン副層の他方を通して流れる電流によって、前記チャネル副層が、電気的に分離された副層の寄生キャパシタまたは固有キャパシタを所定の電圧まで充電するために導通状態にされる、メモリ構造体。
A memory structure provided on a semiconductor substrate in an integrated circuit, comprising:
a plurality of isolated NOR strings of non-volatile or semi-volatile thin film storage transistors arranged in a plurality of stacks spaced apart along a first direction;
Each NOR string reduces the intrinsic capacitance of the NOR string to a predetermined voltage selected from voltages used to program, program inhibit, erase or read the individual thin film storage transistors in the NOR string. individually accessed from circuits in the semiconductor substrate for instantaneous charging,
the thin film storage transistors in each NOR string share source and drain sublayers;
each said thin film storage transistor further comprising a channel sublayer, a wordline conductor, and a charge trapping material disposed between said wordline conductor and said channel sublayer;
one of the shared source and drain sublayers is electrically isolated with respect to the circuitry during read, program, or erase operations;
Prior to the read, program, or erase operation, current flowing through the shared other of the source and drain sublayers causes the channel sublayer to become electrically isolated. A memory structure that is rendered conductive to charge a parasitic or intrinsic capacitor to a predetermined voltage.
請求項19に記載のメモリ構造体であって、
前記NORストリングは、前記各スタック内で互いに重畳して配置され、
前記各NORストリングは、前記半導体基板に対して略平行な第2の方向に沿って延び、かつ、前記半導体基板に対して略垂直な第3の方向に沿って延びる互いに離間されたワード線導体を有し、
前記薄膜ストレージトランジスタ内の電流は、前記第3の方向に対して略平行な方向に沿って流れる、メモリ構造体。
20. The memory structure of claim 19, comprising:
the NOR strings are arranged overlapping each other within each of the stacks;
Each NOR string extends along a second direction substantially parallel to the semiconductor substrate and spaced apart wordline conductors extending along a third direction substantially perpendicular to the semiconductor substrate. has
The memory structure, wherein current in the thin film storage transistor flows along a direction substantially parallel to the third direction.
請求項19に記載のメモリ構造体であって、
前記NORストリングは、前記半導体基板に対して略平行な第2の方向に沿って延び、互いに離間され、かつ、互いに重畳されたワード線導体を有し、
前記各NORストリングは、前記半導体基板に対して略垂直な第3の方向に沿って延び、
前記薄膜ストレージトランジスタ内の電流は、前記第2の方向に対して略平行な方向に沿って流れる、メモリ構造体。
20. The memory structure of claim 19, comprising:
said NOR strings having word line conductors extending along a second direction substantially parallel to said semiconductor substrate, spaced apart from each other and overlapping each other;
each NOR string extending along a third direction substantially perpendicular to the semiconductor substrate;
The memory structure, wherein current in the thin film storage transistor flows along a direction substantially parallel to the second direction.
請求項19に記載のメモリ構造体であって、
前記複数のNORストリングのうちの選択された前記NORストリングは、個別にアドレス指定及び充電され、1以上の前記NORストリングのグループのプログラム、プログラム禁止、消去または読み出しが同時に行われる、メモリ構造体。
20. The memory structure of claim 19, comprising:
A memory structure wherein selected NOR strings of said plurality of NOR strings are individually addressed and charged, and groups of one or more of said NOR strings are programmed, inhibited, erased or read simultaneously.
請求項19に記載のメモリ構造体であって、
前記チャネル副層を形成する前に、前記各NORストリングの共有された前記ソース副層と前記ドレイン副層との間に犠牲副層が設けられ、
前記犠牲副層の一部または全部が、共有された前記ソース副層と前記ドレイン副層との間にキャビティを形成するために選択的にエッチングされる、メモリ構造体。
20. The memory structure of claim 19, comprising:
providing a sacrificial sublayer between the shared source and drain sublayers of each of the NOR strings prior to forming the channel sublayer;
A memory structure wherein part or all of the sacrificial sublayer is selectively etched to form a cavity between the shared source and drain sublayers.
メモリ回路であって、
略平坦な表面を有し、かつ該表面の内部及び表面に形成された回路を含む半導体基板と、
前記半導体基板の前記略平坦な表面上に形成された誘電体層と、
前記誘電体層上に形成された半導体構造体であって、第1の導電型を有する第1の半導体副層が、それぞれ第2の導電型を有し、かつ、前記半導体構造体に側壁を提供する第2の半導体層と第3の半導体層との間に設けられている、該半導体構造体と、
前記半導体構造体の実質的に外側に位置し、前記第1の半導体副層の一部に対して略整列された導体と、
前記導体と前記第1の半導体副層の整列された部分との間の前記半導体構造体の前記側壁上に設けられた電荷ストレージ層とを備え、
前記第1、第2及び第3の半導体副層はそれぞれ、薄膜ストレージトランジスタのチャネル領域、ソース領域及びドレイン領域を提供し、
前記導体は、前記薄膜ストレージトランジスタにゲート電極を提供し、
前記第2の半導体副層及び前記第3の半導体副層によって提供される前記ソース領域及び前記ドレイン領域のうちの一方は、読み出し動作中、プログラム動作中、または消去動作中に前記半導体基板に形成された前記回路に対して電気的に分離され、
前記読み出し動作、前記プログラム動作、または消去動作の前に、前記ソース領域及び前記ドレイン領域の他方を通して流れる電流によって、前記チャネル領域が、電気的に分離された領域の寄生キャパシタまたは固有キャパシタを所定の電圧まで充電するために導通状態にされる、メモリ回路。
a memory circuit,
a semiconductor substrate having a substantially planar surface and including circuitry formed in and on the surface;
a dielectric layer formed on the substantially planar surface of the semiconductor substrate;
A semiconductor structure formed on the dielectric layer, wherein first semiconductor sublayers having a first conductivity type each have a second conductivity type and provide sidewalls to the semiconductor structure. the semiconductor structure provided between a second semiconductor layer and a third semiconductor layer provided;
a conductor located substantially outside the semiconductor structure and substantially aligned with a portion of the first semiconductor sublayer;
a charge storage layer provided on the sidewall of the semiconductor structure between the conductor and the aligned portion of the first semiconductor sublayer;
said first, second and third semiconductor sublayers respectively providing channel, source and drain regions of a thin film storage transistor;
the conductor provides a gate electrode for the thin film storage transistor;
One of the source region and the drain region provided by the second semiconductor sublayer and the third semiconductor sublayer is formed in the semiconductor substrate during a read operation, a program operation, or an erase operation. electrically isolated with respect to the circuit connected to the
Prior to the read, program, or erase operation, current flowing through the other of the source and drain regions causes the channel region to reduce the parasitic or intrinsic capacitance of electrically isolated regions to a predetermined value. A memory circuit that is rendered conductive to charge to a voltage.
請求項24のメモリ回路であって、
前記第2の半導体副層及び前記第3の半導体副層間は、それらの間に配置された犠牲材料によって実質的に規定される厚さを有し、
前記第1の半導体副層は、前記第2の半導体副層及び前記第3の半導体副層間から前記犠牲材料の少なくとも一部を除去した後に設けられる、メモリ回路。
25. The memory circuit of claim 24, comprising:
between the second semiconductor sublayer and the third semiconductor sublayer having a thickness substantially defined by a sacrificial material disposed therebetween;
The memory circuit, wherein the first semiconductor sublayer is provided after removing at least a portion of the sacrificial material from the second semiconductor sublayer and the third semiconductor sublayer.
請求項25のメモリ回路であって、
前記犠牲材料の一部が、物理的な支持及び分離を提供するために、前記第2の半導体副層及び前記第3の半導体副層間に残される、メモリ回路。
26. The memory circuit of claim 25, comprising:
A memory circuit wherein a portion of the sacrificial material is left between the second semiconductor sublayer and the third semiconductor sublayer to provide physical support and isolation.
請求項24のメモリ回路であって、
前記第1の半導体副層と、前記第2の半導体副層及び前記第3の半導体層の一方または両方との間に、ドーパント拡散防止層をさらに含む、メモリ回路。
25. The memory circuit of claim 24, comprising:
The memory circuit further comprising a dopant diffusion barrier layer between the first semiconductor sublayer and one or both of the second semiconductor sublayer and the third semiconductor layer.
半導体の製造方法であって、
半導体基板を用意し、その内部及び表面に回路を形成するステップと、
前記半導体基板上に複数のアクティブ層及び埋込みコンタクトを形成するステップであって、前記各アクティブ層は、第1の導電型を有する第1の半導体層が、それぞれ第2の導電型を有する第2の半導体層と第3の半導体層との間に設けられており、前記各アクティブ層は、前記埋込みコンタクトを除いて、誘電体層によってその下側のアクティブ層または前記半導体基板から電気的に分離されており、前記埋込みコンタクトは、前記各アクティブ層の1以上の前記第2の半導体層及び前記第3の半導体層を前記半導体基板の前記回路に接続する、該ステップと、
前記複数のアクティブ層をパターニング及び異方的にエッチングして、第1の方向に沿って互いに分離された第1のセットのトレンチを形成するステップであって、前記トレンチは第2の方向に長手方向に延びる側壁を有し、前記第1の方向及び前記第2の方向は前記半導体基板の表面に対して略平行である、該ステップと、
前記トレンチの前記側壁上に電荷トラップ材料を共形的に設けるステップと、
前記トレンチに導電性材料を充填するステップと、
前記導電性材料の一部をパターニング及びエッチングして、前記導電性材料の残りの部分によって、前記半導体基板の前記表面に対して略垂直な第3の方向に沿って長手方向に延びる複数の導体を形成するステップと、
前記導体を露出させるコンタクト開口部を除いて、前記アクティブ層上に誘電材料層を形成するステップと、
前記コンタクト開口部で露出された導体を前記半導体基板の前記回路に接続する導電性配線を設けるステップと、
を含むことを特徴とする方法。
A semiconductor manufacturing method comprising:
preparing a semiconductor substrate and forming a circuit inside and on the surface thereof;
forming a plurality of active layers and buried contacts on the semiconductor substrate, each active layer comprising a first semiconductor layer having a first conductivity type followed by a second semiconductor layer having a second conductivity type; and a third semiconductor layer, each said active layer, except for said buried contact, being electrically isolated from the underlying active layer or said semiconductor substrate by a dielectric layer. wherein the buried contacts connect one or more of the second semiconductor layer and the third semiconductor layer of each active layer to the circuitry of the semiconductor substrate;
patterning and anisotropically etching the plurality of active layers to form a first set of trenches separated from one another along a first direction, the trenches being longitudinal in a second direction; a sidewall extending in a direction, the first direction and the second direction being substantially parallel to the surface of the semiconductor substrate;
conformally providing a charge trapping material on the sidewalls of the trench;
filling the trench with a conductive material;
patterning and etching a portion of the conductive material such that a remaining portion of the conductive material extends longitudinally along a third direction substantially perpendicular to the surface of the semiconductor substrate; forming a
forming a layer of dielectric material over the active layer except for contact openings exposing the conductors;
providing a conductive trace connecting the conductor exposed at the contact opening to the circuitry of the semiconductor substrate;
A method comprising:
請求項28に記載の方法であって、
前記導体に接続するためのコンタクトを含む第2のセットの導電性配線を設けるステップをさらに含み、
前記トレンチをパターニング及びエッチングする前記ステップは、前記導体が形成されたとき前記導体への電気的接続が達成されるように、前記コンタクトを露出させることを特徴とする、方法。
29. The method of claim 28, wherein
further comprising providing a second set of conductive traces including contacts for connecting to the conductors;
A method, wherein said step of patterning and etching said trench exposes said contact such that electrical connection to said conductor is achieved when said conductor is formed.
請求項28に記載の方法であって、
前記電荷トラップ材料は、所定の値を超える電圧が前記複数の導体のうちの1つの導体と第1、第2及び第3の半導体層との間に印加された場合に、ファウラー・ノルドハイムトンネリングメカニズムまたは直接トンネリングメカニズムによって、前記第1、第2及び第3の半導体層から前記電荷トラップ材料へ電子がトンネルするような所定の厚さを有するように設けられる、方法。
29. The method of claim 28, wherein
The charge trapping material exhibits a Fowler-Nordheim tunneling effect when a voltage exceeding a predetermined value is applied between a conductor of the plurality of conductors and the first, second and third semiconductor layers. provided with a predetermined thickness such that electrons tunnel from said first, second and third semiconductor layers to said charge trapping material by a mechanism or a direct tunneling mechanism.
請求項28に記載の方法であって、
前記電荷トラップ材料は、チャネルホットエレクトロン注入メカニズムによって、前記第2の半導体層及び前記第3の半導体層の一方から前記チャネル上の前記電荷トラップ材料へ電子がトンネルするような所定の厚さを有するように設けられる、方法。
29. The method of claim 28, wherein
The charge trapping material has a predetermined thickness such that electrons tunnel from one of the second semiconductor layer and the third semiconductor layer to the charge trapping material on the channel by a channel hot electron injection mechanism. The method is provided as follows.
3次元メモリブロック用の半導体の製造方法であって、
半導体基板を用意し、その内部及び表面に回路を形成するステップと、
前記半導体基板上に第1のセットの低抵抗率導体配線を形成し、ビア開口部を介して前記回路に接続するステップと、
第1の絶縁層を堆積させ平坦化させるステップと、
前記半導体基板の前記回路への電気的接続を提供するために、前記第1の絶縁層内に第1のセットの埋め込みコンタクトを形成するステップと、
前記第1の絶縁層上に半導体材料の第1のプレーンを形成するステップであって、前記半導体材料の前記第1のプレーンは、第1の半導体副層を形成するためのスペースを画定する第1の犠牲材料層と、それぞれ第1の導電型を有し、かつ、前記第1の犠牲材料層によって互いに分離された第2の半導体副層及び第3の半導体副層とを含み、前記第1のセットの埋め込みコンタクトは、前記第2の半導体副層または前記第3の半導体副層と前記半導体基板の前記回路との間の電気的接触を提供する、該ステップと、
前記半導体材料の前記第1のプレーンの一部をパターニング及びエッチングして、次のセットの埋め込みコンタクトのための空間を形成するステップと、
次の(i)~(iv)のサブステップ、
(i)追加の絶縁層を堆積させ平坦化するサブステップ、
(ii)前記半導体基板の前記回路への電気的接続を提供するために、前記次のセットの埋め込みコンタクトを形成するサブステップ、
(iii)前記追加の絶縁層及び前記次のセットの埋め込みコンタクト上に半導体材料の追加のプレーンを形成するサブステップであって、前記半導体材料の前記追加のプレーンは、第1の犠牲材料層と、それぞれ第1の導電型を有し、かつ、前記第1の犠牲材料層によって互いに分離された第2の半導体副層及び第3の半導体副層とを含み、前記半導体材料の前記追加のプレーンの前記第2の半導体副層または前記第3の半導体副層は、前記次のセットの埋め込みコンタクトのうちの1つの埋め込みコンタクトによって電気的に接続される、該サブステップ、及び
(iv)前記半導体材料の前記追加のプレーンの一部をパターニング及びエッチングして、別の次のセットの埋め込みコンタクトのための空間を形成するサブステップ、
を所定の回数繰り返すステップと、
前記半導体材料の前記プレーン及び前記絶縁層をパターニング及び異方的にエッチングしてアクティブストリップのアレイを形成するステップと、
を含む、方法。
A method of manufacturing a semiconductor for a three-dimensional memory block, comprising:
preparing a semiconductor substrate and forming a circuit inside and on the surface thereof;
forming a first set of low resistivity conductor traces on the semiconductor substrate and connecting to the circuitry through via openings;
depositing and planarizing a first insulating layer;
forming a first set of buried contacts in the first insulating layer to provide electrical connection to the circuitry of the semiconductor substrate;
forming a first plane of semiconductor material on the first insulating layer, the first plane of semiconductor material defining a space for forming a first semiconductor sublayer; a layer of sacrificial material, and second and third semiconductor sublayers each having a first conductivity type and separated from each other by the first layer of sacrificial material; a set of buried contacts providing electrical contact between the second semiconductor sublayer or the third semiconductor sublayer and the circuitry of the semiconductor substrate;
patterning and etching a portion of the first plane of the semiconductor material to form spaces for the next set of buried contacts;
the following substeps (i) to (iv);
(i) substeps of depositing and planarizing an additional insulating layer;
(ii) forming said next set of buried contacts to provide electrical connection to said circuitry of said semiconductor substrate;
(iii) forming an additional plane of semiconductor material over the additional insulating layer and the next set of buried contacts, the additional plane of semiconductor material being the first layer of sacrificial material; , a second semiconductor sublayer and a third semiconductor sublayer each having a first conductivity type and separated from each other by the first layer of sacrificial material; said second semiconductor sublayer or said third semiconductor sublayer of is electrically connected by a buried contact of one of said next set of buried contacts; patterning and etching a portion of said additional plane of material to form a space for another next set of buried contacts;
a predetermined number of times;
patterning and anisotropically etching the plane of the semiconductor material and the insulating layer to form an array of active strips;
A method, including
請求項32に記載の方法であって、
前記第2の半導体副層及び前記第3の半導体副層内のドーパントを活性化させるために、前記半導体材料の前記第1のプレーンと前記各追加のプレーンとを同時にアニーリングするステップをさらに含む、方法。
33. The method of claim 32, wherein
simultaneously annealing the first plane and each additional plane of the semiconductor material to activate dopants in the second semiconductor sublayer and the third semiconductor sublayer; Method.
請求項32に記載の方法であって、
前記アクティブストリップの前記アレイは、前記アクティブストリップの複数のスタックを含み、
前記各スタックは、前記第1のセットのトレンチのうちの1つのトレンチによって、それに隣接するスタックから第1の方向に沿って分離されており、
前記各スタックは、第2の方向に長手方向に延びる側壁を有しており、
前記第1の方向及び前記第2の方向は、前記半導体基板の前記表面に対して略平行である、方法。
33. The method of claim 32, wherein
the array of active strips includes a plurality of stacks of the active strips;
each said stack being separated from its adjacent stacks along a first direction by a trench of said first set of trenches;
each stack having a sidewall extending longitudinally in a second direction;
The method, wherein the first direction and the second direction are substantially parallel to the surface of the semiconductor substrate.
請求項34に記載の方法であって、
第2の犠牲材料を使用して、前記アクティブストリップの前記スタック間の前記トレンチを充填するステップと、
前記第2犠牲材料を部分的にエッチングして、前記アクティブストリップの前記スタックの一方または両方の側壁の一部と前記アクティブストリップの前記各スタックの底部とを露出させることによって、第2のセットのトレンチを形成するステップと、
全ての前記アクティブストリップから前記第1の犠牲材料の少なくとも一部を除去するステップと、をさらに含み、
前記除去するステップは、前記各アクティブストリップの前記第2の半導体副層及び前記第3の半導体副層または前記第2の犠牲材料を実質的にエッチングすることなく前記第1の犠牲材料を選択的に除去するエッチング液を使用して行われ、該ステップにより、前記各アクティブストリップの前記第2の半導体副層と前記第3の半導体副層との間に凹部またはキャビティが形成される、方法。
35. The method of claim 34, wherein
filling the trenches between the stacks of the active strips using a second sacrificial material;
partially etching the second sacrificial material to expose a portion of one or both sidewalls of the stack of active strips and a bottom of each stack of active strips, thereby forming a second set of forming a trench;
removing at least a portion of the first sacrificial material from all of the active strips;
The removing step selectively removes the first sacrificial material without substantially etching the second and third semiconductor sublayers or the second sacrificial material of each of the active strips. wherein said step forms a recess or cavity between said second semiconductor sublayer and said third semiconductor sublayer of said each active strip.
請求項35に記載の方法であって、
第2の導電型を有する第1の半導体副層を前記凹部またはキャビティ内に堆積させて、前記第2のセットのトレンチの前記側壁と共形にするステップと、
その後に、前記凹部またはキャビティの内側からを除いて、前記側壁から前記第1の半導体副層を除去するステップと、をさらに含む、方法。
36. The method of claim 35, wherein
depositing a first semiconductor sublayer having a second conductivity type within the recesses or cavities to be conformal with the sidewalls of the second set of trenches;
thereafter, removing said first semiconductor sublayer from said sidewalls except from inside said recess or cavity.
請求項36に記載の方法であって、
前記側壁と共形であり、かつ、前記第2犠牲材料を除去することによって露出された前記第1のセットのトレンチの各トレンチの底部まで延びる電荷蓄積層を形成するステップをさらに含む、方法。
37. The method of claim 36, wherein
forming a charge storage layer conformal to the sidewalls and extending to the bottom of each trench of the first set of trenches exposed by removing the second sacrificial material.
請求項37に記載の方法であって、
前記電荷蓄積層は、化学的もしくは原子層堆積、酸化シリコンの酸化、または窒化シリコンの酸化によって形成された1~8nmの厚さを有するトンネル誘電体膜、あるいは、バンドギャップ操作酸化物-窒化物-酸化物誘電体サンドイッチを含み、
当該方法は、
酸化シリコン、あるいは、酸化アルミニウム、酸化ハフニウムまたはそれらの組み合わせを含む材料の高誘電率膜から選択された4~15nmの厚さを有するブロッキング誘電体膜でキャップされた4~8nmの厚さを有するシリコンリッチ窒化シリコンを含む電荷トラッピング層を堆積させるステップをさらに含む、方法。
38. The method of claim 37, wherein
The charge storage layer is a tunnel dielectric film having a thickness of 1-8 nm formed by chemical or atomic layer deposition, oxidation of silicon oxide, or oxidation of silicon nitride, or a bandgap engineered oxide-nitride - including an oxide dielectric sandwich,
The method is
having a thickness of 4-8 nm capped with a blocking dielectric film having a thickness of 4-15 nm selected from silicon oxide or high dielectric constant films of materials including aluminum oxide, hafnium oxide or combinations thereof; The method further comprising depositing a charge trapping layer comprising silicon-rich silicon nitride.
3次元メモリブロック用の半導体の製造方法であって、
半導体基板を用意し、その内部及び表面に回路を形成するステップと、
前記半導体基板上に第1のセットの低抵抗率導体配線を形成し、ビア開口部を介して前記回路に接続するステップと、
第1の絶縁層を堆積させ平坦化させるステップと、
前記半導体基板の前記回路への電気的接続を提供するために、前記第1の絶縁層内に第1のセットの埋め込みコンタクトを形成するステップと、
前記第1の絶縁層上に半導体材料の第1のプレーンを形成するステップであって、前記半導体材料の前記第1のプレーンは、第1の半導体副層を形成するためのスペースを画定する第1の犠牲材料層と、それぞれ第1の導電型を有し、かつ、前記第1の犠牲材料層によって互いに分離された第2の半導体副層及び第3の半導体副層とを含み、前記第1のセットの埋め込みコンタクトは、前記第2の半導体副層または前記第3の半導体副層と前記半導体基板の前記回路との間の電気的接触を提供する、該ステップと、
前記半導体材料の前記第1のプレーンの一部をパターニング及びエッチングして、次のセットの埋め込みコンタクトのための空間を形成するステップと、
次の(i)~(iv)のサブステップ、
(i)追加の絶縁層を堆積させ平坦化するサブステップ、
(ii)前記半導体基板の前記回路への電気的接続を提供するために、前記次のセットの埋め込みコンタクトを形成するサブステップ、
(iii)前記追加の絶縁層上に半導体材料の追加のプレーンを形成するサブステップであって、前記半導体材料の前記追加のプレーンは、第1の犠牲材料層と、それぞれ第1の導電型を有し、かつ、前記第1の犠牲材料層によって互いに分離された第2の半導体副層及び第3の半導体副層とを含み、前記半導体材料の前記追加のプレーンの前記第2の半導体副層または前記第3の半導体副層は、前記次のセットの埋め込みコンタクトのうちの1つの埋め込みコンタクトによって電気的に接続される、該サブステップ、及び
(iv)前記半導体材料の前記追加のプレーンの一部をパターニング及びエッチングして、別の次のセットの埋め込みコンタクトのための空間を形成するサブステップ、
を所定の回数繰り返すステップと、
前記半導体材料の前記各プレーン及び前記絶縁層をパターニング及び異方的にエッチングしてアクティブストリップのアレイを形成するステップであって、前記アクティブストリップのアレイは、前記半導体基板の前記表面に対して略平行な第2の方向に沿って長手方向に延びる側壁を有する第1のセットのトレンチによって第1の方向に沿って互いに分離された前記アクティブストリップの複数のスタックを含む、該ステップと、
前記アクティブストリップの前記スタックの前記露出した側壁と共形の電荷蓄積層を形成するステップと、
前記電荷蓄積層内の開口部をパターニング及びエッチングして、前記アクティブストリップの前記各スタックの前記側壁の一方または両方の領域を露出させるステップと、
前記各アクティブストリップの前記第1の犠牲材料を前記露出した側壁から選択的にエッチングして、前記第2の半導体副層と前記第3の半導体副層との間に1以上のキャビティを形成するステップと、
前記キャビティ及び前記第1のトレンチのうちの前記露出したトレンチの選択された部分に半導体材料を堆積させて、前記第1のトレンチのうちの前記露出したトレンチ内に第1の半導体副層及び半導体材料のピラーを形成するステップと、を含む、方法。
A method of manufacturing a semiconductor for a three-dimensional memory block, comprising:
preparing a semiconductor substrate and forming a circuit inside and on the surface thereof;
forming a first set of low resistivity conductor traces on the semiconductor substrate and connecting to the circuitry through via openings;
depositing and planarizing a first insulating layer;
forming a first set of buried contacts in the first insulating layer to provide electrical connection to the circuitry of the semiconductor substrate;
forming a first plane of semiconductor material on the first insulating layer, the first plane of semiconductor material defining a space for forming a first semiconductor sublayer; a layer of sacrificial material, and second and third semiconductor sublayers each having a first conductivity type and separated from each other by the first layer of sacrificial material; a set of buried contacts providing electrical contact between the second semiconductor sublayer or the third semiconductor sublayer and the circuitry of the semiconductor substrate;
patterning and etching a portion of the first plane of the semiconductor material to form spaces for the next set of buried contacts;
the following substeps (i) to (iv);
(i) substeps of depositing and planarizing an additional insulating layer;
(ii) forming said next set of buried contacts to provide electrical connection to said circuitry of said semiconductor substrate;
(iii) forming additional planes of semiconductor material on said additional insulating layer, said additional planes of semiconductor material comprising a first layer of sacrificial material and each of a first conductivity type; and comprising a second semiconductor sublayer and a third semiconductor sublayer separated from each other by the first layer of sacrificial material, the second semiconductor sublayer of the additional plane of semiconductor material. or said third semiconductor sublayer is electrically connected by a buried contact of one of said next set of buried contacts; and (iv) one of said additional planes of said semiconductor material. patterning and etching the portion to form a space for another next set of buried contacts;
a predetermined number of times;
patterning and anisotropically etching each of said planes of said semiconductor material and said insulating layer to form an array of active strips, said array of active strips being substantially relative to said surface of said semiconductor substrate; a plurality of stacks of said active strips separated from each other along a first direction by a first set of trenches having sidewalls extending longitudinally along parallel second directions;
forming a charge storage layer conformal with the exposed sidewalls of the stack of active strips;
patterning and etching an opening in the charge storage layer to expose a region of one or both of the sidewalls of each stack of the active strips;
selectively etching the first sacrificial material of each of the active strips from the exposed sidewalls to form one or more cavities between the second semiconductor sublayer and the third semiconductor sublayer; a step;
Depositing a semiconductor material in selected portions of the exposed ones of the cavity and the first trenches to form a first semiconductor sublayer and a semiconductor within the exposed ones of the first trenches. forming pillars of material.
請求項39に記載の方法であって、
前記半導体材料の互いに隣接する前記プレーンを互いに分離する前記絶縁層は、前記アクティブストリップ間の寄生容量結合を減少させるエアギャップを形成するためにエッチングされる、方法。
40. The method of claim 39, wherein
The method wherein the insulating layer separating the adjacent planes of the semiconductor material from each other is etched to form air gaps that reduce parasitic capacitive coupling between the active strips.
請求項39に記載の方法であって、
前記半導体材料のピラーは、前記各スタック内の前記アクティブストリップの周りを部分的に包囲して、互いに隣接する前記アクティブストリップ間を電気的にシールドする、方法。
40. The method of claim 39, wherein
The method of claim 1, wherein the pillars of semiconductor material partially wrap around the active strips in each stack to provide electrical shielding between adjacent active strips.
請求項41に記載の方法であって、
前記半導体材料のピラーは、前記各アクティブストリップの前記第1の半導体副層を前記半導体基板の前記回路に接続する、方法。
42. The method of claim 41, wherein
The method of claim 1, wherein the pillars of semiconductor material connect the first semiconductor sublayer of each active strip to the circuitry of the semiconductor substrate.
システムコントローラまたはホスト装置が、多数のメモリ回路のうちの1つに格納されたデータファイルの最新バージョンの位置を迅速に決定するための方法であって、
前記各メモリ回路において、
(a)前記データファイルの指定された1以上のページを、システムコントローラにより生成された固有の識別子インデックス番号に関連付けるとともに、前記固有の識別子インデックス番号を前記データファイルに付加し、
(b)前記データファイルが前記メモリ回路内に記憶または更新されるたびにタイムスタンプを前記固有の識別子インデックス番号に関連付けるステップであって、
前記各メモリ回路内に記憶された全ての前記データファイルについての全ての前記固有の識別子インデックス番号は、最新のタイムスタンプと、前記データファイルが格納された前記メモリ回路内の位置と共に前記メモリ回路内のルックアップテーブルに記憶される、該ステップと、
前記システムコントローラまたは前記ホスト装置から、検索するデータファイルの前記固有の識別子インデックス番号を指定する検索要求を、1以上の前記メモリ回路に対してブロードキャストで同時に送信するステップと、
前記各メモリ回路において、排他的論理和(XOR)回路または連想メモリ(CAM)回路を使用して、前記ブロードキャストされた固有の識別子インデックス番号を前記メモリ回路の前記ルックアップテーブルに記憶された前記固有の識別子インデックス番号と比較し、一致するものが見つかった場合には、それをそのデータファイルのタイムスタンプ及び位置と共に前記システムコントローラに報告するステップであって、一致するものが複数見つかった場合には、前記システムコントローラは、前記報告された位置から、前記報告されたタイムスタンプのうちの最新のタイムスタンプに関連する位置を選択する、該ステップと、を含む方法。
A method for a system controller or host device to quickly determine the location of the latest version of a data file stored in one of a number of memory circuits, comprising:
In each memory circuit,
(a) associates one or more designated pages of the data file with a unique identifier index number generated by a system controller and appends the unique identifier index number to the data file;
(b) associating a timestamp with the unique identifier index number each time the data file is stored or updated in the memory circuit;
All said unique identifier index numbers for all said data files stored in said each memory circuit are stored in said memory circuit along with the most recent time stamp and the location in said memory circuit where said data file was stored. the steps stored in a lookup table of
simultaneously transmitting a search request designating the unique identifier index number of a data file to be searched from the system controller or the host device to one or more of the memory circuits;
In each of said memory circuits, an exclusive OR (XOR) circuit or a content addressable memory (CAM) circuit is used to convert said broadcast unique identifier index number to said unique stored in said lookup table of said memory circuit. identifier index number of and if a match is found, reporting it to the system controller along with the time stamp and location of the data file; if more than one match is found, , wherein the system controller selects from the reported locations the location associated with the most recent one of the reported timestamps.
請求項43に記載の方法であって、
前記各メモリ回路は、低読み出しレイテンシのキャッシュメモリとして動作するように構成された部分を含み、
前記ルックアップテーブルは、前記キャッシュメモリに格納される、方法。
44. The method of claim 43, wherein
each memory circuit includes a portion configured to operate as a low read latency cache memory;
The method, wherein the lookup table is stored in the cache memory.
請求項43に記載の方法であって、
前記各メモリ回路は、前記メモリ回路内のエラーを検出した場合には、前記エラーをオンチップエラー訂正回路または前記システムコントローラに通知して、前記オンチップオンチップエラー訂正回路または前記システムコントローラにデータ復元及びプログラムリフレッシュ動作を実行させるデータ保全回路を含む、方法。
44. The method of claim 43, wherein
Each of the memory circuits, when detecting an error in the memory circuit, notifies the on-chip error correction circuit or the system controller of the error and sends the data to the on-chip error correction circuit or the system controller. A method comprising data integrity circuitry for performing restore and program refresh operations.
請求項43に記載の方法であって、
前記各メモリ回路は、1以上の従来のDRAM、SRAM、NORフラッシュ、NANDフラッシュ、フラッシュソリッドステートドライブ、ワード幅またはシリアルビットストリームプロトコルを使用して前記メモリ回路に直接的にアクセスすることを可能にするインターフェース回路を含む、方法。
44. The method of claim 43, wherein
Each of the memory circuits enables direct access to the memory circuit using one or more conventional DRAM, SRAM, NOR flash, NAND flash, flash solid state drive, word wide or serial bitstream protocols. a method comprising an interface circuit for
請求項43に記載の方法であって、
前記各メモリ回路において、前記メモリ回路の第1の部分に対する読み出しリフレッシュまたはプログラムリフレッシュ動作をバックグラウンドモードで実行し、それと同時に、前記メモリ回路の第2の部分に対する読み出し動作、プログラム動作、または消去動作を実行するとともに、前記メモリ回路の第3の部分を電源オフにするステップをさらに含む、方法。
44. The method of claim 43, wherein
In each of the memory circuits, performing a read refresh or program refresh operation on a first portion of the memory circuits in a background mode while concurrently reading, programming, or erasing operations on a second portion of the memory circuits. and powering off a third portion of the memory circuit.
請求項43に記載の方法であって、
前記各メモリ回路にオンチップリソース管理データを格納するステップをさらに含み、
前記オンチップリソース管理データには、前記メモリ回路に格納されている前記データファイルのための更新可能なファイル割り当てテーブル、固有の識別子インデックス番号、プログラム/消去サイクルカウント、チップ温度、及び前記格納されている各データファイルの更新時に該データファイルに付加されるタイムスタンプのうちの1以上が含まれる、方法。
44. The method of claim 43, wherein
further comprising storing on-chip resource management data in each of said memory circuits;
The on-chip resource management data includes an updatable file allocation table for the data files stored in the memory circuit, a unique identifier index number, a program/erase cycle count, a chip temperature, and the stored including one or more of the time stamps appended to each data file when the data file is updated.
請求項43に記載の方法であって、
前記各メモリ回路は、パイプラインストリーミング回路をさらに含み、
前記パイプラインストリーミング回路は、センスアンプ内に記憶されているデータのメモリページを検出すること、及びシリアルビットストリームまたはメモリ回路からの並列ワード幅出力のために検出されたデータをデータバッファに転送することを、前記センスアンプで検出するために前記メモリ回路から前記記憶されているデータの次のメモリページを同時に読み出すこととオーバーラップさせる、方法。
44. The method of claim 43, wherein
each memory circuit further comprising a pipeline streaming circuit;
The pipeline streaming circuit detects memory pages of data stored within the sense amplifiers and transfers the detected data to a data buffer for parallel word-wide output from a serial bitstream or memory circuit. overlapping with simultaneously reading the next memory page of the stored data from the memory circuit for detection by the sense amplifier.
複数のメモリ回路のうちの1つに格納されたデータファイルの最新バージョンの位置を迅速に決定するように構成されたシステムコントローラであって、
前記複数のメモリ回路の各々は、複数のメモリページに構成され、
(a)指定された1以上のメモリページをデータファイルに関連付けるとともに、前記データファイルに前記システムコントローラによって生成された固有の識別子インデックス番号を関連付け、かつ、
(b)前記データファイルが前記メモリ回路に格納されるまたは更新されるたびに、タイムスタンプを前記固有の識別子インデックス番号に関連付けるための制御回路を含み、
前記メモリ回路内に記憶された全ての前記データファイルについての全ての前記固有の識別子インデックス番号は、最新のタイムスタンプと、前記データファイルが格納された前記メモリ回路内の位置と共に前記メモリ回路内のルックアップテーブルに記憶され、
前記システムコントローラは、検索するべきデータファイルの固有の識別子インデックス番号を指定する検索要求を、1以上の前記メモリ回路に対してブロードキャストで同時に送信するように構成され、
前記制御回路は、前記システムコントローラから送信された検索するべきデータファイルの固有の識別子インデックス番号を、前記ルックアップテーブルに記憶された前記固有の識別子インデックスと比較し、一致するものが見つかった場合には、それをそのデータファイルのタイムスタンプ及び位置と共に前記システムコントローラに報告するための排他的論理和(XOR)回路または連想メモリ(CAM)回路を含み、
前記制御回路において、前記ルックアップテーブルに記憶された前記固有の識別子インデックスとの間で一致するものが複数見つかった場合には、前記システムコントローラが、前記報告された位置から、前記報告されたタイムスタンプのうちの最新のタイムスタンプに関連する位置を選択する、システムコントローラ。
A system controller configured to quickly determine the location of the latest version of a data file stored in one of a plurality of memory circuits, comprising:
each of the plurality of memory circuits is configured into a plurality of memory pages;
(a) associates one or more designated memory pages with a data file and associates said data file with a unique identifier index number generated by said system controller; and
(b) control circuitry for associating a time stamp with the unique identifier index number each time the data file is stored or updated in the memory circuitry;
All said unique identifier index numbers for all said data files stored in said memory circuit are stored in said memory circuit along with the most recent time stamp and the location in said memory circuit where said data file was stored. stored in a lookup table,
The system controller is configured to simultaneously broadcast a search request specifying a unique identifier index number of a data file to be searched to one or more of the memory circuits;
The control circuit compares the unique identifier index number of the data file to be searched sent from the system controller with the unique identifier index stored in the lookup table, and if a match is found, includes an exclusive OR (XOR) circuit or content addressable memory (CAM) circuit for reporting it to the system controller along with the time stamp and location of its data file;
If the control circuit finds more than one match between the unique identifier index stored in the lookup table, the system controller determines the reported time from the reported location. A system controller that selects a location of the stamps associated with the most recent timestamp.
請求項50に記載のシステムコントローラであって、
前記メモリ回路は、低読み出しレイテンシのキャッシュメモリとして動作するように構成された部分を含み、
前記ルックアップテーブルは、前記キャッシュメモリに格納される、システムコントローラ。
51. The system controller of claim 50, comprising:
the memory circuit includes a portion configured to operate as a low read latency cache memory;
The system controller, wherein the lookup table is stored in the cache memory.
請求項50に記載のシステムコントローラであって、
前記各メモリ回路は、前記メモリ回路内のエラーを検出した場合には、前記エラーをオンチップエラー訂正回路または前記システムコントローラに通知して、前記オンチップエラー訂正回路または前記システムコントローラにデータ復元及びプログラムリフレッシュ動作を実行させるデータ保全回路を含む、システムコントローラ。
51. The system controller of claim 50, comprising:
Each of the memory circuits, when detecting an error in the memory circuit, notifies the on-chip error correction circuit or the system controller of the error, and causes the on-chip error correction circuit or the system controller to perform data restoration and recovery. A system controller including data integrity circuitry for performing program refresh operations.
請求項50に記載のシステムコントローラであって、
前記メモリ回路は、
(i)バックグラウンドモードでの前記メモリ回路の第1の部分に対する読み出しリフレッシュまたはプログラムリフレッシュ動作、
(ii)前記メモリ回路の第2の部分に対する読み出し動作、プログラム動作、または消去動作、及び
(iii)前記メモリ回路の第3の部分を電源オフにすること、を同時に実行するための回路をさらに含む、システムコントローラ。
51. The system controller of claim 50, comprising:
The memory circuit is
(i) a read refresh or program refresh operation on the first portion of the memory circuit in background mode;
(ii) a read, program, or erase operation on a second portion of the memory circuit; and (iii) powering off a third portion of the memory circuit. Including, system controller.
請求項50に記載のシステムコントローラであって、
前記メモリ回路は、
オンチップリソース管理データ用の記憶装置をさらに含み、
前記オンチップリソース管理データには、前記メモリ回路に格納されている前記データファイルのための更新可能なファイル割り当てテーブル、固有の識別子インデックス番号、プログラム/消去サイクルカウント、チップ温度、及び前記格納されている各データファイルの更新時に該データファイルに付加されるタイムスタンプのうちの1以上が含まれる、システムコントローラ。
51. The system controller of claim 50, comprising:
The memory circuit is
further comprising storage for on-chip resource management data;
The on-chip resource management data includes an updatable file allocation table for the data files stored in the memory circuit, a unique identifier index number, a program/erase cycle count, a chip temperature, and the stored a system controller including one or more of the time stamps appended to each data file when it is updated.
請求項50に記載のシステムコントローラであって、
前記メモリ回路は、
パイプラインストリーミング回路をさらに含み、
前記パイプラインストリーミング回路は、センスアンプ内に記憶されているデータのメモリページを検出すること、及びシリアルビットストリームまたはメモリ回路からの並列ワード幅出力のために検出されたデータをデータバッファに転送することを、前記センスアンプで検出するために前記メモリ回路から前記記憶されているデータの次のメモリページを同時に読み出すこととオーバーラップさせる、システムコントローラ。
51. The system controller of claim 50, comprising:
The memory circuit is
further comprising a pipeline streaming circuit;
The pipeline streaming circuit detects memory pages of data stored within the sense amplifiers and transfers the detected data to a data buffer for parallel word-wide output from a serial bitstream or memory circuit. simultaneously reading the next memory page of the stored data from the memory circuit for detection by the sense amplifier.
JP2022094508A 2016-08-26 2022-06-10 Capacitively coupled nonvolatile thin film transistor strings in three-dimensional arrays Active JP7379593B2 (en)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US15/248,420 2016-08-26
US15/248,420 US10121553B2 (en) 2015-09-30 2016-08-26 Capacitive-coupled non-volatile thin-film transistor NOR strings in three-dimensional arrays
JP2019511578A JP7089505B2 (en) 2016-08-26 2017-08-25 Capacitively coupled non-volatile thin film transistor string in a 3D array
PCT/US2017/048768 WO2018039654A1 (en) 2016-08-26 2017-08-25 Capacitive-coupled non-volatile thin-film transistor strings in three dimensional arrays

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
JP2019511578A Division JP7089505B2 (en) 2016-08-26 2017-08-25 Capacitively coupled non-volatile thin film transistor string in a 3D array

Publications (2)

Publication Number Publication Date
JP2022123017A true JP2022123017A (en) 2022-08-23
JP7379593B2 JP7379593B2 (en) 2023-11-14

Family

ID=61246301

Family Applications (2)

Application Number Title Priority Date Filing Date
JP2019511578A Active JP7089505B2 (en) 2016-08-26 2017-08-25 Capacitively coupled non-volatile thin film transistor string in a 3D array
JP2022094508A Active JP7379593B2 (en) 2016-08-26 2022-06-10 Capacitively coupled nonvolatile thin film transistor strings in three-dimensional arrays

Family Applications Before (1)

Application Number Title Priority Date Filing Date
JP2019511578A Active JP7089505B2 (en) 2016-08-26 2017-08-25 Capacitively coupled non-volatile thin film transistor string in a 3D array

Country Status (5)

Country Link
EP (1) EP3504728A4 (en)
JP (2) JP7089505B2 (en)
KR (2) KR102513489B1 (en)
CN (1) CN109863575B (en)
WO (1) WO2018039654A1 (en)

Families Citing this family (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP3642841A4 (en) * 2017-06-20 2021-07-28 Sunrise Memory Corporation 3-dimensional nor memory array architecture and methods for fabrication thereof
WO2020117978A1 (en) * 2018-12-04 2020-06-11 Sunrise Memory Corporation Methods for forming multilayer horizontal nor-type thin-film memory strings
WO2020210390A1 (en) 2019-04-09 2020-10-15 Sunrise Memory Corporation Quasi-volatile memory device with a back-channel usage
US11217600B2 (en) * 2019-07-09 2022-01-04 Sunrise Memory Corporation Process for a 3-dimensional array of horizontal NOR-type memory strings
WO2021048928A1 (en) * 2019-09-10 2021-03-18 キオクシア株式会社 Memory device
US11282819B2 (en) * 2019-09-26 2022-03-22 SK Hynix Inc. Semiconductor device having chip-to-chip bonding structure
CN112825263A (en) * 2019-11-20 2021-05-21 合肥格易集成电路有限公司 Voltage control method of Nand Flash and nonvolatile memory
US11580038B2 (en) * 2020-02-07 2023-02-14 Sunrise Memory Corporation Quasi-volatile system-level memory
CN111326864B (en) * 2020-03-13 2021-06-18 天津大学 Coupling differential feed double compression mode patch antenna and solar cell integration
JP2021150486A (en) 2020-03-19 2021-09-27 キオクシア株式会社 Semiconductor storage device
US11985825B2 (en) 2020-06-25 2024-05-14 Taiwan Semiconductor Manufacturing Co., Ltd. 3D memory array contact structures
US11653500B2 (en) * 2020-06-25 2023-05-16 Taiwan Semiconductor Manufacturing Co., Ltd. Memory array contact structures
US11495618B2 (en) 2020-07-30 2022-11-08 Taiwan Semiconductor Manufacturing Co., Ltd. Three-dimensional memory device and method
CN112909015B (en) * 2021-03-08 2023-10-17 中国科学院微电子研究所 NOR type memory device, method of manufacturing the same, and electronic apparatus including the same
KR102429142B1 (en) * 2021-07-22 2022-08-03 란데몬 에스피. 제트 오.오. Method and apparatus for highly effective on-chip true random number generator utilizing beta decay
CN113782070B (en) * 2021-09-02 2024-05-28 西安紫光国芯半导体有限公司 Self-powered nonvolatile programmable chip and memory device
WO2023112236A1 (en) * 2021-12-16 2023-06-22 キオクシア株式会社 Semiconductor memory device
WO2024060021A1 (en) * 2022-09-20 2024-03-28 华为技术有限公司 Three-dimensional memory array, memory, and electronic device

Citations (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH10269789A (en) * 1997-03-19 1998-10-09 Sharp Corp Semiconductor memory device
JP2006252624A (en) * 2005-03-09 2006-09-21 Toshiba Corp Semiconductor memory device
JP2007280505A (en) * 2006-04-06 2007-10-25 Toshiba Corp Semiconductor memory device
JP2008276858A (en) * 2007-04-27 2008-11-13 Spansion Llc Nonvolatile storage device and bias control method therefor
JP2009104763A (en) * 2007-10-23 2009-05-14 Samsung Electronics Co Ltd Multi-bit flash memory device, program thereof, and read method
JP2010130016A (en) * 2008-11-25 2010-06-10 Samsung Electronics Co Ltd Three-dimensional semiconductor device, and method of operating the same
JP2010251572A (en) * 2009-04-16 2010-11-04 Toshiba Corp Nonvolatile semiconductor storage device
JP2013251041A (en) * 2012-06-01 2013-12-12 Samsung Electronics Co Ltd Storage device including nonvolatile memory device, and repair method therefor
WO2015025357A1 (en) * 2013-08-19 2015-02-26 株式会社 東芝 Memory system
US20160086970A1 (en) * 2014-09-23 2016-03-24 Haibing Peng Three-dimensional non-volatile nor-type flash memory

Family Cites Families (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5525529A (en) * 1994-11-16 1996-06-11 Texas Instruments Incorporated Method for reducing dopant diffusion
US6363389B1 (en) * 1998-09-24 2002-03-26 International Business Machines Corporation Technique for creating a unique quasi-random row identifier
JP2000285016A (en) * 1999-03-30 2000-10-13 Sanyo Electric Co Ltd Memory control circuit
JP2000339978A (en) * 1999-05-24 2000-12-08 Sony Corp Nonvolatile semiconductor memory device and its reading method
US7312505B2 (en) * 2004-03-31 2007-12-25 Intel Corporation Semiconductor substrate with interconnections and embedded circuit elements
US7315916B2 (en) * 2004-12-16 2008-01-01 Sandisk Corporation Scratch pad block
US7450433B2 (en) * 2004-12-29 2008-11-11 Sandisk Corporation Word line compensation in non-volatile memory erase operations
JP2009206451A (en) * 2008-02-29 2009-09-10 Toshiba Corp Nonvolatile semiconductor storage device and manufacturing method thereof
KR101559868B1 (en) * 2008-02-29 2015-10-14 삼성전자주식회사 .Vertical type semiconductor device and method for manufacturing the same
US7898857B2 (en) * 2008-03-20 2011-03-01 Micron Technology, Inc. Memory structure having volatile and non-volatile memory portions
JP6006911B2 (en) * 2008-10-30 2016-10-12 ピーエスフォー ルクスコ エスエイアールエルPS4 Luxco S.a.r.l. Semiconductor memory device
KR20100059655A (en) * 2008-11-25 2010-06-04 삼성전자주식회사 Three-dimensional semiconductor device and method of operating the same
KR101532366B1 (en) * 2009-02-25 2015-07-01 삼성전자주식회사 Semiconductor memory devices
JP2011028540A (en) * 2009-07-27 2011-02-10 Renesas Electronics Corp Information processing system, method for controlling cache memory, program and compiler
KR101137929B1 (en) * 2010-05-31 2012-05-09 에스케이하이닉스 주식회사 Nonvolatile memory device and method for manufacturing the same
US8603890B2 (en) * 2010-06-19 2013-12-10 Sandisk Technologies Inc. Air gap isolation in non-volatile memory
KR20120085591A (en) * 2011-01-24 2012-08-01 김진선 Non-volatile memory device, method of operating the same, and method of fabricating the same
US8527695B2 (en) * 2011-07-29 2013-09-03 The Boeing Company System for updating an associative memory
KR102329269B1 (en) * 2013-10-21 2021-11-22 에프엘씨 글로벌 리미티드 Final level cache system and corresponding method
CN105870121B (en) * 2014-12-28 2018-09-21 苏州诺存微电子有限公司 Three dimensional nonvolatile NOR type flash memory
US9356105B1 (en) * 2014-12-29 2016-05-31 Macronix International Co., Ltd. Ring gate transistor design for flash memory

Patent Citations (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH10269789A (en) * 1997-03-19 1998-10-09 Sharp Corp Semiconductor memory device
JP2006252624A (en) * 2005-03-09 2006-09-21 Toshiba Corp Semiconductor memory device
JP2007280505A (en) * 2006-04-06 2007-10-25 Toshiba Corp Semiconductor memory device
JP2008276858A (en) * 2007-04-27 2008-11-13 Spansion Llc Nonvolatile storage device and bias control method therefor
JP2009104763A (en) * 2007-10-23 2009-05-14 Samsung Electronics Co Ltd Multi-bit flash memory device, program thereof, and read method
JP2010130016A (en) * 2008-11-25 2010-06-10 Samsung Electronics Co Ltd Three-dimensional semiconductor device, and method of operating the same
JP2010251572A (en) * 2009-04-16 2010-11-04 Toshiba Corp Nonvolatile semiconductor storage device
JP2013251041A (en) * 2012-06-01 2013-12-12 Samsung Electronics Co Ltd Storage device including nonvolatile memory device, and repair method therefor
WO2015025357A1 (en) * 2013-08-19 2015-02-26 株式会社 東芝 Memory system
US20160086970A1 (en) * 2014-09-23 2016-03-24 Haibing Peng Three-dimensional non-volatile nor-type flash memory

Also Published As

Publication number Publication date
EP3504728A4 (en) 2020-09-09
JP2019526934A (en) 2019-09-19
JP7089505B2 (en) 2022-06-22
WO2018039654A4 (en) 2018-04-19
CN109863575B (en) 2024-01-30
KR102513489B1 (en) 2023-03-23
EP3504728A1 (en) 2019-07-03
KR20190057065A (en) 2019-05-27
CN109863575A (en) 2019-06-07
KR20230042417A (en) 2023-03-28
JP7379593B2 (en) 2023-11-14
WO2018039654A1 (en) 2018-03-01
KR102626193B1 (en) 2024-01-18

Similar Documents

Publication Publication Date Title
JP7379593B2 (en) Capacitively coupled nonvolatile thin film transistor strings in three-dimensional arrays
US11302406B2 (en) Array of nor memory strings and system for rapid data retrieval
US11915768B2 (en) Memory circuit, system and method for rapid retrieval of data sets
JP7072035B2 (en) Multi-gate NOR flash thin film transistor string placed on a stacked horizontal active strip and having a vertical control gate
TW202310429A (en) 3-dimensional memory string array of thin-film ferroelectric transistors

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20220610

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20220610

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20220617

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20230531

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20230704

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20230926

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20231010

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20231101

R150 Certificate of patent or registration of utility model

Ref document number: 7379593

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150