JP2021520639A - パターニング用途のためのカーボンハードマスク及び関連方法 - Google Patents

パターニング用途のためのカーボンハードマスク及び関連方法 Download PDF

Info

Publication number
JP2021520639A
JP2021520639A JP2020554282A JP2020554282A JP2021520639A JP 2021520639 A JP2021520639 A JP 2021520639A JP 2020554282 A JP2020554282 A JP 2020554282A JP 2020554282 A JP2020554282 A JP 2020554282A JP 2021520639 A JP2021520639 A JP 2021520639A
Authority
JP
Japan
Prior art keywords
power
substrate
processing
less
amorphous carbon
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2020554282A
Other languages
English (en)
Other versions
JPWO2019199681A5 (ja
JP7407121B2 (ja
Inventor
エスワラナンド ベンカタサブラマニアン,
エスワラナンド ベンカタサブラマニアン,
ヤン ヤン,
ヤン ヤン,
プラミット マンナ,
プラミット マンナ,
カーティク ラーマスワーミ,
カーティク ラーマスワーミ,
武仁 越澤
武仁 越澤
アブヒジット バス マリック,
アブヒジット バス マリック,
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2021520639A publication Critical patent/JP2021520639A/ja
Publication of JPWO2019199681A5 publication Critical patent/JPWO2019199681A5/ja
Application granted granted Critical
Publication of JP7407121B2 publication Critical patent/JP7407121B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/26Deposition of carbon only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02115Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material being carbon, e.g. alpha-C, diamond or hydrogen doped carbon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02312Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour
    • H01L21/02315Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02587Structure
    • H01L21/0259Microstructure
    • H01L21/02592Microstructure amorphous
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0332Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering

Abstract

本明細書の実施形態は、プラズマ化学気相堆積(PECVD)プロセスを使用してアモルファスカーボン層を堆積させる方法、及び該方法から形成されるハードマスクを提供する。一実施形態では、基板を処理する方法が、基板を基板支持体上に配置することであって、基板支持体が処理チャンバの処理空間内に配置されている、基板を基板支持体上に配置すること、炭化水素ガス及び希釈ガスを含む処理ガスを処理空間の中に流入させること、処理空間を約100mTorr未満の処理圧力に維持すること、第1の電力を前記処理チャンバの1以上の電源電極のうちの1つに印加することによって、処理ガスの堆積プラズマを点火及び維持すること、基板支持体を摂氏約350度未満の処理温度に維持すること、基板の表面を前記堆積プラズマに曝露すること、並びにアモルファスカーボン層を基板の表面上に堆積させることを含む。【選択図】図2

Description

[0001] 本明細書で説明される実施形態は、広くは、半導体デバイス製造の分野に関し、特に、電子デバイス製造プロセスで使用されるアモルファスカーボン層、及びアモルファスカーボン層を堆積させる方法に関する。
[0002] アモルファスカーボンから形成されるカーボンハードマスクは、基板表面やその材料表面層内に高アスペクト比の開口部(例えば、高さ対幅の比が、2:1以上)を形成する際のエッチングマスクとして半導体デバイス製造に用いられる。概して、目詰まり、孔形状の歪み、パターンの変形、最大限界寸法の膨張、ラインの屈曲、及び輪郭の反りを含む、高アスペクト比の開口部を形成することに関連する処理上の課題は、従来通りに堆積したカーボンハードマスクの望ましくない材料特性の結果である。例えば、より低い材料密度及びより低い材料剛性(すなわち、ヤング率)のうちの1つ又は組み合わせを有するカーボンハードマスクは、より高い密度又はより高い剛性を有するハードマスク材料と比較したときに、高アスペクト比の開口部の増大した変形を引き起こすことが知られている。同様に、ハードマスク材料とその下に配置されたエッチングされる基板材料との間のより低いエッチング選択性と、より高い膜応力(圧縮又は引張)を有するハードマスク材料と、の両方は、下にある基板材料に対するより高いエッチング選択性及びより低い膜応力を有するハードマスク材料を使用するプロセスと比較したときに、増大したスリットパターンの変形及びラインの屈曲を引き起こすことが知られている。更に、限界寸法(CD)が収縮し、高アスペクト比の開口部の高さが増大するにつれて、高アスペクト比の開口部を形成するために使用される、従来通りに堆積したカーボンハードマスクの厚さも増大する。残念ながら、より低い透明度を有するハードマスクは、低い光学Kと増大した厚さのうちの一方又は両方により、後続のフォトリソグラフィ・プロセスにおいて、位置合わせの問題を引き起こす可能性がある。下にある基板材料に対してより高いエッチング選択性を有するハードマスク材料は、より低いエッチング選択性を有するハードマスクと比較して、厚さの低減を可能にし、したがって望ましい。更に、ハードマスク材料と下にある基板材料との間のより低いエッチング選択性を有するプロセスは、しばしば、相対的により厚いハードマスクに依存し、これは、望ましくないことに、処理時間及び堆積させる費用を増大させ、基板処理能力の低下及びデバイス費用の増大につながる。
[0003] したがって、改善されたアモルファスカーボンハードマスク及び改善されたアモルファスカーボンハードマスクを形成する方法が、当該技術分野で必要とされている。
[0004] 本開示の実施形態は、概して、プラズマ化学気相堆積(PECVD)プロセス及びそれから形成されるハードマスクを用いて、基板上に以前に形成された層の上を含め、基板上にアモルファスカーボン層を堆積させる方法を説明する。
[0005] 一実施形態では、基板を処理する方法が、基板を基板支持体上に配置することであって、基板支持体が処理チャンバの処理空間内に配置されている、基板を基板支持体上に配置すること、炭化水素ガス及び希釈ガスを含む処理ガスを処理空間の中に流入させること、処理空間を約100mTorr未満の処理圧力に維持すること、第1の電力を処理チャンバの1以上の電源電極のうちの1つに印加することによって、処理ガスの堆積プラズマを点火及び維持すること、基板支持体を摂氏約350度未満の処理温度に維持すること、基板の表面を堆積プラズマに曝露すること、並びにアモルファスカーボン層を基板の表面上に堆積させることを含む。
[0006] 別の一実施形態では、基板を処理する方法が、基板を基板支持体上に配置することであって、基板支持体が処理チャンバの処理空間内に配置されている、基板を基板支持体上に配置すること、炭化水素ガス及び希釈ガスを含む処理ガスを処理空間の中に流入させること、処理空間を約20mTorr未満の処理圧力に維持すること、第1の交流電力を基板支持体の1以上の電源電極のうちの1つに印加することによって、処理ガスの堆積プラズマを点火及び維持することであって、第1の交流電力が、基板支持体の基板受け入れ表面の平方センチメートル当たり約0.7ワットと約15ワットの間である、処理ガスの堆積プラズマを点火及び維持すること、基板支持体を摂氏約100度未満の処理温度に維持すること、基板の表面を堆積プラズマに曝露すること、並びにアモルファスカーボン層を基板の表面上に堆積させることを含む。
[0007] 別の一実施形態では、カーボンハードマスクが、基板の表面上に配置されたアモルファスカーボン層を含み、アモルファスカーボン層が、約1.8g/cm3を超える密度、約50GPaを超えるヤング率、約500MPa未満の膜応力、及び約633nmの波長で約0.15未満の吸収係数(光学K)を有する。
[0008] 上述した本開示の特徴を詳細に理解できるように、上記に要約した本開示を、一部が添付の図面に例示されている実施形態を参照しながら、より具体的に説明する。しかし、本開示は他の等しく有効な実施形態も許容し得ることから、添付の図面はこの開示の典型的な実施形態のみを例示しており、したがって、範囲を限定すると見なすべきではないことに留意されたい。
[0009] 一実施形態による、本明細書で説明される方法を実施するために使用される例示的な処理チャンバの概略断面図である。 [0010] 一実施形態による、アモルファスカーボン層を堆積させる方法のフロー図である。 [0011] 一実施形態による、図2で説明された方法に従って堆積したアモルファスカーボン層から形成されたカーボンハードマスクを示す。
[0012] 本開示の実施形態は、概して、プラズマ化学気相堆積(PECVD)プロセスを用いて、基板上に以前に形成された層の上を含め、基板上にアモルファスカーボン層を堆積させるための方法を説明する。特に、本明細書で説明される方法は、アモルファスカーボン層を堆積させる従来の方法で典型的に使用されるよりも低い処理圧力、例えば約100mTorr未満、より低い処理温度、例えば摂氏約350度未満、及びより高い電力、例えば約1000Wを超えるものを提供する。本明細書の幾つかの実施形態では、堆積プラズマを点火及び維持するために使用される電力が、その上に基板が配置された基板支持体内に配置された又はそれに接続された1以上の電源電極に供給される。より低い処理圧力、より低い処理温度、より高い電力、及び基板準位プラズマ(substrate level plasma:基板支持体の電源電極との容量結合を介して生成されるプラズマ)のそれぞれ又は組み合わせは、堆積中の基板表面でのイオンエネルギーを増大させ、従来の堆積方法と比較したときに、sp3含有量(ダイヤモンド状炭素)のsp2含有量(グラファイト状炭素)に対する望ましくはより高い比率を有するアモルファスカーボン層をもたらす。結果として得られるより高いsp3含有量のために、本明細書で説明される方法は、従来通りに堆積したアモルファスカーボン層と比較したときに、改善された密度、剛性、透明性、エッチング選択性、及び膜応力を有するアモルファスカーボン層を提供する。
[0013] 図1は、一実施形態による、本明細書で説明される方法を実施するために使用される例示的な処理チャンバの概略断面図である。本明細書で説明される方法を実施するために使用され得る、他の例示的な堆積チャンバには、カリフォルニア州サンタクララのApplied Materials, Inc.から入手可能である、Radion(登録商標)、Producer(登録商標)、及びSYM3(登録商標)処理チャンバ、並びに他の製造業者からの適切な堆積チャンバが含まれる。
[0014] 処理チャンバ100は、チャンバ蓋アセンブリ101、1以上の側壁102、及びチャンバベース104を含む。チャンバ蓋アセンブリ101は、チャンバ蓋106、チャンバ蓋106内に配置されたシャワーヘッド107、及びチャンバ蓋106と1以上の側壁102との間に配置された電気絶縁リング108を含む。シャワーヘッド107、1以上の側壁102、及びチャンバベース104は、共に、処理空間105を画定する。チャンバ蓋106を貫通して配置されたガス入口109は、ガス源110に流体結合されている。シャワーヘッド107は、それを貫通して配置された複数の開口部111を有し、ガス源110から処理空間105の中に処理ガスを均一に分配するために使用される。ここで、チャンバ蓋アセンブリ101、及びしたがってシャワーヘッド107は、アースグラウンド(earthen ground)に電気的に接続されている。他の実施形態では、チャンバ蓋アセンブリ101、及びしたがってその中に配置されたシャワーヘッド107が、1以上のバイアス電圧をそれらに供給する、連続波(CW)RF電源、パルスRF電源、DC電源、パルスDC電源、又はそれらの組み合わせなどの、電源(図示せず)に電気的に接続されている。他の実施形態では、処理チャンバ100が、シャワーヘッド107を含まず、処理ガスは、チャンバ蓋106又は1以上の側壁102を貫通して配置された1以上のガス入口を通して処理空間105に供給される。
[0015] ここで、処理空間105は、減圧(絶対真空の意味ではないとき)出口114を介して、1以上の専用減圧(絶対真空の意味ではないとき)ポンプなどの真空源に流体結合され、これは、処理空間105を大気圧未満の状態に維持し、処理ガス及び他のガスをそこから排気する。処理空間105内に配置された基板支持体115は、チャンバベース104の下方の領域内でベローズ(図示せず)によって囲まれるような、チャンバベース104を貫通して密封的に延在する可動支持体シャフト116上に配置される。ここで、処理チャンバ100は、基板処理中にドア又はバルブ(図示せず)で密封されている、1以上の側壁102のうちの1つの開口部118を介して、基板支持体115との間で基板117の移送を容易にするように構成されている。
[0016] 典型的には、基板支持体115上に配置された基板117が、抵抗加熱要素119のようなヒータと、基板支持体115内に配置された1以上の冷却チャネル120と、の一方又は両方を用いて、所望の処理温度に維持される。1以上の冷却チャネル120は、比較的高い電気抵抗を有するように改質された水源又は冷却剤源などの冷媒源(図示せず)に流体結合される。
[0017] 幾つかの実施形態では、基板支持体115の誘電材料内に埋め込まれた、又はそれに接続された1以上の電源電極(図示せず)が、整合回路122を介して、第1の電源121A及び第2の電源121Bなどの1以上のRF(高周波)又は他の交流周波数電源に接続される。ここで、堆積プラズマ123は、処理空間105内の処理ガスを、第1の電源121Aからそれに供給される交流電力で、1以上の電源電極のうちの1つと容量結合することによって、処理空間内で点火及び維持される。幾つかの実施形態では、堆積プラズマ123が、第2の電源121Bからそれに供給される交流電力で、1以上の電源電極のうちの1つと容量結合することによって更に維持される。ここで、第1の電源121A及び第2の電源121Bは、それぞれ、約350kHzと約100MHzの間の周波数を有する交流電力を供給し、その場合、第1の電源121Aからの電力の周波数は、第2の電源121Bからの周波数とは異なっている。
[0018] 図2は、一実施形態による、アモルファスカーボン層を基板の表面上に堆積させる方法のフロー図である。工程201では、方法200が、基板を基板支持体上に配置することを含む。ここで、基板支持体は、図1で説明された処理チャンバ100などの処理チャンバの処理空間内に配置されている。工程202では、方法200が、処理ガスを処理空間の中に流入させることを含む。典型的には、処理ガスが、炭化水素ガスなどの炭素源ガス、例えば、CH4、C2H2、C3H8、C4H10、C2H4、C3H6、C4H8、及びC5H10、又はそれらの組み合わせ、並びに希釈ガス、例えば、Ar、He、Ne、Kr、若しくはXe、又はそれらの組み合わせなどの不活性ガスを含む。幾つかの実施形態では、希釈ガスが、N2、H2、又はそれらの組み合わせを含む。幾つかの実施形態では、炭化水素ガスの希釈ガスに対する流量の比(以下、比)は、約1:10と約10:1の間、例えば約1:5と約5:1の間である。例えば、一実施形態では、C2H2対Heの比が、約1:3と約3:1の間である。幾つかの実施形態では、希釈ガスが、H2を含み、H2と炭素源ガスの間の比が、約0.5:1と約1:10の間、例えば約1:1と約1:5の間である。工程203では、方法200が、約0.1mTorrと約100mTorrの間、例えば約0.1mTorrと約50mTorrの間、約0.1mTorrと約30mTorrの間、約0.1mTorrと約20mTorrの間、約0.1mTorrと約15mTorrの間、例えば約0.1mTorrと約10mTorrの間、又は約100mTorr未満、約50mTorr未満、約20mTorr未満、約15mTorr未満、例えば約10mTorr未満の処理圧力に処理空間を維持することを含む。
[0019] 工程203では、方法200が、第1の電力を処理チャンバの1以上の電源電極のうちの1つに印加することによって、処理ガスの堆積プラズマを点火及び維持することを含む。本明細書では、1以上の電源電極が、1以上の上部電極(例えば、処理チャンバのチャンバ蓋又はチャンバ蓋内に配置されたシャワーヘッド)、1以上の側部電極(例えば、処理チャンバの1以上の側壁)のうちの1つ、又は基板支持体の部分(例えば、基板支持体の誘電材料内に埋め込まれた若しくはそれに接続された1以上の電極)である。典型的には、第1の電力が、300mmの直径の基板を処理するようにサイズ決定された処理チャンバ用に、約500Wと約8kWの間、例えば約1000Wと約5kWの間である。異なるサイズの基板を処理するようにサイズ決定された処理チャンバに対して、適切なスケーリングが使用されてよい。
[0020] 幾つかの実施形態では、1以上の電源電極が、基板支持体の誘電材料内に埋め込まれるか又はそれに接続されたうちの1つ又は組み合わせである。幾つかの実施形態では、第1の電力が、300mmの直径の基板を支持するようにサイズ決定された基板受け入れ表面を有する基板支持体に対して、基板支持体の基板受け入れ表面の平方センチメートル当たり約0.7Wと約11.3Wの間(ここでは、W/cm2)、例えば約1.4W/cm2と約7.1W/cm2の間、又は約500Wと約5kWの間、例えば約1000Wと約5kWの間のRF又は他の交流周波数電力である。
[0021] 幾つかの実施形態では、方法200が、第2の電力を1以上の電源電極のうちの1つに印加することを更に含む。その場合、第2の電力は、300mmの直径の基板を支持するようにサイズ決定された基板受け入れ表面を有する基板支持体に対して、約0.14W/cm2と約7.1W/cm2の間、例えば約0.14W/cm2と約3.5W/cm2の間、又は約100Wと約5kWの間、例えば約100Wと約2.5kWの間のRF又は他の交流周波数電力である。ここで、第2の電力の周波数は、第1の電力の周波数とは異なっている。典型的には、第1の電力と第2の電力のうちの一方又は両方の周波数が、約350kHzと約100MHzの間、例えば、約350kHz、約2MHz、約13.56MHz、約27MHz、約40MHz、約60MHz、及び約100MHzである。幾つかの実施形態では、第1の電力及び第2の電力が、互いに電気的に絶縁された異なる電源電極に印加される。それは、例えば、基板支持体の誘電材料内に埋め込まれ、誘電材料によって互いに絶縁された二重電源電極である。幾つかの実施形態では、第1の電力及び第2の電力が、従来のインピーダンス整合回路を使用して同じ電源電極に印加される。
[0022] 工程204では、方法200が、基板支持体、及びしたがってその上に配置された基板を、摂氏約−50度と摂氏約350度の間、例えば、摂氏約−50と摂氏約150度の間、摂氏約−50度と摂氏約100度の間、若しくは摂氏約−50度と摂氏約50度の間、例えば摂氏約−25度と摂氏約25度の間の温度、又は摂氏約350度未満、例えば、摂氏約200度未満、摂氏約150度未満、若しくは摂氏約100度未満、例えば摂氏約50度未満の温度に維持することを含む。
[0023] 工程205及び206では、方法200が、それぞれ、基板の表面を堆積プラズマに曝露すること、及びアモルファスカーボン層を基板の表面上に堆積させることを含む。
[0024] 図3は、一実施形態による、図2で説明された方法に従って堆積したカーボンハードマスクを示している。図3では、カーボンハードマスク303(ここでは、パターニングされたカーボンハードマスク)が、基板300のパターニングされるべき表面上に配置され、その中に形成された複数の開口部304を有するアモルファスカーボン層302を含む。典型的には、基板300又はその1以上の材料層が、結晶シリコン、酸化ケイ素、酸窒化ケイ素、窒化ケイ素、歪みシリコン、シリコンゲルマニウム、タングステン、窒化チタン、ドープされた又はドープされていないポリシリコン、炭素がドープされた酸化ケイ素、窒化ケイ素、ドープされたシリコン、ゲルマニウム、ヒ化ガリウム、ガラス、サファイア、及び低誘電率(low k)誘電材料、のうちの1つ又は組み合わせから形成される。
[0025] ここで、アモルファスカーボン層は、約1kÅと約40kÅの間、例えば約10kÅと約40kÅの間、例えば約10kÅと約30kÅの間の厚さ、約1.8g/cm3を超える密度、約50GPaを超えるヤング率、及び約633nmの波長で約0.15未満の吸収係数(光学K)を有する。幾つかの実施形態では、アモルファスカーボン層が、約500MPa未満の引張又は圧縮膜応力を有する。幾つかの実施形態では、アモルファスカーボン層が、約500MPa未満の引張膜応力を有する。幾つかの実施形態では、複数の開口部304のそれぞれが、約2:1を超える、例えば、約3:1を超える、約4:1を超える、約5:1を超える、約6:1を超える、約7:1を超える、約8:1を超える、約9:1を超える、例えば約10:1を超えるアスペクト比(高さ対幅)を有する。
[0026] 本明細書で説明される方法は、従来通りに堆積したアモルファスカーボン層と比較したときに、改善された密度、剛性、透明性、エッチング選択性、及び応力を有する、アモルファスカーボン層、及びそれから形成されるカーボンハードマスクを提供する。更に、本明細書で説明される方法は、現行のカーボンハードマスク・プロセス統合スキームと一貫したものであることが望ましい。それは、既存のデバイス製造ラインへの方法の導入が、上流又は下流の処理方法又はそれに関連する装備における実質的な変更を必要としないことを意味する。
[0027] 上記は、本開示の実施形態に向けられているが、本開示の他の及び更なる実施形態が、本開示の基本的な範囲から逸脱することなく考案されてよく、本開示の範囲は、以下の特許請求の範囲によって規定される。

Claims (15)

  1. 基板を処理する方法であって、
    基板を、処理チャンバの処理空間内に配置された基板支持体上に配置すること、
    炭化水素ガス及び希釈ガスを含む処理ガスを前記処理空間の中に流入させること、
    前記処理空間を約100mTorr未満の処理圧力に維持すること、
    第1の電力を前記処理チャンバの1以上の電源電極のうちの1つに印加することによって、前記処理ガスの堆積プラズマを点火及び維持すること、
    前記基板支持体を摂氏約350度未満の処理温度に維持すること、
    前記基板の表面を前記堆積プラズマに曝露すること、並びに
    アモルファスカーボン層を前記基板の前記表面上に堆積させることを含む、方法。
  2. 堆積した前記アモルファスカーボン層が、約1.8g/cm3を超える密度を有する、請求項1に記載の方法。
  3. 堆積した前記アモルファスカーボン層が、約50GPaを超えるヤング率を有する、請求項1に記載の方法。
  4. 堆積した前記アモルファスカーボン層が、約500MPa未満の膜応力を有する、請求項1に記載の方法。
  5. 堆積した前記アモルファスカーボン層が、約633nmの波長で約0.15未満の吸収係数(光学K)を有する、請求項1に記載の方法。
  6. 堆積した前記アモルファスカーボン層が、約1.8g/cm3を超える密度、約50GPaを超えるヤング率、約500MPa未満の膜応力、及び約633nmの波長で約0.15未満の吸収係数(光学K)を有する、請求項1に記載の方法。
  7. 前記炭化水素ガスが、CH4、C2H2、C3H8、C4H10、C2H4、C3H6、C4H8、C5H10、又はそれらの組み合わせのうちの1つを含む、請求項1に記載の方法。
  8. 前記処理温度が、摂氏約100度未満である、請求項7に記載の方法。
  9. 前記第1の電力が、前記基板支持体の基板受け入れ表面の平方センチメートル当たり約0.7Wと約11.3Wの間の交流電力であり、約350kHzと約100MHzの間の周波数を有する、請求項8に記載の方法。
  10. 第2の電力を前記1以上の電源電極のうちの1つに印加することを更に含み、前記第2の電力が、前記基板支持体の前記基板受け入れ表面の平方センチメートル当たり約0.14Wと約11.3Wの間の交流電力であり、約350kHzと約100MHzの間の周波数を有し、前記第1の電力の前記周波数が、前記第2の電力の前記周波数とは異なっている、請求項9に記載の方法。
  11. 基板を処理する方法であって、
    基板を、処理チャンバの処理空間内に配置された基板支持体上に配置すること、
    炭化水素ガス及び希釈ガスを含む処理ガスを前記処理空間の中に流入させることであって、前記炭化水素ガスが、CH4、C2H2、C3H8、C4H10、C2H4、C3H6、C4H8、C5H10、又はそれらの組み合わせのうちの1つを含む、処理ガスを前記処理空間の中に流入させること、
    前記処理空間を約20mTorr未満の処理圧力に維持すること、
    第1の交流電力を前記基板支持体の1以上の電源電極のうちの1つに印加することによって、前記処理ガスの堆積プラズマを点火及び維持することであって、前記第1の交流電力が、前記基板支持体の基板受け入れ表面の平方センチメートル当たり約0.7ワットと約15ワットの間である、前記処理ガスの堆積プラズマを点火及び維持すること、
    前記基板支持体を摂氏約100度未満の処理温度に維持すること、
    前記基板の表面を前記堆積プラズマに曝露すること、並びに
    アモルファスカーボン層を前記基板の前記表面上に堆積させることを含む、方法。
  12. 前記希釈ガスが、H2を含み、前記処理ガス内の前記H2対前記炭化水素ガスの比が、約0.5:1と約1:10の間である、請求項11に記載の方法。
  13. 第2の交流電力を前記基板支持体の前記1以上の電源電極のうちの1つに印加することを更に含み、前記第2の交流電力が、前記基板支持体の前記基板受け入れ表面の平方センチメートル当たり約0.14Wと約7.1Wの間であり、前記第1の交流電力と前記第2の交流電力が、それぞれ、約350kHzと約100MHzの間の周波数を有し、前記第1の交流電力の前記周波数が、前記第2の交流電力の前記周波数とは異なっている、請求項11に記載の方法。
  14. 基板の表面上に配置されたアモルファスカーボン層を備え、前記アモルファスカーボン層が、約1.8g/cm3を超える密度、約50GPaを超えるヤング率、約500MPa未満の膜応力、及び約633nmの波長で約0.15未満の吸収係数(光学K)を有する、カーボンハードマスク。
  15. 前記アモルファスカーボン層が、貫通するように形成された複数の開口部を有し、前記複数の開口部のそれぞれが、約2:1を超える高さ対幅の比を有する、請求項14に記載のカーボンハードマスク。
JP2020554282A 2018-04-09 2019-04-08 パターニング用途のためのカーボンハードマスク及び関連方法 Active JP7407121B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201862655049P 2018-04-09 2018-04-09
US62/655,049 2018-04-09
PCT/US2019/026354 WO2019199681A1 (en) 2018-04-09 2019-04-08 Carbon hard masks for patterning applications and methods related thereto

Publications (3)

Publication Number Publication Date
JP2021520639A true JP2021520639A (ja) 2021-08-19
JPWO2019199681A5 JPWO2019199681A5 (ja) 2022-04-18
JP7407121B2 JP7407121B2 (ja) 2023-12-28

Family

ID=68162992

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2020554282A Active JP7407121B2 (ja) 2018-04-09 2019-04-08 パターニング用途のためのカーボンハードマスク及び関連方法

Country Status (7)

Country Link
US (2) US11469097B2 (ja)
JP (1) JP7407121B2 (ja)
KR (1) KR20200130490A (ja)
CN (1) CN111954921A (ja)
SG (1) SG11202009406RA (ja)
TW (2) TW202318505A (ja)
WO (1) WO2019199681A1 (ja)

Families Citing this family (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN111954921A (zh) 2018-04-09 2020-11-17 应用材料公司 用于图案化应用的碳硬掩模及相关的方法
WO2019212592A1 (en) * 2018-05-03 2019-11-07 Applied Materials, Inc. Pulsed plasma (dc/rf) deposition of high quality c films for patterning
JP7462626B2 (ja) 2018-10-26 2024-04-05 アプライド マテリアルズ インコーポレイテッド パターニング応用のための高密度炭素膜
US20220178026A1 (en) * 2020-12-03 2022-06-09 Applied Materials, Inc. Carbon cvd deposition methods to mitigate stress induced defects
US20230022359A1 (en) * 2021-07-22 2023-01-26 Applied Materials, Inc. Methods, apparatus, and systems for maintaining film modulus within a predetermined modulus range

Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH07242493A (ja) * 1993-01-07 1995-09-19 Internatl Business Mach Corp <Ibm> 基板上にダイアモンド状カーボン・フィルムを付着する方法
JPH11150115A (ja) * 1997-08-25 1999-06-02 Internatl Business Mach Corp <Ibm> 多層構造およびその製造方法
JP2009505402A (ja) * 2005-08-08 2009-02-05 アプライド マテリアルズ インコーポレイテッド 低温で堆積された炭素含有ハードマスクを使用する半導体基板プロセス
JP2010021282A (ja) * 2008-07-09 2010-01-28 Dan Takuma:Kk 半導体用ケース
WO2011146212A2 (en) * 2010-05-20 2011-11-24 Applied Materials, Inc. Ultra high selectivity ashable hard mask film
JP2012233259A (ja) * 2012-06-25 2012-11-29 Tokyo Electron Ltd アモルファスカーボン膜の成膜方法、それを用いた半導体装置の製造方法、およびコンピュータ読取可能な記憶媒体
JP2017504209A (ja) * 2014-01-10 2017-02-02 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 炭素膜応力緩和
WO2018032684A1 (zh) * 2016-08-16 2018-02-22 北京北方微电子基地设备工艺研究中心有限责任公司 卡盘、反应腔室及半导体加工设备
WO2018034811A1 (en) * 2016-08-19 2018-02-22 Applied Materials, Inc. High density, low stress amorphous carbon film, and process and equipment for its deposition

Family Cites Families (93)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0221531A3 (en) 1985-11-06 1992-02-19 Kanegafuchi Kagaku Kogyo Kabushiki Kaisha High heat conductive insulated substrate and method of manufacturing the same
EP0539559A1 (en) 1991-04-03 1993-05-05 Eastman Kodak Company HIGH DURABILITY MASK FOR DRY ETCHING OF GaAs
US5352493A (en) 1991-05-03 1994-10-04 Veniamin Dorfman Method for forming diamond-like nanocomposite or doped-diamond-like nanocomposite films
JPH07268622A (ja) 1994-03-01 1995-10-17 Applied Sci & Technol Inc マイクロ波プラズマ付着源
TW422892B (en) 1997-03-27 2001-02-21 Applied Materials Inc Technique for improving chucking reproducibility
US6013980A (en) 1997-05-09 2000-01-11 Advanced Refractory Technologies, Inc. Electrically tunable low secondary electron emission diamond-like coatings and process for depositing coatings
JP2868120B2 (ja) 1997-06-11 1999-03-10 川崎重工業株式会社 電子ビーム励起プラズマ発生装置
DE19826259A1 (de) 1997-06-16 1998-12-17 Bosch Gmbh Robert Verfahren und Einrichtung zum Vakuumbeschichten eines Substrates
US6320295B1 (en) 1998-11-18 2001-11-20 Mcgill Robert Andrew Diamond or diamond like carbon coated chemical sensors and a method of making same
US6592771B1 (en) 1999-04-08 2003-07-15 Sony Corporation Vapor-phase processing method and apparatus therefor
WO2000071780A1 (fr) 1999-05-19 2000-11-30 Mitsubishi Shoji Plastics Corporation Film dlc, contenant en plastique recouvert de dlc, et procede et appareil de fabrication de contenant en plastique recouvert de dlc
US6573030B1 (en) * 2000-02-17 2003-06-03 Applied Materials, Inc. Method for depositing an amorphous carbon layer
US6863835B1 (en) 2000-04-25 2005-03-08 James D. Carducci Magnetic barrier for plasma in chamber exhaust
US6936551B2 (en) 2002-05-08 2005-08-30 Applied Materials Inc. Methods and apparatus for E-beam treatment used to fabricate integrated circuit devices
US7247221B2 (en) 2002-05-17 2007-07-24 Applied Films Corporation System and apparatus for control of sputter deposition process
US6830939B2 (en) 2002-08-28 2004-12-14 Verity Instruments, Inc. System and method for determining endpoint in etch processes using partial least squares discriminant analysis in the time domain of optical emission spectra
US6900002B1 (en) 2002-11-19 2005-05-31 Advanced Micro Devices, Inc. Antireflective bi-layer hardmask including a densified amorphous carbon layer
EP1598441B1 (en) 2003-02-26 2018-09-26 Sumitomo Electric Industries, Ltd. Amorphous carbon film and process for producing the same
KR100988085B1 (ko) 2003-06-24 2010-10-18 삼성전자주식회사 고밀도 플라즈마 처리 장치
US7129180B2 (en) * 2003-09-12 2006-10-31 Micron Technology, Inc. Masking structure having multiple layers including an amorphous carbon layer
US7824498B2 (en) 2004-02-24 2010-11-02 Applied Materials, Inc. Coating for reducing contamination of substrates during processing
US7556718B2 (en) 2004-06-22 2009-07-07 Tokyo Electron Limited Highly ionized PVD with moving magnetic field envelope for uniform coverage of feature structure and wafer
JP2006049817A (ja) 2004-07-07 2006-02-16 Showa Denko Kk プラズマ処理方法およびプラズマエッチング方法
WO2006052370A2 (en) 2004-11-03 2006-05-18 Applied Materials, Inc. Diamond like carbon films
US8808856B2 (en) 2005-01-05 2014-08-19 Pureron Japan Co., Ltd. Apparatus and method for producing carbon film using plasma CVD and carbon film
US7247582B2 (en) 2005-05-23 2007-07-24 Applied Materials, Inc. Deposition of tensile and compressive stressed materials
KR100715530B1 (ko) * 2005-11-11 2007-05-07 주식회사 테스 비정질 탄소막의 제조 방법 및 이를 적용한 반도체 소자의제조 방법
US8119240B2 (en) 2005-12-02 2012-02-21 United Technologies Corporation Metal-free diamond-like-carbon coatings
US7264688B1 (en) 2006-04-24 2007-09-04 Applied Materials, Inc. Plasma reactor apparatus with independent capacitive and toroidal plasma sources
US7867578B2 (en) * 2006-06-28 2011-01-11 Applied Materials, Inc. Method for depositing an amorphous carbon film with improved density and step coverage
KR100812504B1 (ko) 2006-09-05 2008-03-11 성균관대학교산학협력단 전도성 고경도 탄소박막의 제조 방법 및 박막 전계 발광소자용 전극으로의 응용
US8500963B2 (en) 2006-10-26 2013-08-06 Applied Materials, Inc. Sputtering of thermally resistive materials including metal chalcogenides
US20080188090A1 (en) 2007-02-02 2008-08-07 Applied Materials, Inc. Internal balanced coil for inductively coupled high density plasma processing chamber
US7959735B2 (en) 2007-02-08 2011-06-14 Applied Materials, Inc. Susceptor with insulative inserts
KR100941070B1 (ko) 2007-05-10 2010-02-09 세메스 주식회사 플라즈마를 이용하여 기판을 처리하는 장치
KR100777043B1 (ko) * 2007-05-22 2007-11-16 주식회사 테스 비정질 탄소막 형성 방법 및 이를 이용한 반도체 소자의제조 방법
US20090029067A1 (en) 2007-06-28 2009-01-29 Sciamanna Steven F Method for producing amorphous carbon coatings on external surfaces using diamondoid precursors
US8105660B2 (en) 2007-06-28 2012-01-31 Andrew W Tudhope Method for producing diamond-like carbon coatings using PECVD and diamondoid precursors on internal surfaces of a hollow component
JP4476313B2 (ja) * 2007-07-25 2010-06-09 東京エレクトロン株式会社 成膜方法、成膜装置、および記憶媒体
US8101444B2 (en) 2007-08-17 2012-01-24 Semiconductor Energy Laboratory Co., Ltd. Method for manufacturing semiconductor device
US20090087796A1 (en) * 2007-09-27 2009-04-02 Air Products And Chemicals, Inc. Cyclopentene As A Precursor For Carbon-Based Films
JP2009167512A (ja) 2008-01-21 2009-07-30 Kobe Steel Ltd 摺動部品用ダイヤモンドライクカーボン皮膜およびその製造方法
US8133819B2 (en) 2008-02-21 2012-03-13 Applied Materials, Inc. Plasma etching carbonaceous layers with sulfur-based etchants
JP4704453B2 (ja) 2008-07-16 2011-06-15 株式会社プラズマイオンアシスト ダイヤモンドライクカーボン製造装置、製造方法及び工業製品
US8105465B2 (en) 2008-10-14 2012-01-31 Applied Materials, Inc. Method for depositing conformal amorphous carbon film by plasma-enhanced chemical vapor deposition (PECVD)
US7967913B2 (en) 2008-10-22 2011-06-28 Applied Materials, Inc. Remote plasma clean process with cycled high and low pressure clean steps
JP4755262B2 (ja) 2009-01-28 2011-08-24 株式会社神戸製鋼所 ダイヤモンドライクカーボン膜の製造方法
US8900471B2 (en) 2009-02-27 2014-12-02 Applied Materials, Inc. In situ plasma clean for removal of residue from pedestal surface without breaking vacuum
US7842622B1 (en) * 2009-05-15 2010-11-30 Asm Japan K.K. Method of forming highly conformal amorphous carbon layer
KR101842675B1 (ko) 2009-07-08 2018-03-27 플라즈마시, 인크. 플라즈마 처리를 위한 장치 및 방법
US8008208B2 (en) 2009-12-07 2011-08-30 Applied Materials, Inc. Method of cleaning and forming a negatively charged passivation layer over a doped region
KR20110115291A (ko) 2010-04-15 2011-10-21 경북대학교 산학협력단 Dlc 코팅장치
US20120237693A1 (en) 2011-03-17 2012-09-20 Applied Materials, Inc. In-situ clean process for metal deposition chambers
US20120276743A1 (en) 2011-04-26 2012-11-01 Jai-Hyung Won Methods of forming a carbon type hard mask layer using induced coupled plasma and methods of forming patterns using the same
KR20120121340A (ko) 2011-04-26 2012-11-05 삼성전자주식회사 유도결합 플라즈마를 이용한 탄소계 하드 마스크막 제조 방법 및 이를 이용한 패턴 형성 방법
JP2012233529A (ja) 2011-04-28 2012-11-29 F C C:Kk 動力伝達装置
JP2013021382A (ja) 2011-07-07 2013-01-31 Toshiba Corp 同軸ケーブル
US20130034666A1 (en) 2011-08-01 2013-02-07 Applied Materials, Inc. Inductive plasma sources for wafer processing and chamber cleaning
EP2587518B1 (en) 2011-10-31 2018-12-19 IHI Hauzer Techno Coating B.V. Apparatus and Method for depositing Hydrogen-free ta C Layers on Workpieces and Workpiece
JP5935116B2 (ja) 2011-12-16 2016-06-15 東京エレクトロン株式会社 プラズマ処理装置
SG11201403005TA (en) 2011-12-23 2014-09-26 Applied Materials Inc Methods and apparatus for cleaning substrate surfaces with atomic hydrogen
US8679987B2 (en) 2012-05-10 2014-03-25 Applied Materials, Inc. Deposition of an amorphous carbon layer with high film density and high etch selectivity
CN103594495A (zh) 2012-08-16 2014-02-19 中国科学院微电子研究所 半导体器件及其制造方法
US9362133B2 (en) 2012-12-14 2016-06-07 Lam Research Corporation Method for forming a mask by etching conformal film on patterned ashable hardmask
US9304396B2 (en) 2013-02-25 2016-04-05 Lam Research Corporation PECVD films for EUV lithography
US20140273461A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Carbon film hardmask stress reduction by hydrogen ion implantation
WO2014149175A1 (en) 2013-03-15 2014-09-25 Applied Materials, Inc. An amorphous carbon deposition process using dual rf bias frequency applications
US20140355912A1 (en) 2013-05-29 2014-12-04 Garett F. Fortune Odor resistant bag and film
US9269587B2 (en) 2013-09-06 2016-02-23 Applied Materials, Inc. Methods for etching materials using synchronized RF pulses
US9412613B2 (en) 2014-01-08 2016-08-09 Applied Materials, Inc. Development of high etch selective hardmask material by ion implantation into amorphous carbon films
US9984915B2 (en) 2014-05-30 2018-05-29 Infineon Technologies Ag Semiconductor wafer and method for processing a semiconductor wafer
US20160042961A1 (en) 2014-08-06 2016-02-11 Applied Materials, Inc. Electron beam plasma source with rotating cathode, backside helium cooling and liquid cooled pedestal for uniform plasma generation
US9695503B2 (en) 2014-08-22 2017-07-04 Applied Materials, Inc. High power impulse magnetron sputtering process to achieve a high density high SP3 containing layer
US9390910B2 (en) 2014-10-03 2016-07-12 Applied Materials, Inc. Gas flow profile modulated control of overlay in plasma CVD films
US10475626B2 (en) 2015-03-17 2019-11-12 Applied Materials, Inc. Ion-ion plasma atomic layer etch process and reactor
US9646818B2 (en) 2015-03-23 2017-05-09 Applied Materials, Inc. Method of forming planar carbon layer by applying plasma power to a combination of hydrocarbon precursor and hydrogen-containing precursor
US10153139B2 (en) 2015-06-17 2018-12-11 Applied Materials, Inc. Multiple electrode substrate support assembly and phase control system
US20170040140A1 (en) 2015-08-06 2017-02-09 Seagate Technology Llc Magnet array for plasma-enhanced chemical vapor deposition
US10879041B2 (en) 2015-09-04 2020-12-29 Applied Materials, Inc. Method and apparatus of achieving high input impedance without using ferrite materials for RF filter applications in plasma chambers
US10418243B2 (en) 2015-10-09 2019-09-17 Applied Materials, Inc. Ultra-high modulus and etch selectivity boron-carbon hardmask films
US9695593B2 (en) 2015-11-10 2017-07-04 Detec Systems Llc Leak detection in roof membranes
US10020218B2 (en) 2015-11-17 2018-07-10 Applied Materials, Inc. Substrate support assembly with deposited surface features
KR102378021B1 (ko) 2016-05-06 2022-03-23 에이에스엠 아이피 홀딩 비.브이. SiOC 박막의 형성
KR20170127724A (ko) 2016-05-12 2017-11-22 삼성전자주식회사 플라즈마 처리 장치
US9852889B1 (en) 2016-06-22 2017-12-26 Lam Research Corporation Systems and methods for controlling directionality of ions in an edge region by using an electrode within a coupling ring
US10249495B2 (en) 2016-06-28 2019-04-02 Applied Materials, Inc. Diamond like carbon layer formed by an electron beam plasma process
US10544505B2 (en) 2017-03-24 2020-01-28 Applied Materials, Inc. Deposition or treatment of diamond-like carbon in a plasma reactor
KR102604084B1 (ko) 2017-06-08 2023-11-17 어플라이드 머티어리얼스, 인코포레이티드 하드마스크 및 다른 패터닝 응용들을 위한 고밀도 저온 탄소 막들
US10954129B2 (en) 2017-06-08 2021-03-23 Applied Materials, Inc. Diamond-like carbon as mandrel
US11043375B2 (en) 2017-08-16 2021-06-22 Applied Materials, Inc. Plasma deposition of carbon hardmask
CN111954921A (zh) * 2018-04-09 2020-11-17 应用材料公司 用于图案化应用的碳硬掩模及相关的方法
WO2019212592A1 (en) 2018-05-03 2019-11-07 Applied Materials, Inc. Pulsed plasma (dc/rf) deposition of high quality c films for patterning
WO2020096885A1 (en) 2018-11-05 2020-05-14 Applied Materials, Inc. Magnetic housing systems

Patent Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH07242493A (ja) * 1993-01-07 1995-09-19 Internatl Business Mach Corp <Ibm> 基板上にダイアモンド状カーボン・フィルムを付着する方法
JPH11150115A (ja) * 1997-08-25 1999-06-02 Internatl Business Mach Corp <Ibm> 多層構造およびその製造方法
JP2009505402A (ja) * 2005-08-08 2009-02-05 アプライド マテリアルズ インコーポレイテッド 低温で堆積された炭素含有ハードマスクを使用する半導体基板プロセス
JP2010021282A (ja) * 2008-07-09 2010-01-28 Dan Takuma:Kk 半導体用ケース
WO2011146212A2 (en) * 2010-05-20 2011-11-24 Applied Materials, Inc. Ultra high selectivity ashable hard mask film
JP2012233259A (ja) * 2012-06-25 2012-11-29 Tokyo Electron Ltd アモルファスカーボン膜の成膜方法、それを用いた半導体装置の製造方法、およびコンピュータ読取可能な記憶媒体
JP2017504209A (ja) * 2014-01-10 2017-02-02 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 炭素膜応力緩和
WO2018032684A1 (zh) * 2016-08-16 2018-02-22 北京北方微电子基地设备工艺研究中心有限责任公司 卡盘、反应腔室及半导体加工设备
WO2018034811A1 (en) * 2016-08-19 2018-02-22 Applied Materials, Inc. High density, low stress amorphous carbon film, and process and equipment for its deposition

Also Published As

Publication number Publication date
TW201944490A (zh) 2019-11-16
TWI780320B (zh) 2022-10-11
WO2019199681A1 (en) 2019-10-17
US11784042B2 (en) 2023-10-10
US11469097B2 (en) 2022-10-11
US20230021761A1 (en) 2023-01-26
KR20200130490A (ko) 2020-11-18
JP7407121B2 (ja) 2023-12-28
SG11202009406RA (en) 2020-10-29
CN111954921A (zh) 2020-11-17
US20210043449A1 (en) 2021-02-11
TW202318505A (zh) 2023-05-01

Similar Documents

Publication Publication Date Title
JP2021520639A (ja) パターニング用途のためのカーボンハードマスク及び関連方法
KR101644732B1 (ko) Finfet 방식용 게이트 스페이서 프로파일, 핀 손실 및 하드 마스크 손실 개선을 위한 종횡비 종속 성막
US20230220551A1 (en) Pulsed plasma (dc/rf) deposition of high quality c films for patterning
JPH07161702A (ja) 酸化物のプラズマエッチング方法
JP2014120661A (ja) ダミーゲートを形成する方法
US20040048487A1 (en) Method and apparatus for etching Si
TWI827705B (zh) 用於圖案化應用的高密度碳膜
EP1422751A2 (en) Method of plasma etching high-K dielectric materials with high selectivity to underlying layers
JP4203996B2 (ja) エッチング方法及びプラズマエッチング装置
JP7005367B2 (ja) ボロン系膜の成膜方法および成膜装置
US11404263B2 (en) Deposition of low-stress carbon-containing layers
US11810792B2 (en) Etching method and substrate processing apparatus
US11495454B2 (en) Deposition of low-stress boron-containing layers
JP2022048094A (ja) エッチング処理方法及び基板処理装置
JP2001044173A (ja) エッチング方法
JP2023546602A (ja) 電極の調整によるハードマスクの調節
TW202415796A (zh) 用於圖案化應用的高密度碳膜
TWI469199B (zh) 氟碳化物膜中之懸空鍵的控制方法
TW556285B (en) Etching method
JP2019062045A (ja) ボロン系膜の平坦化方法およびボロン系膜の形成方法

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20220407

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20220407

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20230329

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20230425

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20230720

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20231024

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20231124

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20231218

R150 Certificate of patent or registration of utility model

Ref document number: 7407121

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150