JP2020516079A - シリコン間隙充填のための二段階プロセス - Google Patents

シリコン間隙充填のための二段階プロセス Download PDF

Info

Publication number
JP2020516079A
JP2020516079A JP2019554385A JP2019554385A JP2020516079A JP 2020516079 A JP2020516079 A JP 2020516079A JP 2019554385 A JP2019554385 A JP 2019554385A JP 2019554385 A JP2019554385 A JP 2019554385A JP 2020516079 A JP2020516079 A JP 2020516079A
Authority
JP
Japan
Prior art keywords
film
plasma
processing method
post
curing
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2019554385A
Other languages
English (en)
Other versions
JP7118511B2 (ja
Inventor
アブヒジット バス マリック,
アブヒジット バス マリック,
プラミット マンナ,
プラミット マンナ,
シーシー チアン,
シーシー チアン,
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2020516079A publication Critical patent/JP2020516079A/ja
Application granted granted Critical
Publication of JP7118511B2 publication Critical patent/JP7118511B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/045Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/32Carbides
    • C23C16/325Silicon carbide
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/36Carbonitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/0214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being a silicon oxynitride, e.g. SiON or SiON:H
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02167Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon carbide not containing oxygen, e.g. SiC, SiC:H or silicon carbonitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • H01L21/0234Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02345Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light
    • H01L21/02348Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light treatment by exposure to UV light
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28518Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table the conductive layers comprising silicides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/513Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using plasma jets

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

PECVDによって流動性膜を形成し、該流動性膜を処理してSi−X膜を形成し(ここで、X=C、O、又はNである)、流動性膜又はSi−X膜を硬化して膜を固化させることを含む、継ぎ目のない間隙充填方法。流動性膜は、高次のシラン及びプラズマを使用して形成することができる。UV硬化又は他の硬化を使用して、流動性膜又はSi−X膜を固化することができる。【選択図】図3

Description

本開示は、概して、薄膜を堆積する方法に関する。詳細には、本開示は、狭いトレンチにSi−X(X=N、O、又はC)材料を充填するためのプロセスに関する。
マイクロエレクト二クスデバイスの製造では、多くの用途で、ボイドを発生させることなく、10:1を超えるアスペクト比(AR)を有する狭いトレンチを埋めることが必要とされる。用途の1つはシャロートレンチアイソレーション(STI)のためのものである。この用途では、膜が、トレンチ全体を通じて高品質(例えば2を下回る湿式エッチング速度比を有する)で、漏れが非常に少ないことを必要とする。構造の寸法が低下し、アスペクト比が増加すると、堆積されたままの流動性膜の後硬化方法が困難になる。その結果、充填されたトレンチ全体にわたり、さまざまな組成の膜が生じる。
アモルファスシリコンは、他の膜(例えば、酸化シリコン、アモルファスカーボン等)に対して良好なエッチング選択性を提供できることから、犠牲層として半導体製造プロセスに広く使用されている。半導体製造における限界寸法(CD)の低下に伴い、高アスペクト比の間隙を埋めることは、高度なウエハ製造においてますます敏感になっている。現在の金属置換ゲートプロセスには、炉のポリシリコン又はアモルファスシリコンのダミーゲートが関与する。プロセスの性質に起因して、Siダミーゲートの中央に継ぎ目が形成される。この継ぎ目は、後処理中に開いてしまい、構造の破損を引き起こす可能性がある。
アモルファスシリコン(a−Si)の従来のプラズマ化学気相堆積(PECVD)は、狭いトレンチの上部に「マッシュルーム形状」の膜を形成する。これは、プラズマが深いトレンチに侵入できないことに起因する。この結果、上部から狭いトレンチがピンチオフされ、トレンチの底部にボイドを形成する。
したがって、継ぎ目のない膜成長を提供することができる高アスペクト比構造の間隙充填方法が必要とされている。
本開示の1つ以上の実施態様は、少なくとも1つの特徴を有する基板表面を提供することを含む、処理方法を対象とする。少なくとも1つの特徴は、基板表面から底面までの深さにわたって延びる。少なくとも1つの特徴は、第1の側壁及び第2の側壁によって画成される幅を有する。基板表面、並びに少なくとも1つの特徴の第1の側壁、第2の側壁、及び底面の上に流動性膜が形成される。流動性膜は、実質的に継ぎ目を形成することなく、特徴を埋める。流動性膜は、Si−X膜を形成するように処理され、ここで、XはN、O、又はCのうちの1つ以上である。Si−X膜は硬化され、膜が固化し、実質的に継ぎ目のない間隙充填を形成する。
本開示の追加の実施態様は、少なくとも1つの特徴を有する基板表面を提供することを含む、処理方法を対象とする。少なくとも1つの特徴は、基板表面から底面までの深さにわたって延びる。少なくとも1つの特徴は、第1の側壁及び第2の側壁によって画成される幅、並びに約25:1以上のアスペクト比を有する。流動性のシリコン膜は、PECVDによって、基板表面、並びに少なくとも1つの特徴の第1の側壁、第2の側壁、及び底面の上に形成される。流動性膜は、実質的に継ぎ目を形成することなく、特徴を埋める。流動性膜は、後処理プロセスによって処理されてSi−X膜を形成し、ここで、XはN、O、又はCのうちの1つ以上である。Si−X膜が硬化されて膜が固化し、実質的に継ぎ目のない間隙充填を形成する。
本開示のさらなる実施態様は、少なくとも1つの特徴を有する基板表面を提供することを含む、処理方法を対象とし、該少なくとも1つの特徴は基板表面から底面までの深さにわたって延びる。少なくとも1つの特徴は、第1の側壁及び第2の側壁によって画成される幅、並びに約25:1以上のアスペクト比を有する。流動性のシリコン膜は、PECVDプロセスによって、基板表面、並びに少なくとも1つの特徴の第1の側壁、第2の側壁、及び底面の上に形成される。流動性膜は、実質的に継ぎ目を形成することなく、特徴を埋める。PECVDプロセスは、ポリシリコン前駆体と、プラズマガスを含むプラズマとを含む。ポリシリコン前駆体は、ジシラン、トリシラン、テトラシラン、ネオペンタシラン、又はシクロヘキサシランのうちの1つ以上を含む。プラズマガスは、He、Ar、Kr、H、N、O、O、又はNHのうちの1つ以上を含む。プラズマは約200W以下のパワーを有する。PECVDプロセスは約100℃以下の温度で行われる。流動性膜は、後処理化学物質及び後処理プラズマを含む後処理プロセスに曝露され、Si−X膜を形成し、ここで、XはN、O、又はCのうちの1つ以上である。後処理化学物質は、NH、NO、N、O、O、又はCのうちの1つ以上を含み、ここで、y=2x+2又は2xである。後処理プラズマは、約100Wから約1000Wの範囲のパワーを有する導電結合型プラズマ、又は約2000Wから約10000Wの範囲のパワーを有する誘導結合型プラズマを含む。Si−X膜は、UV硬化に曝露されて膜を固化し、実質的に継ぎ目のない間隙充填を形成する。
本発明の上記の特徴を詳細に理解できるように、先に簡単に要約した本発明のより具体的な説明は、その幾つかが添付の図面に示されている実施態様を参照することにより得ることができる。しかしながら、添付の図面は、本発明の典型的な実施態様のみを示しており、したがって、その範囲を限定するものとみなされるべきではないことに留意されたい。なぜなら、本発明は、他の同等に有効な実施態様を認めうるからである。
本開示の1つ以上の実施態様による基板特徴の断面図 流動性膜を有する、図1の基板特徴の断面図 Si−X膜を有する、図1の基板特徴の断面図
本発明の幾つかの例示的な実施態様について説明する前に、本発明は、以下の説明に記載されている構造物又はプロセス工程の詳細に限定されないことが理解されるべきである。本発明は、他の実施態様が可能であり、さまざまな方法で実施又は実行可能である。
本書で用いられる「基板」とは、製造プロセス中にその上に膜処理が行われる、任意の基板又は基板上に形成された材料表面のことを指す。例えば、処理を行うことができる基板表面としては、用途に応じて、シリコン、酸化シリコン、ストレインドシリコン、シリコンオンインシュレータ(SOI)、炭素がドープされた酸化シリコン、アモルファスシリコン、ドープされたシリコン、ゲルマニウム、ヒ化ガリウム、ガラス、サファイアなどの材料、並びに、金属、金属窒化物、金属合金、及び他の導電材料など、他の任意の材料が挙げられる。基板には半導体ウエハが含まれるが、これに限定されない。基板は、基板表面を研磨、エッチング、還元、酸化、ヒドロキシル化、アニール、UV硬化、電子ビーム硬化、及び/又はベーキングするために前処理プロセスに曝露されうる。基板自体の表面上での直接的な膜処理に加えて、本発明では、開示された膜処理工程のいずれかを、以下により詳細に開示されるように基板上に形成された下層に対して行うことができ、「基板表面」という用語は、文脈が示すように、このような下層を含むことが意図されている。したがって、例えば、膜/層又は部分的な膜/層が基板表面上に堆積されている場合には、新たに堆積される膜/層の露出面が基板表面となる。
本開示の実施態様は、小さい寸法を有する高アスペクト比(AR)構造の膜(例えば、アモルファスシリコン)を堆積させる方法を提供する。幾つかの実施態様は、クラスタツール環境で実行できる周期的な堆積処理プロセスを含む方法を有利に提供する。幾つかの実施態様は、小さな寸法を有する高ARトレンチを埋めるために、継ぎ目のない高品質のアモルファスシリコン膜を有利に提供する。
本開示の1つ以上の実施態様は、20nm未満の限界寸法(CD)を有する高アスペクト比構造(例えば、AR>8:1)を満たすことができる、流動性のアモルファスシリコン膜を堆積するプロセスを対象とする。膜は、低温(例えば、<100℃)でプラズマ化学気相堆積(PECVD)によって、ポリシラン前駆体を使用して堆積することができる。処理のためのプラズマパワーが約100W未満、150W、200W、又は300W未満に維持されると、反応速度が低下し、ヘイズのない膜を得ることができる。チャンバ本体温度は、熱交換器の温度を制御することによっても制御することができる。ジシラン、トリシラン、テトラシラン、ネオペンタシラン、シクロヘキサシランは、使用することができる典型的なポリシランである。膜を安定させるために、UV硬化などの堆積後処理を行うことができる。プロセスの実施態様は、炭化水素源及び窒素源を流動性Siプロセスに追加することにより、流動性のSiC膜及びSiCN膜の調製を可能にする。さらに、適切な金属前駆体を流動性シリコンプロセスに追加することにより、流動性金属シリサイド(WSi、TaSi、NiSi)を堆積させることもできる。
図1は、特徴110を有する基板100の部分断面図を示している。図面は例示目的で単一の特徴を有する基板を示しているが、しかしながら、当業者は、1つより多くの特徴があってよいことを理解するであろう。特徴110の形状は、限定はしないが、トレンチ及び円筒形のビアを含む、任意の適切な形状でありうる。この関連で使用する場合、「特徴」という用語は、あらゆる意図的な表面の不規則性を意味する。特徴の適切な例には、限定はしないが、頂部、2つの側壁、及び底部を有するトレンチ、並びに頂部及び2つの側壁を有するピークが含まれる。特徴は、任意の適切なアスペクト比(特徴の幅に対する特徴の深さの比)を有しうる。幾つかの実施態様では、アスペクト比は、約5:1以上、10:1以上、15:1以上、20:1以上、25:1以上、30:1以上、35:1以上、又は40:1以上である。
基板100は基板表面120を有する。少なくとも1つの特徴110は、基板表面120に開口部を形成する。特徴110は、基板表面120から底面112へと深さDまで延びる。特徴110は、該特徴110の幅Wを画成する第1の側壁114及び第2の側壁116を有する。側壁と底部によって形成される開口領域は、間隙とも称される。
本開示の1つ以上の実施態様は、少なくとも1つの特徴を有する基板表面が提供される処理方法を対象とする。これに関連して用いられる「提供される」という用語は、さらなる処理のために、基板がある位置又は環境に置かれることを意味する。
図2に示されるように、流動性膜150は、基板表面120、並びに少なくとも1つの特徴110の第1の側壁114、第2の側壁116、及び底面112上に形成される。流動性膜150は、実質的に継ぎ目が形成されないように、少なくとも1つの特徴110を埋める。継ぎ目は、特徴110の側壁間の特徴に形成される間隙であるが、必ずしも側壁の中央には形成されない。これに関連して、「実質的に継ぎ目がない」という用語は、側壁間において膜に形成された間隙が側壁の断面積の約1%未満であることを意味する。
流動性膜150は、任意の適切なプロセスによって形成することができる。幾つかの実施態様では、流動性膜の形成は、プラズマ化学気相堆積(PECVD)によって行われる。言い換えれば、流動性膜は、プラズマ化学気相堆積プロセスによって堆積させることができる。
幾つかの実施態様のPECVDプロセスは、基板表面を反応性ガスに曝露することを含む。反応性ガスは、1つ以上の核種の混合物を含みうる。例えば、反応性ガスは、シリコン前駆体とプラズマガスとを含むことができる。プラズマガスは、点火してプラズマを形成することができる、及び/又は前駆体のキャリア又は希釈剤として作用することができる、任意の適切なガスでありうる。
幾つかの実施態様では、シリコン前駆体は、ポリシリコン核種とも称される高次のシランを含み、ポリシリコン前駆体とも称される。幾つかの実施態様のポリシリコン前駆体は、ジシラン、トリシラン、テトラシラン、ネオペンタシラン、及び/又はシクロヘキサシランのうちの1つ以上を含む。1つ以上の実施態様では、ポリシリコン前駆体はテトラシランを含む。幾つかの実施態様では、ポリシリコン前駆体は、本質的にテトラシランからなる。これに関連して用いられる「本質的に〜からなる」という用語は、反応性ガスのシリコン核種が、モル基準で約95%以上の指定された核種で構成されることを意味する。例えば、本質的にテトラシランからなるポリシリコン前駆体は、反応性ガスのシリコン核種が、モル基準で約95%以上テトラシリコンであることを意味する。
幾つかの実施態様では、プラズマガスは、He、Ar、H、Kr、N、O、O、又はNHのうちの1つ以上を含む。幾つかの実施態様のプラズマガスは、反応性ガス中の反応性核種(例えば、ポリシリコン核種)の希釈剤又はキャリアガスとして使用される。
プラズマは、処理チャンバ内で生成又は点火することができる(例えば、直接プラズマ)、あるいは、処理チャンバの外部で生成し、処理チャンバに流入することができる(例えば、遠隔プラズマ)。プラズマパワーは、ポリシリコン核種のシランへの還元を防ぐため、及び/又は膜におけるヘイズ形成を最小化するか防ぐために、十分に低いパワーに維持されうる。幾つかの実施態様では、プラズマパワーは約300W以下である。1つ以上の実施態様では、プラズマパワーは、約250W以下、200W以下、150W以下、100W以下、50W以下、又は25W以下である。幾つかの実施態様では、プラズマパワーは、約10Wから約200Wの範囲、又は約25Wから約175Wの範囲、又は約50Wから約150Wの範囲である。
流動性膜150は、任意の適切な温度で形成することができる。幾つかの実施態様では、流動性膜150は、約−100℃から約50℃の範囲、又は約−75℃から約40℃の範囲、又は約−50℃から約25℃の範囲、又は約−25℃から約0℃の範囲の温度で形成される。温度は、形成されるデバイスのサーマルバジェットを維持するために、低く保たれうる。幾つかの実施態様では、流動性膜の形成は、約50℃未満、40℃、30℃、20℃、10℃、0℃、−10℃、−20℃、−30℃、−40℃、−50℃、−60℃、−70℃、−80℃、又は−90℃未満の温度で行われる。
流動性膜150は、任意の適切な圧力で形成することができる。幾つかの実施態様では、流動性膜150の形成に用いられる圧力は、約0.5Tから約50Tの範囲、又は約0.75Tから約25Tの範囲、又は約1Tから約10Tの範囲である。
流動性膜の組成は、反応性ガスの組成を変更することによって調整することができる。幾つかの実施態様では、流動性膜は、SiN、SiO、SiC、SiOC、SiON、SiCONのうちの1つ以上を含む。酸素含有膜を形成するためには、反応性ガスは、例えば、酸素、オゾン、又は水のうちの1つ以上を含みうる。窒素含有膜を形成するためには、反応性ガスは、例えば、アンモニア、ヒドラジン、NO、又はNのうちの1つ以上を含みうる。炭素含有膜を形成するためには、反応性ガスは、例えば、プロピレン及びアセチレンのうちの1つ以上を含みうる。当業者は、流動性膜の組成を変更するために、反応性ガス混合物に他の核種又はそれらの組合せを含めることができることを理解するであろう。
幾つかの実施態様では、流動性膜は、金属シリサイドを含む。反応性ガス混合物は、例えば、タングステン、タンタル、又はニッケルのうちの1つ以上を含む前駆体を含みうる。流動性膜の組成を変更するために、他の金属前駆体を含めてもよい。
図3を参照すると、形成後、幾つかの実施態様の流動性膜150は、Si−X膜155を形成するように処理され、ここで、XはN、O、又はCのうちの1つ以上である。流動性膜150の処理は、後処理とも称されうる。この態様で用いられる場合、「後処理」という用語は、流動性膜150の形成後に行われるプロセスを指す。流動性膜150の組成がさまざまな反応物を使用して調整される場合、流動性膜の処理により、流動性膜の組成又は流動性膜中の原子の相対的なパーセンテージに変化が生じる。例えば、流動性膜150が原子基準で80%のSi、20%のNである場合、処理によって、原子基準で50%のSi、50%のNの膜をもたらすことができる。
後処理プロセスは、基板を後処理化学物質及び後処理プラズマに曝露することを含む。幾つかの実施態様の後処理化学物質は、NH、NO、N、O、O、又はCのうちの1つ以上を含み、ここで、y=2x+2又は2xである。幾つかの実施態様では、後処理化学物質はCを含み、ここで、Cは、C1−C12アルキル、アルケニル、又はシクロアルキル核種のうちの1つ以上を含む。幾つかの実施態様では、後処理化学物質は、流動性膜の形成に用いられた反応物と同じ核種を含む。幾つかの実施態様では、後処理化学物質は、流動性膜の形成に用いられた反応物とは異なる核種を含む。
後処理プラズマは、後処理化学物質又は後処理化学物質とは異なる後処理プラズマ種を使用して生成することができる。後処理化学物質は、例えば、プラズマの点火に用いられる希釈剤又はキャリアガス(例えばアルゴン)を用いて流すことができる。後処理化学物質は、処理チャンバに連続的に流入させてもよく、あるいは処理チャンバ内へとパルスしてもよい。
幾つかの実施態様の後処理プラズマは、約100Wから約1000Wの範囲、又は約200Wから約900Wの範囲、又は約300Wから約800Wの範囲、又は約400Wから約700Wの範囲のパワーを有する導電結合型プラズマである。幾つかの実施態様では、後処理プラズマは、流動性膜150の形成に用いられたパワーより大きいパワーを有する。例えば、幾つかの実施態様では、流動性膜は100Wのプラズマで形成され、後処理は500Wのプラズマで行われる。
幾つかの実施態様の後処理プラズマは、約2000Wから約10000Wの範囲、又は約3000Wから約9000Wの範囲、又は約4000Wから約8000Wの範囲、又は約5000Wから約7000Wの範囲のパワーを有する誘導結合型プラズマである。
流動性膜150又はSi−X膜155の形成後、膜を硬化させて流動性膜150又はSi−X膜155を固化し、実質的に継ぎ目のない間隙充填を形成する。幾つかの実施態様では、流動性膜150又はSi−X膜155は、膜をUV硬化プロセスに曝露することによって硬化される。UV硬化プロセスは、約10℃から約550℃の範囲の温度で行うことができる。UV硬化プロセスは、流動性膜150又はSi−X膜155を十分に固化させるのに必要な任意の適切な時間枠で行うことができる。幾つかの実施態様では、UV硬化は、約10分、9分、8分、7分、6分、5分、4分、3分、2分、又は1分以下で行われる。
幾つかの実施態様では、流動性膜150又はSi−X膜155を硬化することは、プラズマ又は電子ビームへの曝露を含む。膜を硬化させるためのプラズマ曝露は、PECVDプラズマ又は後処理プラズマとは別のプラズマを含む。プラズマ種及び処理チャンバは同じであっても異なっていてもよく、プラズマ硬化は、PECVDプロセス又は後処理プラズマとは異なる工程でありうる。幾つかの実施態様では、後処理プラズマは、流動性膜150を同時に処理及び硬化して、硬化したSi−X膜155を形成する。
本開示の幾つかの実施態様は、水素含有量が低い、硬化した間隙充填膜を提供する。幾つかの実施態様では、膜の硬化後、間隙充填膜は、約10原子パーセント以下の水素含有量を有する。幾つかの実施態様では、硬化した膜は約5原子パーセント以下の水素含有量を有する。幾つかの実施態様では、硬化した膜は、約8、6、4、又は2原子パーセント以下の水素含有量を有する。
1つ以上の実施態様によれば、基板は、層の形成前及び/又は形成後に処理に供される。この処理は、同じチャンバ内、又は1つ以上の別々の処理チャンバ内で行うことができる。幾つかの実施態様では、基板は、さらなる処理のために、第1のチャンバから別個の第2のチャンバへと移送される。基板は、第1のチャンバから別個の処理チャンバへと直接移送されてもよく、あるいは、第1のチャンバから1つ以上の移送チャンバへと移送され、その後、別個の処理チャンバへと移送されてもよい。したがって、処理装置は、移送ステーションに通じている複数のチャンバを備えていてもよい。この種の装置は、「クラスタツール」、又は「クラスタ化システム」などと称されうる。
概して、クラスタツールは、基板の中心検出と方向付け、ガス抜き、アニーリング、堆積、及び/又はエッチングを含むさまざまな機能を実行する複数のチャンバを備えたモジュラーシステムである。1つ以上の実施態様によれば、クラスタツールは、少なくとも第1のチャンバと中央移送チャンバを含む。中央移送チャンバは、処理チャンバとロードロックチャンバとの間で基板を往復させることができるロボットを収容していてもよい。移送チャンバは、通常、減圧条件に維持され、基板を一方のチャンバから別のチャンバへ、及び/又はクラスタツールの前端に位置するロードロックチャンバへと往復させる中間段階を提供する。しかしながら、チャンバの正確な配置及び組合せは、本明細書に記載されるプロセスの特定の工程を実行する目的で変更することができる。使用されうる他の処理チャンバとしては、限定はしないが、周期的層堆積(CLD)、原子層堆積(ALD)、化学気相堆積(CVD)、物理的気相堆積(PVD)、エッチング、予洗浄、化学洗浄、RTPなどの熱処理、プラズマ窒化、ガス抜き、配向、ヒドロキシル化、及び他の基板処理が挙げられる。クラスタツールのチャンバ内でプロセスを実行することにより、大気中の不純物による基板の表面汚染を、後続の膜を堆積する前に酸化することなく回避することができる。
1つ以上の実施態様によれば、基板は連続的減圧又は「ロードロック」状態にあり、あるチャンバから次のチャンバへと移動する際に周囲空気に曝露されない。したがって、移送チャンバは減圧下にあり、減圧下で「ポンプダウン」されている。処理チャンバ又は移送チャンバ内に不活性ガスが存在していてもよい。幾つかの実施態様では、不活性ガスは、反応物の一部又は全部を除去するために、パージガスとして使用される。1つ以上の実施態様によれば、パージガスは、反応物が堆積チャンバから移送チャンバ及び/又は追加の処理チャンバに移動するのを防ぐために、堆積チャンバの出口に注入される。よって、不活性ガスの流れは、チャンバの出口にカーテンを形成する。
基板は、単一基板堆積チャンバ内で処理することができ、そこで、別の基板を処理する前に、単一の基板がロード、処理、及びアンロードされる。基板は、複数の基板が個々にチャンバの第1の部分にロードされ、チャンバ内を移動し、チャンバの第2の部分からアンロードされるコンベアシステムと同様に、連続的な態様で処理することもできる。チャンバ及び関連するコンベヤシステムの形状は、直線経路又は曲線経路を形成することができる。加えて、処理チャンバはカルーセルであってもよく、そこで、複数の基板が、中心軸の周りを移動し、カルーセル経路全体を通じて堆積、エッチング、アニーリング、洗浄などのプロセスにさらされる。
処理中、基板を加熱又は冷却してもよい。このような加熱又は冷却は、限定はしないが、基板支持体の温度を変化させること、及び加熱又は冷却されたガスを基板表面に流すことを含む、任意の適切な手段によって達成することができる。幾つかの実施態様では、基板支持体は、伝導的に基板温度変化させるように制御することができる、ヒータ/クーラを含む。1つ以上の実施態様では、用いられるガス(反応性ガス又は不活性ガスのいずれか)は、基板温度を局所的に変更するために加熱又は冷却される。幾つかの実施態様では、基板温度を対流によって変化させるために、ヒータ/クーラが、基板表面に隣接してチャンバ内に配置される。
基板はまた、処理中に静止していても回転していてもよい。回転する基板は、連続的に又は個別の工程で回転させることができる。例えば、プロセス全体を通して基板を回転させてもよいし、あるいは、異なる反応性ガス又はパージガスへの曝露の合間に基板を少しだけ回転させてもよい。処理中に基板を回転させると(連続的又は段階的のいずれか)、例えば、ガス流の幾何学的形状の局所的なばらつきの影響を最小限に抑えることにより、より均一な堆積又はエッチングの実現に役立てることができる。
本明細書を通して「一実施態様」、「ある特定の実施態様」、「1つ以上の実施態様」又は「実施態様」への言及は、実施態様に関連して記載される特定の特徴、構造、材料、又は特性が本発明の少なくとも1つの実施態様に含まれることを意味する。したがって、本明細書全体のさまざまな箇所での「1つ以上の実施態様」、「ある特定の実施態様」、「1つの実施態様」、又は「実施態様」などの文言の表出は、必ずしも本発明の同一の実施態様を指すものではない。さらには、特定の特徴、構造、材料、又は特性は、1つ以上の実施態様において、任意の適切な方法で組み合わせることができる。
本明細書では発明は特定の実施態様を参照して説明されているが、これらの実施態様は本発明の原理及び用途の単なる例示であることが理解されるべきである。本発明の趣旨及び範囲から逸脱することなく、本発明の方法及び装置にさまざまな修正及び変形がなされうることは、当業者にとって明らかであろう。よって、本発明は、添付の特許請求の範囲及びその等価物の範囲内にある修正及び変形を含むことが意図されている。

Claims (15)

  1. 処理方法において、
    基板表面から底面までの深さにわたって延びる少なくとも1つの特徴を有する前記基板表面を提供することであって、前記少なくとも1つの特徴が第1の側壁及び第2の側壁によって画成された幅を有する、提供すること;
    前記基板表面、並びに前記少なくとも1つの特徴の前記第1の側壁、前記第2の側壁、及び前記底面に流動性膜を形成することであって、前記流動性膜が実質的に継ぎ目を形成することなく前記特徴を埋める、形成すること;及び
    前記流動性膜を処理してSi−X膜を形成することであって、XがN、O、又はCのうちの1つ以上である、形成すること;及び
    前記Si−X膜を硬化して前記膜を固化させ、実質的に継ぎ目のない間隙充填を形成すること
    を含む、処理方法。
  2. 前記流動性膜を形成することが、プラズマ化学気相堆積(PECVD)を含む、請求項1に記載の処理方法。
  3. 前記PECVDがポリシリコン前駆体を含み、プラズマがプラズマガスを含む、請求項2に記載の処理方法。
  4. 前記ポリシリコン前駆体が、ジシラン、トリシラン、テトラシラン、ネオペンタシラン、又はシクロヘキサシランのうちの1つ以上を含む、請求項3に記載の処理方法。
  5. 前記プラズマガスが、He、Ar、Kr、H、N、O、O、又はNHのうちの1つ以上を含む、請求項3に記載の処理方法。
  6. 前記プラズマが約300W未満のパワーを有する、請求項5に記載の処理方法。
  7. 前記プラズマが直接プラズマである、請求項5に記載の処理方法。
  8. 前記流動性膜を形成することが約100℃未満の温度で行われる、請求項1に記載の処理方法。
  9. 前記流動性膜を硬化することがUV硬化を含む、請求項1に記載の処理方法。
  10. 前記UV硬化が約10℃から約550℃の範囲の温度で行われる、請求項9に記載の処理方法。
  11. 前記流動性膜を硬化させることが、前記流動性膜を前記PECVDプラズマとは別のプラズマ及び/又は電子ビームに曝露することを含む、請求項1に記載の処理方法。
  12. 前記流動性膜が、SiN、SiO、SiC、SiOC、SiON、SiCONのうちの1つ以上を含む、請求項3に記載の処理方法。
  13. 前記PECVDが、プロピレン、アセチレン、アンモニア、酸素、オゾン、又は水のうちの1つ以上をさらに含む、請求項12に記載の処理方法。
  14. 前記流動性膜を処理することが、前記流動性膜を、後処理プラズマ及び後処理化学物質を含む後処理プロセスに曝露することを含む、請求項3に記載の処理方法。
  15. 前記後処理化学物質が、NH、NO、N、O、O、又はCのうちの1つ以上を含み、ここで、y=2x+2又は2xである、請求項14に記載の処理方法。
JP2019554385A 2017-04-04 2018-04-04 シリコン間隙充填のための二段階プロセス Active JP7118511B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201762481409P 2017-04-04 2017-04-04
US62/481,409 2017-04-04
PCT/US2018/026023 WO2018187429A1 (en) 2017-04-04 2018-04-04 Two-step process for silicon gapfill

Publications (2)

Publication Number Publication Date
JP2020516079A true JP2020516079A (ja) 2020-05-28
JP7118511B2 JP7118511B2 (ja) 2022-08-16

Family

ID=63669780

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2019554385A Active JP7118511B2 (ja) 2017-04-04 2018-04-04 シリコン間隙充填のための二段階プロセス

Country Status (5)

Country Link
US (1) US10580642B2 (ja)
JP (1) JP7118511B2 (ja)
KR (1) KR102269470B1 (ja)
CN (1) CN110476222B (ja)
WO (1) WO2018187429A1 (ja)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2022138155A1 (ja) * 2020-12-22 2022-06-30 東京エレクトロン株式会社 絶縁膜の形成方法及び処理装置
KR20230130059A (ko) 2021-01-20 2023-09-11 도쿄엘렉트론가부시키가이샤 실리콘 함유막의 형성 방법 및 성막 장치

Families Citing this family (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11373866B2 (en) * 2018-06-29 2022-06-28 Taiwan Semiconductor Manufacturing Co., Ltd. Dielectric material and methods of forming same
US11495452B2 (en) * 2019-03-06 2022-11-08 Tohku University Method for producing silicon nitride film
KR102650586B1 (ko) * 2019-06-08 2024-03-21 어플라이드 머티어리얼스, 인코포레이티드 유동성 pecvd를 위한 낮은 증착 레이트들
TW202117802A (zh) * 2019-07-02 2021-05-01 美商應用材料股份有限公司 固化介電質材料的方法與設備
KR102617960B1 (ko) 2019-08-12 2023-12-26 삼성전자주식회사 2-스텝 갭-필 공정을 이용하여 반도체 소자를 형성하는 방법
US11380536B2 (en) * 2020-05-05 2022-07-05 Applied Materials, Inc. Multi-step pre-clean for selective metal gap fill

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH08222554A (ja) * 1994-12-14 1996-08-30 Sony Corp プラズマを利用した成膜装置およびその方法
US20090298257A1 (en) * 2008-05-30 2009-12-03 Asm Japan K.K. Device isolation technology on semiconductor substrate
US7629227B1 (en) * 2006-11-01 2009-12-08 Novellus Systems, Inc. CVD flowable gap fill
JP2013507003A (ja) * 2009-10-05 2013-02-28 アプライド マテリアルズ インコーポレイテッド 平坦化後の高密度化
JP2013513235A (ja) * 2009-12-02 2013-04-18 アプライド マテリアルズ インコーポレイテッド 非炭素ラジカル成分cvd膜向けの酸素ドーピング
US20150099342A1 (en) * 2013-10-04 2015-04-09 Taiwan Semiconductor Manufacturing Company, Ltd. Mechanism of Forming a Trench Structure
WO2016137606A1 (en) * 2015-02-23 2016-09-01 Applied Materials, Inc. Cyclic sequential processes for forming high quality thin films

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7498273B2 (en) * 2006-05-30 2009-03-03 Applied Materials, Inc. Formation of high quality dielectric films of silicon dioxide for STI: usage of different siloxane-based precursors for harp II—remote plasma enhanced deposition processes
US7803722B2 (en) * 2007-10-22 2010-09-28 Applied Materials, Inc Methods for forming a dielectric layer within trenches
US8557712B1 (en) * 2008-12-15 2013-10-15 Novellus Systems, Inc. PECVD flowable dielectric gap fill
KR101758944B1 (ko) * 2009-12-09 2017-07-18 노벨러스 시스템즈, 인코포레이티드 신규한 갭 충진 집적화
US8318584B2 (en) * 2010-07-30 2012-11-27 Applied Materials, Inc. Oxide-rich liner layer for flowable CVD gapfill
US20120149213A1 (en) * 2010-12-09 2012-06-14 Lakshminarayana Nittala Bottom up fill in high aspect ratio trenches
US9824881B2 (en) * 2013-03-14 2017-11-21 Asm Ip Holding B.V. Si precursors for deposition of SiN at low temperatures
US9406547B2 (en) 2013-12-24 2016-08-02 Intel Corporation Techniques for trench isolation using flowable dielectric materials
US9412581B2 (en) * 2014-07-16 2016-08-09 Applied Materials, Inc. Low-K dielectric gapfill by flowable deposition
US9777378B2 (en) * 2015-01-07 2017-10-03 Applied Materials, Inc. Advanced process flow for high quality FCVD films

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH08222554A (ja) * 1994-12-14 1996-08-30 Sony Corp プラズマを利用した成膜装置およびその方法
US7629227B1 (en) * 2006-11-01 2009-12-08 Novellus Systems, Inc. CVD flowable gap fill
US20090298257A1 (en) * 2008-05-30 2009-12-03 Asm Japan K.K. Device isolation technology on semiconductor substrate
JP2013507003A (ja) * 2009-10-05 2013-02-28 アプライド マテリアルズ インコーポレイテッド 平坦化後の高密度化
JP2013513235A (ja) * 2009-12-02 2013-04-18 アプライド マテリアルズ インコーポレイテッド 非炭素ラジカル成分cvd膜向けの酸素ドーピング
US20150099342A1 (en) * 2013-10-04 2015-04-09 Taiwan Semiconductor Manufacturing Company, Ltd. Mechanism of Forming a Trench Structure
WO2016137606A1 (en) * 2015-02-23 2016-09-01 Applied Materials, Inc. Cyclic sequential processes for forming high quality thin films

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2022138155A1 (ja) * 2020-12-22 2022-06-30 東京エレクトロン株式会社 絶縁膜の形成方法及び処理装置
KR20230130059A (ko) 2021-01-20 2023-09-11 도쿄엘렉트론가부시키가이샤 실리콘 함유막의 형성 방법 및 성막 장치

Also Published As

Publication number Publication date
US20180286669A1 (en) 2018-10-04
KR102269470B1 (ko) 2021-06-24
JP7118511B2 (ja) 2022-08-16
US10580642B2 (en) 2020-03-03
CN110476222A (zh) 2019-11-19
WO2018187429A1 (en) 2018-10-11
KR20190126203A (ko) 2019-11-08
CN110476222B (zh) 2023-06-06

Similar Documents

Publication Publication Date Title
KR102271768B1 (ko) 반응성 어닐링을 사용하는 갭충전
US20170372919A1 (en) Flowable Amorphous Silicon Films For Gapfill Applications
US11515149B2 (en) Deposition of flowable silicon-containing films
KR102269470B1 (ko) 실리콘 갭충전을 위한 2-단계 프로세스
KR102271729B1 (ko) 고 종횡비 구조들에서의 갭충전을 위한 방법들
JP2019024080A (ja) 連続した堆積−エッチング−処理方法を使用した酸化ケイ素及び窒化ケイ素のボトムアップ成長
KR102650586B1 (ko) 유동성 pecvd를 위한 낮은 증착 레이트들
US20220238331A1 (en) Gapfill process using pulsed high-frequency radio-frequency (hfrf) plasma
US11367614B2 (en) Surface roughness for flowable CVD film

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20210329

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20220307

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20220315

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20220614

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20220705

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20220801

R150 Certificate of patent or registration of utility model

Ref document number: 7118511

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150