JP2020057769A - 半導体装置の製造方法、プログラム、及び基板処理装置 - Google Patents

半導体装置の製造方法、プログラム、及び基板処理装置 Download PDF

Info

Publication number
JP2020057769A
JP2020057769A JP2019146765A JP2019146765A JP2020057769A JP 2020057769 A JP2020057769 A JP 2020057769A JP 2019146765 A JP2019146765 A JP 2019146765A JP 2019146765 A JP2019146765 A JP 2019146765A JP 2020057769 A JP2020057769 A JP 2020057769A
Authority
JP
Japan
Prior art keywords
source gas
gas
gas supply
nozzle
processing chamber
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2019146765A
Other languages
English (en)
Other versions
JP2020057769A5 (ja
JP6994483B2 (ja
Inventor
竹林 雄二
Yuji Takebayashi
雄二 竹林
康祐 高木
Yasuhiro Takagi
康祐 高木
敦士 平野
Atsushi Hirano
敦士 平野
隆一 中川
Ryuichi Nakagawa
隆一 中川
紀之 磯辺
Noriyuki Isobe
紀之 磯辺
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Kokusai Electric Corp
Original Assignee
Kokusai Electric Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Kokusai Electric Corp filed Critical Kokusai Electric Corp
Publication of JP2020057769A publication Critical patent/JP2020057769A/ja
Publication of JP2020057769A5 publication Critical patent/JP2020057769A5/ja
Application granted granted Critical
Publication of JP6994483B2 publication Critical patent/JP6994483B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4402Reduction of impurities in the source gas
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45568Porous nozzles
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02178Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing aluminium, e.g. Al2O3
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • C23C16/18Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material from metallo-organic compounds
    • C23C16/20Deposition of aluminium only
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/403Oxides of aluminium, magnesium or beryllium
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4405Cleaning of reactor or parts inside the reactor by using reactive gases
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4408Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber by purging residual gases from the reaction chamber or gas lines
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4412Details relating to the exhausts, e.g. pumps, filters, scrubbers, particle traps
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45574Nozzles for more than one gas
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45578Elongated nozzles, tubes with holes
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45597Reactive back side gas
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4584Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally the substrate being rotated
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/46Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for heating the substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02312Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67248Temperature monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67253Process monitoring, e.g. flow or thickness monitoring

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

【課題】複数の基板に対し、原料ガスと反応ガスを交互に供給して基板上に膜を形成する場合に、ガスの分解により原料ガスを供給するノズルの内壁に堆積物が付着することを抑制する技術を提供する。【解決手段】方法は、複数の基板の積載領域に対応する位置に開口する複数のガス供給孔を有する原料ガスノズルから原料ガスを供給する原料ガス供給工程と、反応ガスを供給する反応ガス供給工程とを交互に1回ずつ行うことを1サイクルとして当該サイクルを1又は複数回行い、下記の(1)〜(4)の条件を満たして複数の基板上に膜を形成する技術が提供される。(1)各サイクルの原料ガス供給工程における原料ガスの供給時間:20秒以下(2)原料ガス供給工程における原料ガスノズル内の原料ガスの圧力:50Pa以下(3)原料ガス供給工程における処理室内の温度:500℃以下(4)基板上の膜の形成のために連続して行うサイクル数:100回以下【選択図】なし

Description

本開示は、半導体装置の製造方法、プログラム、及び基板処理装置に関する。
半導体装置(デバイス)の製造工程の一工程として、処理室内に収容された基板上に膜を形成する成膜処理が行われることがある(例えば特許文献1を参照)。
特開2014−67877号公報
長尺のノズルから原料ガス等を供給する縦型装置を用いて、複数の基板が積載された処理室内にノズルからガスを供給して成膜処理を行う際、成膜に使用するガスがノズル内で熱分解して、ノズル内壁に堆積物が付着する場合がある。この場合、ノズルのクリーニングや交換などのメンテナンスが必要となる。
また、ノズル内に付着した堆積物が成膜処理中に剥がれるとパーティクルとなって(すなわち発塵して)処理室内に収容された基板上へ拡散され、膜中に不純物として取り込まれてしまうことがある。
本開示の目的は、複数の基板に対し、原料ガスと反応ガスを交互に供給して基板上に膜を形成する場合に、ガスの分解により原料ガスを供給するノズルの内壁に堆積物が付着することを抑制する技術を提供することにある。
上記課題を解決するための具体的手段は以下の通りである。
本開示の一態様によれば、複数の基板が積載された状態で収容された処理室内を加熱しつつ、前記処理室に、前記複数の基板の積載方向に延在するノズルであって、前記複数の基板の積載領域に対応する位置に開口する複数のガス供給孔を有する原料ガスノズルから、原料ガスを供給する原料ガス供給工程と、
前記処理室に、前記原料ガスと反応する反応ガスを供給する反応ガス供給工程と、
を有し、
前記原料ガス供給工程と前記反応ガス供給工程とを交互に1回ずつ行うことを1サイクルとして当該サイクルを1又は複数回行い、下記の(1)〜(4)の条件を満たして前記複数の基板上に膜を形成する技術が提供される。
(1)各サイクルの前記原料ガス供給工程における前記原料ガスの供給時間:20秒以下
(2)前記原料ガス供給工程における前記原料ガスノズル内の前記原料ガスの圧力:50Pa以下
(3)前記原料ガス供給工程における前記処理室内の温度:500℃以下
(4)前記基板上の膜の形成のために連続して行うサイクル数:100回以下
本開示によれば、複数の基板に対し、原料ガスと反応ガスを交互に供給して基板上に膜を形成する場合に、ガスの分解により原料ガスを供給するノズルの内壁に堆積物が付着することを抑制する技術を提供することができる。
本開示の第1実施形態で好適に用いられる基板処理装置の縦型処理炉の概略構成図であり、処理炉部分を縦断面で示す図である。 本開示の第1実施形態で好適に用いられる基板処理装置の縦型処理炉の概略構成図であり、処理炉部分を図1のA−A線断面で示す図である。 本開示の第1実施形態で好適に用いられる基板処理装置のコントローラの概略構成図であり、コントローラの制御系を示すブロック図である。 本開示の第2実施形態で好適に用いられる基板処理装置の縦型処理炉の概略構成図であり、処理炉部分を縦断面で示す図である。 本開示の第3実施形態における成膜シーケンスを示す図である。 本開示の第4実施形態における成膜シーケンスを示す図である。 原料ガス供給工程における原料ガスの供給時間及び希釈用不活性ガスの流量と、原料ガスノズル内壁の付着物による黒色化との関係を示す図である。 原料ガス供給工程における原料ガスの供給時間、連続サイクル数、及び連続して行う基板処理の回数と、原料ガスノズル内壁の付着物による黒色化との関係を示す図である。
以下、本開示の実施形態について図面を参照しながら説明する。
なお、本明細書において「〜」を用いて表される数値範囲は、「〜」の前後に記載される数値を下限値及び上限値として含む範囲を意味する。例えば、10sccm〜500sccmとは、10sccm以上500sccm以下を意味する。流量のみならず、圧力、時間、温度等、本明細書に記載される全ての数値範囲について同様である。
また、本明細書中の「工程」の用語は、独立した工程だけではなく、他の工程と明確に区別できない場合であっても、その工程の所期の目的が達成されれば本用語に含まれる。
原料ガスと、原料ガスと反応する反応ガスと、を基板に交互に供給して基板上に膜を形成する場合、複数の基板を基板支持部材に支持して処理室内に収容し、基板の積載方向に沿って処理室の下部領域から上部領域まで延在し、各基板に対してガスを噴出するための複数のガス供給孔が開口するロングノズルを備えた基板処理装置を用いれば、複数枚の基板を同時に処理することができる。
しかし、成膜処理時は、通常、ガスの反応性を高めるために処理室内を高温にしており、これに伴い、ノズル内でもガスの自己分解が進んでしまい、ノズル内壁に堆積物が付着しやすくなる。また、ノズルに複数の孔が開口する多孔ノズルの場合、ノズルの上流側(下部)ほど圧力が高くなるため、ガスの自己分解が起こりやすくなる。
ノズル内壁に堆積物が付着して黒色化した場合、ノズルのクリーニングや交換が必要となる。また、ノズル内壁の堆積物は、堆積物が有する密着性によっては、成膜サイクル内で剥がれてしまう。成膜処理中に剥がれると堆積物はパーティクルとなって(すなわち発塵して)処理室内に収容された基板上へ供給され、膜中に不純物として取り込まれてしまうことがある。したがって、ノズル内壁に付着する堆積物に対する対策(ノズル内で発生する発塵源への対策)が必要となる場合がある。
ノズル内壁に堆積物が付着する原因について本件開示者らは鋭意研究を行い、各サイクルの原料ガス供給工程における原料ガスの供給時間、原料ガス供給工程における原料ガスノズル内の原料ガスの圧力、基板上の膜の形成のために連続して行うサイクル数、及び処理室内の温度が、原料ガスの分解、ノズル内壁への付着に大きく影響していることを見出した。そして、ノズル内壁に原料ガスの自己分解に起因する堆積物が付着しにくい条件について実験、考察を重ね、本開示の完成に至った。
[基板処理装置の構成]
本実施形態に係る半導体装置の製造方法の実施に用いることができる基板処理装置の一例について説明する。
下記の説明では、図1〜図3を参照しながら、本実施形態に係る基板処理装置の一例について説明する。
なお、本明細書において「ウエハ」という言葉を用いた場合は、ウエハそのものを意味する場合や、ウエハとその表面に形成された所定の層や膜との積層体を意味する場合がある。本明細書において「ウエハの表面」という言葉を用いた場合は、ウエハそのものの表面を意味する場合や、ウエハ上に形成された所定の層等の表面を意味する場合がある。本明細書において「ウエハ上に所定の層を形成する」と記載した場合は、ウエハそのものの表面上に所定の層を直接形成することを意味する場合や、ウエハ上に形成されている層等の上に所定の層を形成することを意味する場合がある。本明細書において「基板」という言葉を用いた場合も、「ウエハ」という言葉を用いた場合と同義である。
<第1実施形態>
図1に示す基板処理装置10は、本実施形態に係る半導体装置(デバイス)の製造工程において使用することができる装置の構成の一例である。基板処理装置10は、ウエハ200を収容する処理室201、加熱部として処理室201内を加熱するヒータ207、原料ガス供給部として処理室201内に原料ガスを供給するガス供給管310、ノズル410及びガス供給孔410a、反応ガス供給部として処理室201内に反応ガスを供給するガス供給管320、ノズル420及びガス供給孔420a、ヒータ207による加熱温度、各ガス供給孔410a,420aから供給されるガス種、ガス供給量(ガス流速)、ガス供給時間、1バッチ処理においてウエハ200上に膜を形成するために連続して行うサイクル数(連続サイクル数)、連続して基板を処理する回数(連続基板処理回数)、などを制御することが可能なよう構成される制御部としてのコントローラ121などを備えている。
図1に示すように、処理炉202は加熱部(温度調整部)としてのヒータ207を有する。ヒータ207は円筒形状であり、保持板としてのヒータベース(図示せず)に支持されることにより垂直に据え付けられている。ヒータ207は、後述する処理室201内を所定温度で加熱する。ヒータ207は、ガスを熱で活性化(励起)させる活性化機構(励起部)としても機能する。
ヒータ207の内側には、ヒータ207と同心円状に反応管203が配設されている。反応管203は、例えば石英(SiO)又は炭化シリコン(SiC)等の耐熱性材料により構成され、上端が閉塞し下端が開口した円筒形状に形成されている。
反応管203の下方には、反応管203と同心円状に、マニホールド(インレットフランジ)209が配設されている。マニホールド209は、例えばステンレス(SUS)等の金属により構成され、上端及び下端が開口した円筒形状に形成されている。マニホールド209の上端部は、反応管203の下端部に係合しており、反応管203を支持するように構成されている。
マニホールド209と反応管203との間には、シール部材としてのOリング220aが設けられている。マニホールド209がヒータベースに支持されることにより、反応管203は垂直に据え付けられた状態となる。主に、反応管203とマニホールド209とにより処理容器(反応容器)が構成される。処理容器の筒中空部には処理室201が形成されている。処理室201は、複数枚の基板としてのウエハ200を、後述するボート217によって水平姿勢で垂直方向に多段に積載した状態で収容可能に構成されている。
処理室201内には、ノズル410,420が、マニホールド209の側壁を貫通するように設けられている。ノズル410,420には、ガス供給ラインとしてのガス供給管310,320が、それぞれ接続されている。ノズル等の数は、必要に応じて、適宜変更される。
ガス供給管310,320には、上流方向から順に、流量制御器(流量制御部)であるマスフローコントローラ(MFC)312,322及び開閉弁であるバルブ314,324がそれぞれ設けられている。
また、ガス供給管310,320のバルブ314,324よりも下流側には、不活性ガスを供給するガス供給管510,520がそれぞれ接続されている。ガス供給管510,520には、上流方向から順に、MFC512,522及びバルブ514,524がそれぞれ設けられている。
ガス供給管310,320の先端部には、ノズル410,420がそれぞれ接続されている。なお、便宜上、図1には1本のノズル410を記載しているが、実際には図2に示すように2本のノズル410,420が設けられている。ノズル410,420は、L字型のノズルとして構成されており、その水平部はマニホールド209の側壁を貫通するように設けられている。ノズル410.420の垂直部は、反応管203の内壁とウエハ200との間における平面視において円環状の空間に、反応管203の内壁の下部より上部に沿って、ウエハ200の積載方向上方に向かって立ち上がり、延在するようにそれぞれ設けられている。
ノズル410,420は、処理室201の下部領域から処理室201の上部領域まで延在するように設けられており、ウエハ200と対向する位置にそれぞれ複数のガス供給孔410a(原料ガス供給孔),420a(反応ガス供給孔)が設けられている。図2に示すように、ガス供給孔410a,420aは、反応管203の中心を向くように開口しており、ボート217に積載された状態で処理室201内に収容されたウエハ200に向けてガスを供給することが可能となっている。このガス供給孔410a,420aは、それぞれが同一の開口面積を有し、さらに同じ開口ピッチで設けられている。ただし、ガス供給孔420aは上述の形態に限定されない。例えば、ノズル420の下部(上流側)から上部(下流側)に向かって開口面積を徐々に大きくしてもよい。これにより、ガス供給孔420aから供給されるガスの流量をより均一化することが可能となる。
このように、本実施形態では、反応管203の側壁の内壁と、反応管203内に配列された複数枚のウエハ200の端部(周縁部)と、で定義される平面視において円環状の縦長の空間内、すなわち、円筒状の空間内に配置したノズル410,420を経由してガスが搬送される。そして、ノズル410,420にそれぞれ開口されたガス供給孔410a,420aから、ウエハ200の近傍で反応管203内にガスを噴出させる。そして、反応管203内におけるガスの主たる流れを、ウエハ200の表面と平行な方向、すなわち、水平方向としている。
このような構成とすることで、各ウエハ200にほぼ均一にガスを供給でき、各ウエハ200に形成される膜の膜厚の面間均一性を向上させることが可能となる。
ガス供給管310からは、処理ガスとして、金属元素を含む原料ガス(金属含有ガス、原料ガス)が、MFC312、バルブ314、ノズル410、ガス供給孔410aを介して処理室201内へ供給される。原料ガスとしては、例えば、金属元素であるアルミニウム(Al)を含む金属含有ガスであるアルミニウム含有原料(Al含有原料ガス、Al含有ガス)としてのトリメチルアルミニウム(Al(CH、略称:TMA)が用いられる。TMAは有機系原料であり、アルミニウムにリガンドとしてアルキル基が結合したアルキルアルミニウムである。本明細書において、ノズル410を「原料ガスノズル」と称する場合がある。
原料ガスとは、気体状態の原料、例えば、常温常圧下で気体状態である気体原料や、常温常圧下で液体状態である液体原料を気化することで得られるガス等のことである。本明細書において「原料」という言葉を用いた場合は、「液体状態である原料」を意味する場合、「気体状態である原料(原料ガス)」を意味する場合、又は、それらの両方を意味する場合がある。
ガス供給管310から所定温度で自己分解する原料ガスを供給する場合、主に、ガス供給管310、MFC312、バルブ314により、原料ガス供給部が構成される。ノズル410を原料ガス供給部に含めて考えてもよい。
ガス供給管320からは、処理ガスとして、反応ガスが、MFC322、バルブ324、ノズル420、ガス供給孔420aを介して処理室201内へ供給される。反応ガス(原料ガスとは化学構造(分子構造)が異なる反応ガス)としては、酸素(O)を含み、Alと反応する反応ガス(リアクタント)としての酸素含有ガス(酸化ガス、酸化剤)を用いることができる。酸素含有ガスとしては、例えば、オゾン(O)ガスを用いることができる。
ガス供給管320から反応ガス(リアクタント)を供給する場合、主に、ガス供給管320、MFC322、バルブ324により、反応ガス供給部(リアクタント供給部)が構成される。ノズル420を反応ガス供給部に含めて考えてもよい。本明細書では、ノズル420を「反応ガスノズル」と称する場合がある。
また、ガス供給管510,520からは、不活性ガスとして、例えば、Nガスが、それぞれMFC512,522、バルブ514,524、ガス供給管310,320、ノズル410,420を介して処理室201内へ供給できるように構成されている。
主に、ガス供給管510,520、MFC512,522、バルブ514,524により、不活性ガス供給部が構成される。
原料ガス供給部、反応ガス供給部を合わせてガス供給部と称することもできる。不活性ガス供給部をガス供給部に含めて考えてもよい。
反応管203には、処理室201内の雰囲気を排気する排気流路としての排気管231が設けられている。排気管231には、処理室201内の圧力を検出する圧力検出器(圧力検出部)としての圧力センサ245及び排気バルブ(圧力調整部)としてのAPC(Auto Pressure Controller)バルブ243を介して、真空排気装置としての真空ポンプ246が接続されている。APCバルブ243は、真空ポンプ246を作動させた状態で弁を開閉することで、処理室201内の真空排気及び真空排気停止を行うことができ、更に、真空ポンプ246を作動させた状態で、圧力センサ245により検出された圧力情報に基づいて弁開度を調節することで、処理室201内の圧力を調整することができるように構成されている。主に、排気管231、APCバルブ243、圧力センサ245により、排気系が構成される。真空ポンプ246を排気系に含めて考えてもよい。排気管231は、反応管203に設ける場合に限らず、図1に示されるようにノズル410,420と同様にマニホールド209に設けてもよい。
マニホールド209の下方には、マニホールド209の下端開口を気密に閉塞可能な炉口蓋体としてのシールキャップ219が設けられている。シールキャップ219は、マニホールド209の下端に垂直方向下側から当接されるように構成されている。シールキャップ219は、例えばSUS等の金属により構成され、円盤状に形成されている。シールキャップ219の上面には、マニホールド209の下端と当接するシール部材としてのOリング220bが設けられている。
シールキャップ219の処理室201と反対側には、後述するボート217を回転させる回転機構267が設置されている。回転機構267の回転軸255は、シールキャップ219を貫通してボート217に接続されている。回転機構267は、ボート217を回転させることでウエハ200を回転させるように構成されている。
シールキャップ219は、反応管203の外部に垂直に設置された昇降機構としてのボートエレベータ115によって垂直方向に昇降されるように構成されている。ボートエレベータ115は、シールキャップ219を昇降させることで、ボート217を処理室201内外に搬入及び搬出することが可能なように構成されている。ボートエレベータ115は、ボート217すなわちウエハ200を、処理室201内外に搬送する搬送装置(搬送機構)として構成されている。
また、マニホールド209の下方には、ボートエレベータ115によりシールキャップ219を降下させている間、マニホールド209の下端開口を気密に閉塞可能な炉口蓋体としてのシャッタ219sが設けられている。シャッタ219sは、例えばSUS等の金属により構成され、円盤状に形成されている。シャッタ219sの上面には、マニホールド209の下端と当接するシール部材としてのOリング220cが設けられている。シャッタ219sの開閉動作(昇降動作や回動動作等)は、シャッタ開閉機構115sにより制御される。
基板支持具としてのボート217は、複数枚、例えば25〜200枚のウエハ200を、水平姿勢で、かつ、互いに中心を揃えた状態で垂直方向に整列させて多段に支持するように、すなわち、間隔を空けて配列させるように構成されている。ボート217は、例えば石英やSiC等の耐熱性材料により構成される。ボート217の下部には、例えば石英やSiC等の耐熱性材料により構成される図示しない断熱板が多段に支持されている。この構成により、ヒータ207からの熱がシールキャップ219側に伝わりにくくなっている。但し、本実施形態はこのような形態に限定されない。例えば、ボート217の下部に断熱板を設けずに、石英やSiC等の耐熱性材料により構成される筒状の部材として構成された断熱筒218を設けてもよい。
図2に示されるように、反応管203内には、温度検出器としての温度センサ263が設置されている。温度センサ263により検出された温度情報に基づきヒータ207への通電具合を調整することで、処理室201内の温度が所望の温度分布となる。温度センサ263は、ノズル410,420と同様にL字型に構成されており、反応管203の内壁に沿って設けられている。
図3に示すように、制御部(制御手段)であるコントローラ121は、CPU(Central Processing Unit)121a、RAM(Random Access Memory)121b、記憶装置121c、I/Oポート121dを備えたコンピュータとして構成されている。RAM121b、記憶装置121c、I/Oポート121dは、内部バスを介して、CPU121aとデータ交換可能なように構成されている。コントローラ121には、例えばタッチパネル等として構成された入出力装置122が接続されている。
記憶装置121cは、例えばフラッシュメモリ、HDD(Hard Disk Drive)等で構成されている。記憶装置121c内には、基板処理装置の動作を制御する制御プログラムや、後述する基板処理の手順や条件等が記載されたプロセスレシピ等が、読み出し可能に格納されている。
プロセスレシピは、後述する成膜処理における各工程(各ステップ)をコントローラ121に実行させ、所定の結果を得ることが出来るように組み合わされたものであり、プログラムとして機能する。このプロセスレシピや制御プログラム等を総称して、単に、プログラムともいう。本明細書においてプログラムという言葉を用いた場合は、プロセスレシピ単体のみを含む場合、制御プログラム単体のみを含む場合、又は、プロセスレシピおよび制御プログラムの組み合わせを含む場合がある。RAM121bは、CPU121aによって読み出されたプログラムやデータ等が一時的に保持されるメモリ領域(ワークエリア)として構成されている。
I/Oポート121dは、上述のMFC512,522,312,322、バルブ514,524,314,324、圧力センサ245、APCバルブ243、真空ポンプ246、温度センサ263、ヒータ207、回転機構267、ボートエレベータ115、シャッタ開閉機構115s等に接続されている。
CPU121aは、記憶装置121cから制御プログラムを読み出して実行すると共に、入出力装置122からの操作コマンドの入力等に応じて記憶装置121cからレシピを読み出すように構成されている。CPU121aは、読み出したレシピの内容に沿うように、MFC312,322,512,522による各種ガスの流量調整動作、バルブ314,324,514,524の開閉動作、APCバルブ243の開閉動作及び圧力センサ245に基づくAPCバルブ243による圧力調整動作、真空ポンプ246の起動及び停止、温度センサ263に基づくヒータ207の温度調整動作、回転機構267によるボート217の回転及び回転速度調節動作、ボートエレベータ115によるボート217の昇降動作、シャッタ開閉機構115sによるシャッタ219sの開閉動作等を制御するように構成されている。
コントローラ121は、外部記憶装置(例えば、磁気テープ、フレキシブルディスクやハードディスク等の磁気ディスク、CDやDVD等の光ディスク、MO等の光磁気ディスク、USBメモリやメモリカード等の半導体メモリ)123に格納された上述のプログラムを、コンピュータにインストールすることにより構成することができる。記憶装置121cや外部記憶装置123は、コンピュータ読み取り可能な記録媒体として構成されている。以下、これらを総称して、単に、記録媒体ともいう。本明細書において記録媒体という言葉を用いた場合は、記憶装置121c単体のみを含む場合、外部記憶装置123単体のみを含む場合、又は、それらの両方を含む場合がある。なお、コンピュータへのプログラムの提供は、外部記憶装置123を用いず、インターネットや専用回線等の通信手段を用いて行ってもよい。
[基板処理工程(成膜工程)]
次に、本実施形態に係る半導体装置(デバイス)の製造工程の一工程として、ウエハ200上に膜を形成する工程の一例について説明する。以下の説明において、基板処理装置10を構成する各部の動作はコントローラ121により制御される。
例えば、本実施形態に係る半導体装置の製造方法によりウエハ200上に膜を形成するための各工程(手順)をコンピュータにより基板処理装置に実行させるプログラムを用意し、被処理基板としての複数のウエハ200が積載された状態で収容された処理室201内をヒータ207によって所定温度で加熱しつつ、処理室201に、ノズル410に開口する複数のガス供給孔410aから原料ガスとしてTMAガスを供給する原料ガス供給工程と、ノズル420に開口する複数のガス供給孔420aから反応ガスとしてOガスを供給する反応ガス供給工程とを交互に1回ずつ行うサイクルを所定回数(n回)行うことで、ウエハ200上に、Al及びOを含む膜としてアルミニウム酸化膜(AlO膜)を形成する。
以下、具体的に説明する。
(ウエハチャージ・ボートロード)
複数枚のウエハ200がボート217に装填(ウエハチャージ)されると、図1に示すように、複数枚のウエハ200が収容されたボート217は、ボートエレベータ115によって持ち上げられて処理室201内に搬入(ボートロード)される。この状態で、シールキャップ219は、Oリング220bを介してマニホールド209の下端をシールした状態となる。
(圧力・温度調整)
処理室201内、すなわち、ウエハ200が存在する空間が所望の圧力(真空度)となるように真空ポンプ246によって真空排気される。この際、処理室201内の圧力は、圧力センサ245で測定され、この測定された圧力情報に基づき、APCバルブ243がフィードバック制御される(圧力調整)。真空ポンプ246は、少なくともウエハ200に対する処理が完了するまでの間は常時作動させた状態を維持する。また、処理室201内が所望の温度となるようにヒータ207によって加熱される。この際、処理室201内が所望の温度分布となるように、温度センサ263が検出した温度情報に基づきヒータ207への通電量がフィードバック制御される(温度調整)。ヒータ207による処理室201内の加熱は、少なくともウエハ200に対する処理が完了するまでの間は継続して行われる。続いて、回転機構267によりボート217及びウエハ200の回転を開始する。回転機構267によるボート217及びウエハ200の回転は、少なくとも、ウエハ200に対する処理が完了するまでの間は継続して行われる。
(成膜ステップ)
その後、原料ガス供給ステップ(原料ガスを供給する工程)、残留ガス除去ステップ(残留ガスを除去する工程)、反応ガス供給ステップ(反応ガスを供給する工程)、残留ガス除去ステップ(残留ガスを除去する工程)をこの順で所定回数行う。
〔原料ガス供給ステップ〕
バルブ314を開き、ガス供給管310へTMAガスを流す。TMAガスは、MFC312により流量調整され、ノズル410に開口するガス供給孔410aからウエハ200に対して供給される。すなわちウエハ200はTMAガスに暴露される。ガス供給孔410aから供給されたTMAガスは、処理室201内を通過して排気管231から排気される。
なお、原料ガス供給ステップでは、バルブ314を開いてガス供給管310内へTMAガス(原料ガス)を供給し、ノズル410にTMAガスのみ供給してもよいが、バルブ514も開いて、ガス供給管310内へキャリアガスとしてNガスを流し、TMAガスとNガスとの混合ガスとしてノズル410に供給してもよい。
(1)各サイクルの原料ガス供給工程における原料ガスの供給時間:20秒以下
原料ガス供給ステップにおいてノズル410のガス供給孔410aを通じてTMAガスを処理室201内のウエハ200に供給する際、1サイクルにおけるTMAガスの供給時間は20秒以下に制限する。
1サイクルにおける原料ガスの供給時間を短くすることにより、ノズル410内における原料ガスの滞留時間が短くなり、TMAガスが自己分解する前にノズル410外へ噴出させることが可能となる。本件開示者らの実験によれば、各サイクルの原料ガス供給ステップにおける原料ガス供給時間を20秒以内に抑えることで、TMA分子の分解成分同士が結合してノズル410内壁に付着することを抑制することができる。
ノズル410内でTMAガスが分解してノズル410の内壁に付着することを抑制する観点から、各サイクルの原料ガス供給ステップにおけるTMAガスの供給時間は、20秒以下が好ましく、12秒以下がより好ましい。
一方、1サイクルにおけるTMAガスの供給時間を短くするほど、ウエハ200上に所望の膜厚を形成するためのサイクル数が増大して生産性の低下につながる。そのため、1サイクルにおけるTMAガスの供給時間は2秒以上とすることが好ましく、5秒以上とすることがより好ましい。
(2)原料ガス供給工程における原料ガスノズル内の原料ガスの圧力:50Pa以下
原料ガス供給ステップにおいてノズル410のガス供給孔410aを通じてTMAガスを処理室201内のウエハ200に供給する際、原料ガスノズル410内の原料ガスの圧力を50Pa以下に制限する。
なお、「原料ガスノズル410内の原料ガスの圧力」とは、原料ガスノズル410内に原料ガスのみを供給する場合は、ノズル410の内圧を意味し、原料ガスノズル410内に原料ガスと不活性ガスの混合ガスを供給する場合は、原料ガスの分圧を意味する。また、原料ガスノズル410内に原料ガス単独で供給する場合であっても、原料ガスと不活性ガスの混合ガスを供給する場合であっても、原料ガスノズル内の原料ガスによるノズル410内での最大圧力を50Pa以下に制限する。例えば、原料ノズル410のガス供給孔410aの大きさが全て同じで等間隔に設けられている場合は、ノズル410の最下部における内圧が最大となる。以下、原料ガス供給工程における原料ガスノズル内の原料ガスの圧力を「ノズル410内の原料ガス圧」、「ノズル410内のTMAガス圧」と称する場合がある。
ノズル410内でTMAガスが滞留すると、時間が経つにつれ、TMA分子が分解されて(CHが取れて)、ノズル410の内壁に吸着し易くなる。また、ノズル401内のTMAガスの分圧が高いと、ガス供給孔410aから放出される前にTMA分子が分解した成分(以下、「TMA成分」と称する場合がある。)同士が結合し、ノズル410の内壁への吸着がより促進される。
しかし、TMAガスを供給する際、ノズル410内のTMAガス圧を50Pa以下に制限することで、TMAガスの濃度が下がり、TMAガスが自己分解してノズル410の内壁に堆積してしまうことを効果的に抑制することができる。TMA成分同士の結合によるノズル410内壁への堆積を抑制する観点から、ノズル410内のTMAガス圧は45Pa以下とすることが好ましく、30Pa以下とすることがより好ましい。
一方、原料ガス供給時におけるノズル410内のTMAガス圧を低くするほど、TMAガスの濃度が薄くなり、ウエハ200上に所望の膜厚を形成するための成膜時間やサイクル数が増大し、生産性の低下につながる。実用的な成膜速度を得る観点から、原料ガス供給ステップにおけるノズル410内のTMAガス圧は、10Pa以上とすることが好ましく、20Pa以上とすることがより好ましい。
原料ガス供給ステップにおけるノズル410内のTMAガス圧は、ノズル410の内径、ノズル410のガス供給孔410aの大きさ及び数などにもよるが、例えば、MFC312によってTMAガスの流量を調整することで、制御することができる。すなわち、TMAガスの供給流量を多くするほどノズル410内のTMAガス圧は上昇し、TMAガスの供給流量を少なくするほどノズル410内のTMAガス圧は低下する傾向にあり、ノズル410内のTMAガス圧が50Pa以下となるようにTMAガスの流量を制御すればよい。
なお、例えば、ノズル410の最も下に位置するガス供給孔410aよりも下方に減圧のための減圧孔を設けたノズルを用い、原料ガス供給時におけるノズル410内のTMAガス圧を50Pa以下に制限することもできる。
また、原料ガス供給ステップでは、APCバルブ243を適正に調整して、処理室201内の圧力を、例えば1〜1000Pa、好ましくは1〜100Pa、より好ましくは10〜50Paの範囲内の圧力とする。処理室201内の圧力を1000Pa以下とすることで、後述する残留ガス除去を好適に行うことができると共に、ノズル410内でTMAガスが自己分解してノズル410の内壁に堆積してしまうことをより一層抑制することができる。処理室201内の圧力を1Pa以上とすることで、ウエハ200表面でのTMAガスの反応速度を高めることができ、実用的な成膜速度を得ることが可能となる。
(3)原料ガス供給工程における処理室内の温度:500℃以下
ヒータ207は、処理室201内の温度(処理温度)が、500℃以下となるように加熱する。処理温度を500℃以下に抑えて成膜を行なえば、TMAガスの過剰な熱分解が抑制され、分解によって生じるTMA成分がノズル410の内壁に付着することを抑制することができる。その結果、ノズル410のメンテナンス周期を伸ばすことができる。TMAガスの過剰な熱分解を抑制する観点から、処理温度は、500℃以下とすることが好ましい。また、効率的に薄膜を形成するという観点から、処理温度は、200℃以上、より好ましくは400℃以上とすることが好ましい。処理温度を400℃以上することで、反応性が高く、効率的な薄膜形成が可能となる。
上述の条件下で処理室201内へTMAガスを供給することにより、ウエハ200の最表面上に、Al含有層が形成される。Al含有層はAlの他、C及びHを含み得る。Al含有層は、ウエハ200の最表面に、TMAが物理吸着したり、TMAの一部が分解した物質が化学吸着したり、TMAが熱分解することでAlが堆積すること等により形成される。すなわち、Al含有層は、TMAやTMAの一部が分解した物質の吸着層(物理吸着層や化学吸着層)であってもよく、Al堆積層(Al層)であってもよい。
〔残留ガス除去ステップ〕
Al含有層が形成された後、バルブ314を閉じ、TMAガスの供給を停止する。このとき、APCバルブ243は開いたままとして、真空ポンプ246により処理室201内を真空排気し、処理室201内に残留する未反応又はAl含有層形成に寄与した後のTMAガスを処理室201内から排除する。
また、バルブ514,524を開き、Nガスの処理室201内へ供給する。なお、原料供給ステップでバルブ514を既に開いてNガスをTMAガスとの混合ガスとして処理室201内へ供給している場合はバルブ524を開いてNガスの処理室201内へ供給する。Nガスはパージガスとして作用し、処理室201内に残留する未反応又はAl含有層形成に寄与した後のTMAガスを処理室201内から排除する効果を高めることができる。なお、バルブ514,524からのNガスは残留ガス除去ステップの間、常に流し続けてもよいし、断続的(パルス的)に供給してもよい。
このとき、処理室201内に残留するガスを完全に排除しなくてもよく、処理室201内を完全にパージしなくてもよい。処理室201内に残留するガスが微量であれば、その後に行われるステップにおいて悪影響はほとんど生じない。処理室201内に供給する不活性ガスの流量も大流量とする必要はなく、例えば、反応管203(処理室201)の容積と同程度の量を供給することで、その後のステップにおいて悪影響がほとんど生じない程度のパージを行うことができる。このように、処理室201内を完全にパージしないことで、パージ時間を短縮し、スループットを向上させることができる。また、不活性ガスの消費も必要最小限に抑えることが可能となる。
〔反応ガス供給ステップ〕
処理室201内の残留ガスを除去した後、バルブ514,524を閉じると共に、バルブ324を開き、ガス供給管320内に反応ガスであるOガスを流す。Oガスは、MFC322により流量調整され、ノズル420のガス供給孔420aから処理室201内のウエハ200に対して供給され、排気管231から排気される。すなわちウエハ200はOガスに暴露される。
なお、バルブ514は閉めず、Nガスの流量をMFC512によって調整し、ガス供給管310内を流れるNガスの流量を低下させてもよい。Nガスが、ガス供給管310を経てノズル410のガス供給孔410aから処理室201内に供給されることで、ノズル410内へのOガスの侵入(逆流)を防止することができる。
このとき、APCバルブ243を適正に調整して、処理室201内の圧力を、例えば1〜1000Pa、好ましくは50〜500Pa、より好ましくは50〜200Paの範囲内の圧力とする。
MFC322で制御するOガスの供給流量は、例えば、5〜40slm、好ましくは5〜30slm、より好ましくは10〜20slmの範囲内の流量とする。Oガスをウエハ200に対して供給する時間は、例えば、1〜120秒、好ましくは5〜90秒、より好ましくは10〜60秒の範囲内とする。
原料ガス供給ステップにおいて原料ガスノズル410のガス供給孔410aからTMAガスを供給することに代えて、反応ガス供給ステップでは反応ガス供給ノズル420のガス供給孔420aからOガスを供給すること以外の処理条件(例えば、処理温度)は、上述の原料ガス供給ステップと同様の処理条件を採用することができる。
処理室201内に供給されたOガスは、原料ガス供給ステップでウエハ200上に形成されたAl含有層の少なくとも一部と反応する。Al含有層は酸化され、金属酸化層としてAlとOとを含むアルミニウム酸化層(AlO層)が形成される。すなわちAl含有層はAlO層へと改質される。
〔残留ガス除去ステップ〕
AlO層が形成された後、バルブ324を閉じてOガスの供給を停止する一方、バルブ514,524を開いてノズル410,420のガス供給孔410a,420aから処理室201内にNガスを供給する。このとき、処理室201内に供給するNガスの供給量は、例えば、原料ガス供給ステップ後の残留ガス除去ステップと同様の供給量とすればよい。
ガス供給孔410a,420aから処理室201内にNガスを供給することで、処理室201内に残留する未反応もしくはAlO層の形成に寄与した後のOガスや反応副生成物を処理室201内から排除する。このとき、処理室201内に残留するガス等を完全に排除しなくてもよい点は、原料ガス供給ステップ後の残留ガス除去ステップと同様である。
(4)基板上の膜の形成のために連続して行うサイクル数:100回以下
上述の原料ガス供給ステップ、残留ガス除去ステップ、反応ガス供給ステップ、残留ガス除去ステップを順に行うサイクルを連続して1回以上(所定回数)行うことにより、ウエハ200上にAlO膜が形成される。1バッチ処理において各基板上の膜の形成のために連続して行うサイクル数(連続サイクル数)は、最終的に形成するAlO膜において必要とされる膜厚に応じて適宜選択されるが、本実施形態においては、100サイクル(100回)以下に制限する。
前述したように、各サイクルの原料ガス供給ステップにおける原料ガスの供給時間を20秒以下、原料ガスノズル410内の原料ガス圧を50Pa以下、処理温度を500℃以下に制限することで、ノズル410の内壁にTMA成分の付着を抑制することができるが、1バッチ処理におけるサイクル数(連続サイクル数)が増えるほどTMA成分がノズル410の内壁に付着し易くなる。本実施形態では、連続サイクル数を100サイクル以下に制限することで、TMA成分がノズル410の内壁に付着することをより効果的に抑制することができる。
なお、ウエハ200の表面に形成するAlO膜の厚さ(膜厚)は、例えば、0.1〜100nm、好ましくは0.1〜10nm、より好ましくは1〜5nmである。
(アフターパージ・大気圧復帰)
成膜ステップ(1バッチ処理における最後の反応ガス供給ステップ)が終了したら、バルブ514,524を開き、ガス供給管310,320のそれぞれからNガスを処理室201内へ供給し、排気管231から排気する。Nガスはパージガスとして作用し、処理室201内に残留するガスや副生成物が処理室201内から除去される(アフターパージ)。その後、処理室201内の雰囲気がNガスに置換され(Nガス置換)、処理室201内の圧力は常圧に復帰される(大気圧復帰)。
(ボートアンロード・ウエハディスチャージ)
その後、ボートエレベータ115によりシールキャップ219が下降され、マニホールド209の下端が開口されるとともに、処理済のウエハ200が、ボート217に支持された状態でマニホールド209の下端から反応管203の外部に搬出(ボートアンロード)される。ボートアンロードの後は、シャッタ219sが移動させられ、マニホールド209の下端開口がOリング220cを介してシャッタ219sによりシールされる(シャッタクローズ)。処理済のウエハ200は、反応管203の外部に搬出された後、ボート217より取り出される(ウエハディスチャージ)。
−連続基板処理回数:4回以下−
本実施形態に係る半導体装置の製造方法では、上述したように(1)各サイクルの原料ガス供給ステップにおける原料ガスの供給時間を20秒以下、(2)原料ガスノズル410内の原料ガス圧を50Pa以下、(3)処理温度を500℃以下、及び(4)連続サイクル数を100回以下に制限することで、TMAガスの分解によって生じるTMA成分がノズル410の内壁に付着することが抑制される。その結果、ノズル410内の堆積物がパーティクルとなって(すなわち発塵して)処理室201内に収容されたウエハ200上に付着し、膜中に不純物として取り込まれてしまうことを抑制することができ、また、ノズル410のメンテナンス周期を伸ばすことができる。
上述の条件(1)〜(4)を満たした上で成膜処理した後、処理済みのウエハ200を積載したボート217を反応管203の外部に搬出し、次に処理するウエハ200を積載したボートを処理室201に搬入して続けて基板処理を行うことができるが、基板処理を連続して繰り返し行うと、TMAガスの自己分解によるノズル410内壁への付着し易くなる。そのため、連続して基板(ウエハ200)を処理する回数(連続基板処理回数)を4回以下に制限することが好ましい。連続基板処理回数を4回以下に制限することで、ノズル410のメンテナンス周期をさらに伸ばすことができる。
<第2実施形態>
図4は、第2実施形態に係る基板処理装置の縦型処理炉の概略構成図であり、処理炉部分を縦断面図で示している。本実施形態に係る基板処理装置20は、反応ガスを供給するノズル420に通じるガス供給管320とは別に、反応ガスを供給するガス供給管610が原料ガスを供給するガス供給管310に接続している。ガス供給管610には、ガス供給管310との接続部(合流部)よりも上流側に、上流方向から順に、MFC612及びバルブ614が設けられている。本実施形態に係る基板処理装置20は、図1に示す基板処理装置10においてガス供給管310を通じて不活性ガスを供給するガス供給ラインとしてのガス供給管510、MFC512、バルブ514に代えて、ガス供給管310を通じて反応ガスを供給するガス供給ラインとしてのガス供給管610、MFC612、バルブ614を備えていること以外は、図1に示す基板処理装置10と同様の構成を有している。
このような構成を有する基板処理装置20を用いて本実施形態に半導体装置の製造方法を実施する場合、原料ガス供給ステップの後、反応ガス供給ステップの前に、原料ガスノズル410内にOを供給して原料ガスノズル410の内壁をプリコーティングすることができる。
原料ガス供給ステップにて、バルブ314を開き、ガス供給管310へTMAガスを流し、ノズル410のガス供給孔410aを通じて処理室201内にTMAガスを供給する。このとき、Oガスを供給するためのバルブ614は閉じたままとする。第1実施形態と同様、(1)各サイクルの原料ガス供給ステップにおける原料ガスの供給時間を20秒以下、(2)原料ガスノズル410内の原料ガス圧を50Pa以下、(3)処理温度を500℃以下、及び(4)連続サイクル数を100回以下に制限する。
そして、原料ガス供給ステップを停止する際、バルブ314を閉じてノズル410へのTMAガスの供給を停止した後、バルブ614を開いてガス供給管310にOガスを流し、ノズル410に供給するOガスの流量をMFC612によって調整する。ここでノズル410に供給するOガスの流量は、例えば、5〜30slmの範囲内とする。これによりノズル410内にOガスが供給され、ノズル410内に残留するTMAガスと反応してノズル410の内壁がAlO膜でプリコーティングされる。このようにガス供給管420とは別に設けたガス供給管610を通じてノズル410内にOガスを供給し、ノズル410の内壁をAlO膜でプリコーティングすることで、ノズル410の内壁にTMA成分が付着することを効果的に抑制することができる。
第2実施形態における原料ガス供給ステップ後の残留ガス除去ステップ、反応ガス供給ステップ、残留ガス除去ステップは、第1実施形態と同様の条件を採用することができる。
<第3実施形態>
図5は、第3実施形態における成膜シーケンスを示している。第3実施形態は、図1に示す構成を有する基板処理装置10を用いて実施することができる。
第3実施形態では、原料ガス供給ステップ及び残留ガス除去ステップを、第1実施形態における原料ガス供給ステップ及び残留ガス除去ステップとそれぞれ同様に行う。
すなわち、原料ガス供給ステップ後の残留ガス除去ステップでは、第1実施形態と同様、バルブ514、524を開けてガス供給管310,320及びノズル410,420を通じて処理室201内にNガスを供給する。そして、残留ガス除去ステップから反応ガス供給ステップに移行する際、バルブ524を閉めてノズル420からのNガスの供給は停止するが、バルブ514は閉めずにノズル410からのNガスの供給を継続する。そして、反応ガス供給ステップでは、Nガスの流量をMFC512によって調整し、図5に示すように、ガス供給管310内を流れるNガスの流量を低下させる。
一方、ノズル420のガス供給孔420aからはOガスが処理室201内に供給されるが、Nガスが、ガス供給管310を経てノズル410のガス供給孔410aから処理室201内に供給されることで、処理室201内のOガスがガス供給孔410aからノズル410内に侵入(逆流)を調整することができる。
なお、反応ガス供給ステップでは、バルブ514も閉めてNガスの供給を定期的に停止してもよい。反応ガス供給ステップにおいてバルブ514を閉めてNガスの供給を停止した場合、処理室201内に供給されたOガスが、ガス供給孔410aを通じてノズル410内に侵入(逆流)することになる。
そして、Oガスを逆流させるステップを追加し、ガス供給管510,310を通じてノズル410内に流れるNガス(逆流調整Nガス)と処理室201内から逆流するOガス(逆流Oガス)との流量比を調整する。逆流Oガスは、逆流を容易にさせる観点から、1〜50slmであることが好ましく、10〜30slmがより好ましい。その時のノズル410内における逆流調整Nガスは、供給される逆流Oガスの流量より低下もしくは停止させる。これにより、処理室201内の圧力平衡によりノズル410へ逆流Oガスが供給される。
このようにすることで、反応ガス供給ステップで、原料ガスノズル410内に残留するTMAと逆流Oとが反応してノズル410の内壁がAlO膜でプリコーティングされ、ノズル410の内壁にTMA成分が付着することを効果的に抑制することができる。
<第4実施形態>
図6は、第4実施形態における成膜シーケンスを示している。第4実施形態は、第3実施形態の変形例であり、毎回のサイクルの残留ガス除去ステップにおいて、バルブ514を閉じてノズル410から処理室201内へのNガスの供給を停止させる。なお、第4実施形態も図1に示す構成を有する基板処理装置10を用いて実施することができる。
第4実施形態では、原料ガス供給ステップ及び残留ガス除去ステップを、第1実施形態における原料ガス供給ステップ及び残留ガス除去ステップとそれぞれ同様に行う。
そして、残留ガス除去ステップから反応ガス供給ステップに移行する際、バルブ324を開いてガス供給管320にOガスを流してノズル420のガス供給孔420aからOガスを処理室201内に供給すると共に、バルブ514,524を閉めてノズル410から処理室201内へのNガスの供給を停止する。これにより、処理室201に供給されたOガスが、ガス供給孔410aを通じてノズル410内に侵入(逆流)する。ノズル410内に残留するTMAと逆流Oとが反応してノズル410の内壁がAlO膜でプリコーティングされ、ノズル410の内壁にTMA成分が付着することを効果的に抑制することができる。
以上、本開示の実施形態について具体的に説明した。しかし、本開示は上述の各実施形態に限定されるものではなく、その要旨を逸脱しない範囲で種々変更可能である。
例えば、上述の実施形態では、原料ガスの一例であるAl含有ガスとしてTMAガスを用いる例について説明したが、これに限らず、原料ガスとしては、例えば、塩化アルミニウム(AlCl)等を用いてもよい。
また、不活性ガスとしては、Nガスを用いる例について説明したが、これに限らず、例えば、Arガス、Heガス、Neガス、Xeガス等の希ガスを用いてもよい。
また、上述の実施形態では、基板上にAlO膜を形成する例について説明した。しかし、本開示はこの態様に限定されない。例えば、処理温度でノズル内にて自己分解してノズル内壁に堆積物として付着し、かつ堆積物が成膜サイクル内で剥がれてしまうような密着性を有する膜種に対して、有効である。また、原料ガスを供給する際に、同時に不活性ガス等で希釈する原料ガスを用いて膜を形成する膜種に対しても用いられ、例えば、チタン(Ti)、ジルコニウム(Zr)、ハフニウム(Hf)、タンタル(Ta)、ニオブ(Nb)、モリブデン(Mo)、タングステン(W)、イットリウム(Y)、La(ランタン)、ストロンチウム(Sr)、シリコン(Si)を含む膜であって、これらの元素の少なくとも1つを含む窒化膜、炭窒化膜、酸化膜、酸炭化膜、酸窒化膜、酸炭窒化膜、硼窒化膜、硼炭窒化膜、金属元素単体膜等にも適用可能である。
成膜処理に用いられるレシピ(処理手順や処理条件等が記載されたプログラム)は、処理内容(形成、或いは、除去する膜の種類、組成比、膜質、膜厚、処理手順、処理条件等)に応じて個別に用意し、電気通信回線や外部記憶装置123を介して記憶装置121c内に格納しておくことが好ましい。そして、処理を開始する際、CPU121aが、記憶装置121c内に格納された複数のレシピの中から、処理内容に応じて適正なレシピを適宜選択することが好ましい。これにより、1台の基板処理装置で様々な膜種、組成比、膜質、膜厚の膜を、再現性よく形成することができるようになり、それぞれの場合に適正な処理を行うことができるようになる。また、オペレータの負担(処理手順や処理条件等の入力負担等)を低減でき、操作ミスを回避しつつ、処理を迅速に開始できるようになる。
上述のレシピは、新たに作成する場合に限らず、例えば、基板処理装置に既にインストールされていた既存のレシピを変更することで用意してもよい。レシピを変更する場合は、変更後のレシピを、電気通信回線や当該レシピを記録した記録媒体を介して、基板処理装置にインストールしてもよい。また、既存の基板処理装置が備える入出力装置122を操作し、基板処理装置に既にインストールされていた既存のレシピを直接変更するようにしてもよい。
また、上述の実施形態や変形例等は、適宜組み合わせて用いることができる。また、このときの処理手順、処理条件は、上述の実施形態や変形例等の処理手順、処理条件と同様とすることができる。
以下に実施例によって本開示をより具体的に説明するが、本開示はこれら実施例によって制限されるものではない。
図1に示す構成を有する基板処理装置を用い、原料ガスとしてTMAガスを供給する工程と、反応ガスとしてOガスを供給する工程とを交互に行ってウエハの表面にAlO膜を形成した。
成膜の際、1サイクルにおける原料ガスの供給時間、原料ガスノズル内の内圧、連続サイクル数、連続して行う基板処理の回数、処理温度を種々変更して成膜を行い、成膜条件と、原料ガスを供給するノズル(原料ガスノズル)の内壁への付着物の量との関係を調べた。
(処理温度、原料ガス供給時間、原料ガスノズル内の原料ガス圧の影響)
原料ガス供給工程における原料ガスの供給量を200sccm、処理温度を500℃に設定し、1サイクル当たりの原料ガスの供給時間及び原料ガスノズル内の原料ガスの圧力を変化させて成膜を行った。なお、原料ガスノズル内の原料ガスの分圧を調整するため、原料ガスと共にNガスをガス供給管内に流して混合ガスとし、Nガスの流量を調整することで原料ガスノズル内の内圧(原料ガスの分圧)を調整した。また、原料ガスノズル内の内圧として、最も付着物が付着しやすいノズル最下部における内圧を測定した。
図7は、下記条件における原料ガス供給工程における原料ガスの供給時間及び希釈用不活性ガスの流量と、原料ガスノズル内壁の付着物による黒色化との関係を示している。
・処理温度:500℃
・TMAガス供給量:200sccm
なお、NガスはTMAガスと混合して原料ガスノズルに供給した。
原料ガスノズルへの原料ガスの供給時間が増加するほど、また、原料ガスノズル内の原料ガスの圧力を高くするほど、ノズル内での原料ガスの分解によるノズル内壁への付着物の量が多くなる傾向があった。
この実験結果から、処理温度を500℃以下とし、各サイクルにおける原料ガスの供給時間は20秒以下、原料ガスノズル内のTMAガスの分圧は50Pa以下に制限すれば、原料ガスノズル内に原料ガスの自己分解に起因する付着物の付着量を効果的に抑制することができることがわかった。
(原料ガス供給時間、連続サイクル数、及び連続基板処理回数の影響)
処理温度を500℃、原料ガスの供給量を200sccm、原料ガス供給ノズル内のNガスの分圧を50Paに設定し、1サイクル当たりの原料ガス供給時間及び連続サイクル数を変化させて成膜を行い、さらに、成膜処理後は新たなウエハを処理室に搬入して連続して処理(基板処理)を行い、原料ガスノズルの付着物の付着量(着色度合)を評価した。
図8は、原料ガス供給工程において原料ガスノズルから処理室内に供給する原料ガス供給時間、連続サイクル数、及び連続して行う基板処理の回数と、原料ガスノズル内壁の付着物による黒色化との関係を示している。原料ガス供給時間を9秒にして成膜を行った場合、100サイクルまで原料ガスノズルの黒色化は見られなかった。また、基板処理を連続して4回行っても原料ガスノズルの黒色化は見られなかった。
一方、原料ガス供給時間を11秒にして成膜を行った場合、100サイクルまで原料ガスノズルの黒色化は見られなかった。
以上、本開示の種々の典型的な実施形態及び実施例を説明してきたが、本開示はそれらの実施形態及び実施例に限定されない。上述の実施形態や変形例等は、適宜組み合わせて用いることができる。
10、20 基板処理装置
121 コントローラ(制御部の一例)
200 ウエハ(基板の一例)
201 処理室
202 処理炉
310,320,510、520、610 ガス供給管
312,322,512、522、612 MFC
314,324,514、524、614 バルブ
410、420 ノズル
410a、420a ガス供給孔(ガス供給部の一例)

Claims (5)

  1. 複数の基板が積載された状態で収容された処理室内を加熱しつつ、前記処理室に、前記複数の基板の積載方向に延在するノズルであって、前記複数の基板の積載領域に対応する位置に開口する複数のガス供給孔を有する原料ガスノズルから、原料ガスを供給する原料ガス供給工程と、
    前記処理室に、前記原料ガスと反応する反応ガスを供給する反応ガス供給工程と、
    を有し、
    前記原料ガス供給工程と前記反応ガス供給工程とを交互に1回ずつ行うことを1サイクルとして当該サイクルを1又は複数回行い、下記の(1)〜(4)の条件を満たして前記複数の基板上に膜を形成する半導体装置の製造方法。
    (1)各サイクルの前記原料ガス供給工程における前記原料ガスの供給時間:20秒以下
    (2)前記原料ガス供給工程における前記原料ガスノズル内の前記原料ガスの圧力:50Pa以下
    (3)前記原料ガス供給工程における前記処理室内の温度:500℃以下
    (4)前記基板上の膜の形成のために連続して行うサイクル数:100回以下
  2. 前記原料ガス供給工程後、前記原料ガスノズル内に前記反応ガスを供給して前記原料ガスノズルの内壁をプリコーティングする請求項1に記載の半導体装置の製造方法。
  3. 前記反応ガス供給工程において、前記原料ガスノズル内に不活性ガスを供給しつつ、前記処理室に供給された前記反応ガスを前記原料ガスノズルの前記複数のガス供給孔から前記原料ガスノズル内に逆流させることを行う請求項1に記載の半導体装置の製造方法。
  4. 複数の基板が積載された状態で収容された基板処理装置の処理室内を加熱しつつ、前記処理室に、前記複数の基板の積載方向に延在するノズルであって、前記複数の基板の積載領域に対応する位置に開口する複数のガス供給孔を有する原料ガスノズルから、原料ガスを供給する原料ガス供給手順と、
    前記処理室に、前記原料ガスと反応する反応ガスを供給する反応ガス供給手順と、
    を有し、
    前記原料ガス供給手順と前記反応ガス供給手順とを交互に1回ずつ行うことを1サイクルとして当該サイクルを1又は複数回行い、下記の(1)〜(4)の条件を満たして前記複数の基板上に膜を形成する手順を、コンピュータにより前記基板処理装置に実行させるプログラム。
    (1)各サイクルの前記原料ガス供給手順における前記原料ガスの供給時間:20以下
    (2)前記原料ガス供給手順における前記原料ガスノズル内の前記原料ガスの圧力:50Pa以下
    (3)前記原料ガス供給手順における前記処理室内の温度:500℃以下
    (4)前記基板上の膜の形成のために連続して行うサイクル数:100回以下
  5. 複数の基板を積載した状態で収容する処理室と、
    前記処理室内を加熱する加熱部と、
    前記処理室の前記複数の基板の積載方向に延在するノズルであって、前記複数の基板の積載領域に対応する位置に開口する複数のガス供給孔を有する原料ガスノズルを有し、前記原料ガスノズルから前記処理室に、原料ガスを供給する原料ガス供給部と、
    前記処理室に、前記原料ガスと反応する反応ガスを供給する反応ガス供給部と、
    前記複数の基板が積載された状態で収容された前記処理室内を加熱しつつ、前記処理室に前記原料ガスノズルから前記原料ガスを供給する原料ガス供給処理と、前記処理室に前記反応ガスを供給する反応ガス供給処理とを交互に1回ずつ行うことを1サイクルとして当該サイクルを1又は複数回行い、下記の(1)〜(4)の条件を満たすように前記加熱部、前記原料ガス供給部、及び前記反応ガス供給部を制御することが可能なよう構成される制御部と、
    を有する基板処理装置。
    (1)各サイクルの前記原料ガス供給処理における前記原料ガスの供給時間:20秒以下
    (2)前記原料ガス供給処理における前記原料ガスノズル内の前記原料ガスの圧力:50Pa以下
    (3)前記原料ガス供給処理における前記処理室内の温度:500℃以下
    (4)前記基板上の膜の形成のために連続して行うサイクル数:100回以下
JP2019146765A 2018-09-26 2019-08-08 半導体装置の製造方法、プログラム、及び基板処理装置 Active JP6994483B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2018180801 2018-09-26
JP2018180801 2018-09-26

Publications (3)

Publication Number Publication Date
JP2020057769A true JP2020057769A (ja) 2020-04-09
JP2020057769A5 JP2020057769A5 (ja) 2020-10-22
JP6994483B2 JP6994483B2 (ja) 2022-01-14

Family

ID=69884058

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2019146765A Active JP6994483B2 (ja) 2018-09-26 2019-08-08 半導体装置の製造方法、プログラム、及び基板処理装置

Country Status (4)

Country Link
US (1) US11299804B2 (ja)
JP (1) JP6994483B2 (ja)
KR (1) KR102331046B1 (ja)
CN (1) CN110952078B (ja)

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP6994483B2 (ja) * 2018-09-26 2022-01-14 株式会社Kokusai Electric 半導体装置の製造方法、プログラム、及び基板処理装置
JP7258826B2 (ja) * 2020-06-30 2023-04-17 株式会社Kokusai Electric 基板処理方法、半導体装置の製造方法、基板処理装置、およびプログラム
US11810805B2 (en) * 2020-07-09 2023-11-07 Applied Materials, Inc. Prevention of contamination of substrates during gas purging

Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2005064305A (ja) * 2003-08-15 2005-03-10 Hitachi Kokusai Electric Inc 基板処理装置及び半導体デバイスの製造方法
JP2014063959A (ja) * 2012-09-24 2014-04-10 Tokyo Electron Ltd 縦型熱処理装置
JP2014067877A (ja) * 2012-09-26 2014-04-17 Hitachi Kokusai Electric Inc 半導体装置の製造方法、基板処理方法、基板処理装置、プログラムおよび半導体装置
JP2015132007A (ja) * 2014-01-15 2015-07-23 凸版印刷株式会社 積層体の製造方法、及び積層体製造装置
JP2015149461A (ja) * 2014-02-10 2015-08-20 東京エレクトロン株式会社 金属酸化物膜の成膜方法および成膜装置
JP2015185837A (ja) * 2014-03-26 2015-10-22 東京エレクトロン株式会社 成膜装置
JP2015226996A (ja) * 2014-05-30 2015-12-17 凸版印刷株式会社 積層体の製造方法、及び積層体製造装置
JP2017147262A (ja) * 2016-02-15 2017-08-24 株式会社日立国際電気 基板処理装置、半導体装置の製造方法およびプログラム
JP2018085502A (ja) * 2016-11-14 2018-05-31 ラム リサーチ コーポレーションLam Research Corporation 酸化アルミニウムエッチング停止層の蒸着

Family Cites Families (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4866658B2 (ja) * 2006-05-23 2012-02-01 東京エレクトロン株式会社 半導体製造装置
JP6529780B2 (ja) 2015-02-25 2019-06-12 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置およびプログラム
JP6994483B2 (ja) * 2018-09-26 2022-01-14 株式会社Kokusai Electric 半導体装置の製造方法、プログラム、及び基板処理装置

Patent Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2005064305A (ja) * 2003-08-15 2005-03-10 Hitachi Kokusai Electric Inc 基板処理装置及び半導体デバイスの製造方法
JP2014063959A (ja) * 2012-09-24 2014-04-10 Tokyo Electron Ltd 縦型熱処理装置
JP2014067877A (ja) * 2012-09-26 2014-04-17 Hitachi Kokusai Electric Inc 半導体装置の製造方法、基板処理方法、基板処理装置、プログラムおよび半導体装置
JP2015132007A (ja) * 2014-01-15 2015-07-23 凸版印刷株式会社 積層体の製造方法、及び積層体製造装置
JP2015149461A (ja) * 2014-02-10 2015-08-20 東京エレクトロン株式会社 金属酸化物膜の成膜方法および成膜装置
JP2015185837A (ja) * 2014-03-26 2015-10-22 東京エレクトロン株式会社 成膜装置
JP2015226996A (ja) * 2014-05-30 2015-12-17 凸版印刷株式会社 積層体の製造方法、及び積層体製造装置
JP2017147262A (ja) * 2016-02-15 2017-08-24 株式会社日立国際電気 基板処理装置、半導体装置の製造方法およびプログラム
JP2018085502A (ja) * 2016-11-14 2018-05-31 ラム リサーチ コーポレーションLam Research Corporation 酸化アルミニウムエッチング停止層の蒸着

Also Published As

Publication number Publication date
KR20200035342A (ko) 2020-04-03
US11299804B2 (en) 2022-04-12
CN110952078A (zh) 2020-04-03
US20200095676A1 (en) 2020-03-26
JP6994483B2 (ja) 2022-01-14
CN110952078B (zh) 2022-11-15
KR102331046B1 (ko) 2021-11-24

Similar Documents

Publication Publication Date Title
JP6538582B2 (ja) 基板処理装置、半導体装置の製造方法およびプログラム
JP6023854B1 (ja) 半導体装置の製造方法、基板処理装置およびプログラム
JP6647260B2 (ja) 半導体装置の製造方法、基板処理装置及びプログラム
JP6994483B2 (ja) 半導体装置の製造方法、プログラム、及び基板処理装置
JP7066829B2 (ja) 基板処理装置、ガスノズルおよび半導体装置の製造方法
US20210292895A1 (en) Vaporizer, substrate processing apparatus and method of manufacturing semiconductor device
WO2020189205A1 (ja) 基板処理装置、半導体装置の製造方法およびノズル
WO2020188857A1 (ja) 基板処理装置、反応容器、半導体装置の製造方法および記録媒体
JPWO2019188037A1 (ja) 基板処理装置、半導体装置の製造方法およびプログラム
JP7016920B2 (ja) 基板処理装置、基板支持具、半導体装置の製造方法および基板処理方法
US20200411330A1 (en) Method of manufacturing semiconductor device, substrate processing apparatus, and recording medium
JP7079340B2 (ja) 半導体装置の製造方法、基板処理装置、及びプログラム
US11866822B2 (en) Vaporizer, substrate processing apparatus, and method of manufacturing semiconductor device
CN114250448A (zh) 半导体器件的制造方法、衬底处理方法、衬底处理装置及记录介质
JP7179962B2 (ja) 半導体装置の製造方法、基板処理方法、基板処理装置およびプログラム
WO2023042386A1 (ja) 半導体装置の製造方法、基板処理装置、プログラム及びコーティング方法
WO2020066701A1 (ja) 基板処理装置、半導体装置の製造方法およびプログラム
US11929272B2 (en) Substrate processing apparatus, substrate support, and method of manufacturing semiconductor device
JPWO2018061109A1 (ja) 半導体装置の製造方法

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20200908

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20200908

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20210726

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20210727

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20210924

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20211116

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20211213

R150 Certificate of patent or registration of utility model

Ref document number: 6994483

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150