JP2018503259A5 - - Google Patents

Download PDF

Info

Publication number
JP2018503259A5
JP2018503259A5 JP2017535912A JP2017535912A JP2018503259A5 JP 2018503259 A5 JP2018503259 A5 JP 2018503259A5 JP 2017535912 A JP2017535912 A JP 2017535912A JP 2017535912 A JP2017535912 A JP 2017535912A JP 2018503259 A5 JP2018503259 A5 JP 2018503259A5
Authority
JP
Japan
Prior art keywords
ion implantation
dielectric film
implantation process
exposing
processing chamber
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2017535912A
Other languages
English (en)
Other versions
JP2018503259A (ja
JP6782702B2 (ja
Filing date
Publication date
Priority claimed from US14/635,589 external-priority patent/US9777378B2/en
Application filed filed Critical
Publication of JP2018503259A publication Critical patent/JP2018503259A/ja
Publication of JP2018503259A5 publication Critical patent/JP2018503259A5/ja
Application granted granted Critical
Publication of JP6782702B2 publication Critical patent/JP6782702B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Claims (14)

  1. 流動性化学気相蒸着膜を形成する方法であって、
    処理チャンバ内で、100°C以下の温度と、0.5Torrと10Torrの間の圧力で、ケイ素含有前駆体と、窒素含有前駆体と、酸素含有前駆体とを反応させることによって基板上に誘電体膜を形成すること、
    イオン注入処理において、前記誘電体膜を酸素イオンに曝露することによって前記基板からNH 物質をガス放出すること、
    前記誘電体膜を硬化するため、前記誘電体膜を酸素ラジカルに曝露すること、及び
    500°C未満の温度で前記誘電体膜を水蒸気に曝露することによって、前記誘電体膜をアニールすること
    を逐次的に含む、方法。
  2. 前記イオン注入処理は、前記処理チャンバ内でインシトゥで実施される、請求項1に記載の方法。
  3. 前記イオン注入処理は00°C未満の温度で実施される、請求項2に記載の方法。
  4. 前記酸素ラジカルは、オゾン前駆体を励起させることによって形成される、請求項1に記載の方法。
  5. 流動性化学気相蒸着膜を形成する方法であって、
    処理チャンバ内で、100°C以下の温度と、0.5Torrと10Torrの間の圧力で、ケイ素含有前駆体と、窒素含有前駆体と、酸素含有前駆体とを反応させることによって基板上に誘電体膜を形成すること、
    前記誘電体膜を硬化するため、前記誘電体膜を酸素ラジカルに曝露すること、
    イオン注入処理において、前記誘電体膜を1つ以上の酸素イオンに曝露することによって前記基板からNH 物質をガス放出すること、及び
    500°C未満の温度で前記誘電体膜を水蒸気に曝露することによって、前記誘電体膜をアニールすること
    を逐次的に含む、方法。
  6. 前記イオン注入処理は、前記処理チャンバ内でインシトゥで実施される、請求項に記載の方法。
  7. 前記イオン注入処理は00°C未満の温度で実施される、請求項に記載の方法。
  8. 前記イオン注入処理は、前記処理チャンバから分離されたイオン注入チャンバ内でエクスシトゥで実施される、請求項に記載の方法。
  9. 前記基板は、前記処理チャンバから前記イオン注入チャンバまで、真空を途切れさせることなく移送される、請求項に記載の方法。
  10. 前記イオン注入処理は00°C未満の温度で実施される、請求項に記載の方法。
  11. 流動性化学気相蒸着膜を形成する方法であって、
    処理チャンバ内で、100°C以下の温度と、0.5Torrと10Torrの間の圧力で、ケイ素含有前駆体と、窒素含有前駆体と、酸素含有前駆体とを反応させることによって基板上に誘電体膜を形成すること、
    前記誘電体膜を硬化するため、前記誘電体膜を酸素ラジカルに曝露すること、
    500°C未満の温度で前記誘電体膜を水蒸気に曝露することによって、前記誘電体膜をアニールすること、及び
    イオン注入処理において、前記誘電体膜を酸素イオンに曝露することによって前記基板からNH 物質をガス放出すること
    を逐次的に含む、方法。
  12. 前記イオン注入処理は、前記処理チャンバから分離されたイオン注入チャンバ内でエクスシトゥで実施される、請求項11に記載の方法。
  13. 前記基板は、前記処理チャンバから前記イオン注入チャンバまで、真空を途切れさせることなく移送される、請求項12に記載の方法。
  14. 前記イオン注入処理は00°C未満の温度で実施される、請求項13に記載の方法。
JP2017535912A 2015-01-07 2015-12-15 高品質fcvd膜バックグラウンド用の先進的処理フロー Active JP6782702B2 (ja)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201562100888P 2015-01-07 2015-01-07
US62/100,888 2015-01-07
US14/635,589 US9777378B2 (en) 2015-01-07 2015-03-02 Advanced process flow for high quality FCVD films
US14/635,589 2015-03-02
PCT/US2015/065846 WO2016111815A1 (en) 2015-01-07 2015-12-15 Advanced process flow for high quality fcvd films

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP2020176093A Division JP2021044555A (ja) 2015-01-07 2020-10-20 高品質fcvd膜バックグラウンド用の先進的処理フロー

Publications (3)

Publication Number Publication Date
JP2018503259A JP2018503259A (ja) 2018-02-01
JP2018503259A5 true JP2018503259A5 (ja) 2019-01-31
JP6782702B2 JP6782702B2 (ja) 2020-11-11

Family

ID=56286182

Family Applications (2)

Application Number Title Priority Date Filing Date
JP2017535912A Active JP6782702B2 (ja) 2015-01-07 2015-12-15 高品質fcvd膜バックグラウンド用の先進的処理フロー
JP2020176093A Pending JP2021044555A (ja) 2015-01-07 2020-10-20 高品質fcvd膜バックグラウンド用の先進的処理フロー

Family Applications After (1)

Application Number Title Priority Date Filing Date
JP2020176093A Pending JP2021044555A (ja) 2015-01-07 2020-10-20 高品質fcvd膜バックグラウンド用の先進的処理フロー

Country Status (6)

Country Link
US (1) US9777378B2 (ja)
JP (2) JP6782702B2 (ja)
KR (1) KR102438577B1 (ja)
CN (1) CN107109643B (ja)
TW (1) TWI676700B (ja)
WO (1) WO2016111815A1 (ja)

Families Citing this family (38)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10421766B2 (en) * 2015-02-13 2019-09-24 Versum Materials Us, Llc Bisaminoalkoxysilane compounds and methods for using same to deposit silicon-containing films
JP6844176B2 (ja) * 2016-09-29 2021-03-17 富士電機株式会社 炭化珪素半導体装置および炭化珪素半導体装置の製造方法
WO2018063288A1 (en) * 2016-09-30 2018-04-05 Intel Corporation Methods & apparatus for high pressure cure of flowable dielectric films
WO2018063303A1 (en) * 2016-09-30 2018-04-05 Intel Corporation Dielectric gap-fill material deposition
US10822458B2 (en) * 2017-02-08 2020-11-03 Versum Materials Us, Llc Organoamino-functionalized linear and cyclic oligosiloxanes for deposition of silicon-containing films
JP6817845B2 (ja) * 2017-02-22 2021-01-20 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置およびプログラム
JP7118511B2 (ja) * 2017-04-04 2022-08-16 アプライド マテリアルズ インコーポレイテッド シリコン間隙充填のための二段階プロセス
KR102576563B1 (ko) 2017-05-13 2023-09-07 어플라이드 머티어리얼스, 인코포레이티드 고품질 갭 충전 솔루션들을 위한 순환식 유동성 증착 및 고-밀도 플라즈마 처리 프로세스들
US10622214B2 (en) 2017-05-25 2020-04-14 Applied Materials, Inc. Tungsten defluorination by high pressure treatment
CN109148373A (zh) * 2017-06-16 2019-01-04 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法
KR102312827B1 (ko) 2017-08-08 2021-10-13 어플라이드 머티어리얼스, 인코포레이티드 저-k 막들의 증착을 위한 방법들 및 장치
WO2019036157A1 (en) 2017-08-18 2019-02-21 Applied Materials, Inc. HIGH PRESSURE AND HIGH TEMPERATURE RECOVERY CHAMBER
US10276411B2 (en) 2017-08-18 2019-04-30 Applied Materials, Inc. High pressure and high temperature anneal chamber
CN111095524B (zh) 2017-09-12 2023-10-03 应用材料公司 用于使用保护阻挡物层制造半导体结构的设备和方法
CN117936420A (zh) 2017-11-11 2024-04-26 微材料有限责任公司 用于高压处理腔室的气体输送***
CN111432920A (zh) 2017-11-17 2020-07-17 应用材料公司 用于高压处理***的冷凝器***
CN111587474A (zh) 2017-12-01 2020-08-25 应用材料公司 高蚀刻选择性的非晶碳膜
CN109994484A (zh) * 2017-12-28 2019-07-09 中芯国际集成电路制造(上海)有限公司 Nand存储器及其形成方法
US10211045B1 (en) * 2018-01-24 2019-02-19 Globalfoundries Inc. Microwave annealing of flowable oxides with trap layers
EP3756217A4 (en) 2018-02-22 2021-11-10 Applied Materials, Inc. PROCESS FOR TREATING A MASK SUBSTRATE ALLOWING A BETTER FILM QUALITY
KR20230079236A (ko) 2018-03-09 2023-06-05 어플라이드 머티어리얼스, 인코포레이티드 금속 함유 재료들을 위한 고압 어닐링 프로세스
WO2019195188A1 (en) * 2018-04-03 2019-10-10 Applied Materials, Inc. Flowable film curing using h2 plasma
US10950429B2 (en) 2018-05-08 2021-03-16 Applied Materials, Inc. Methods of forming amorphous carbon hard mask layers and hard mask layers formed therefrom
US10748783B2 (en) 2018-07-25 2020-08-18 Applied Materials, Inc. Gas delivery module
KR102018318B1 (ko) * 2018-09-11 2019-09-04 주식회사 유진테크 박막 형성 방법
WO2020117462A1 (en) 2018-12-07 2020-06-11 Applied Materials, Inc. Semiconductor processing system
KR20210109046A (ko) 2019-01-24 2021-09-03 어플라이드 머티어리얼스, 인코포레이티드 질화규소를 증착하는 방법들
US10896855B2 (en) * 2019-06-10 2021-01-19 Applied Materials, Inc. Asymmetric gate spacer formation using multiple ion implants
TW202117802A (zh) * 2019-07-02 2021-05-01 美商應用材料股份有限公司 固化介電質材料的方法與設備
TWI742777B (zh) * 2019-07-25 2021-10-11 美商慧盛材料美國責任有限公司 含有矽雜環烷的組合物及使用其沉積含矽膜的方法
US11972943B2 (en) * 2019-09-20 2024-04-30 Applied Materials, Inc. Methods and apparatus for depositing dielectric material
US20210175075A1 (en) * 2019-12-09 2021-06-10 Applied Materials, Inc. Oxygen radical assisted dielectric film densification
US11901222B2 (en) 2020-02-17 2024-02-13 Applied Materials, Inc. Multi-step process for flowable gap-fill film
US11615984B2 (en) * 2020-04-14 2023-03-28 Applied Materials, Inc. Method of dielectric material fill and treatment
US12004431B2 (en) 2020-10-30 2024-06-04 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method for MRAM devices
US11659771B2 (en) 2020-11-25 2023-05-23 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method for integrating MRAM and logic devices
US20220375747A1 (en) * 2021-05-20 2022-11-24 Applied Materials, Inc. Flowable CVD Film Defect Reduction
US20240145217A1 (en) * 2022-11-02 2024-05-02 Applied Materials, Inc. Method for forming highly uniform dielectric film

Family Cites Families (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH02230735A (ja) * 1989-03-03 1990-09-13 Fujitsu Ltd 半導体装置の製造方法
US5319212A (en) * 1992-10-07 1994-06-07 Genus, Inc. Method of monitoring ion beam current in ion implantation apparatus for use in manufacturing semiconductors
JP3015738B2 (ja) * 1995-06-21 2000-03-06 三洋電機株式会社 半導体装置の製造方法
JPH10189578A (ja) * 1996-12-20 1998-07-21 Toshiba Corp 半導体装置の製造方法
JP3348084B2 (ja) * 1999-12-28 2002-11-20 キヤノン販売株式会社 成膜方法及び半導体装置
US7166524B2 (en) * 2000-08-11 2007-01-23 Applied Materials, Inc. Method for ion implanting insulator material to reduce dielectric constant
JP2008263097A (ja) * 2007-04-13 2008-10-30 Toshiba Corp 半導体装置及び半導体装置の製造方法
US7867923B2 (en) * 2007-10-22 2011-01-11 Applied Materials, Inc. High quality silicon oxide films by remote plasma CVD from disilane precursors
US7803722B2 (en) 2007-10-22 2010-09-28 Applied Materials, Inc Methods for forming a dielectric layer within trenches
US8557712B1 (en) 2008-12-15 2013-10-15 Novellus Systems, Inc. PECVD flowable dielectric gap fill
US8980382B2 (en) * 2009-12-02 2015-03-17 Applied Materials, Inc. Oxygen-doping for non-carbon radical-component CVD films
US8741788B2 (en) * 2009-08-06 2014-06-03 Applied Materials, Inc. Formation of silicon oxide using non-carbon flowable CVD processes
US8449942B2 (en) 2009-11-12 2013-05-28 Applied Materials, Inc. Methods of curing non-carbon flowable CVD films
JP2013516788A (ja) 2010-01-07 2013-05-13 アプライド マテリアルズ インコーポレイテッド ラジカル成分cvd用のインサイチュオゾン硬化
KR101674057B1 (ko) * 2010-04-01 2016-11-08 삼성전자 주식회사 강화된 복합 절연막을 포함하는 반도체 칩 구조 및 그 제조 방법
US8318584B2 (en) * 2010-07-30 2012-11-27 Applied Materials, Inc. Oxide-rich liner layer for flowable CVD gapfill
US20130217243A1 (en) * 2011-09-09 2013-08-22 Applied Materials, Inc. Doping of dielectric layers
MY171887A (en) 2013-02-19 2019-11-06 Applied Materials Inc Hdd patterning using flowable cvd film
US20140273530A1 (en) * 2013-03-15 2014-09-18 Victor Nguyen Post-Deposition Treatment Methods For Silicon Nitride

Similar Documents

Publication Publication Date Title
JP2018503259A5 (ja)
CN105900214B (zh) 通过使用远程等离子体pecvd的fcvd硬件形成的可流动碳膜
JP2015515641A5 (ja)
JP2019508883A5 (ja)
SG10201803376RA (en) Atomic layer etch, reactive precursors and energetic sources for patterning applications
JP2011192872A5 (ja)
JP2011029637A5 (ja)
WO2018052477A3 (en) An integrated method for wafer outgassing reduction
JP2009531857A5 (ja)
TW201612352A (en) Method for hydrophobization of surface of silicon-containing film by ALD
JP2015504239A5 (ja)
JP2014208883A5 (ja)
TW200644085A (en) A plasma enhanced atomic layer deposition system having reduced contamination
JP2018512727A5 (ja)
WO2009105347A3 (en) Process sequence for formation of patterned hard mask film (rfp) without need for photoresist or dry etch
JP2015035607A5 (ja)
WO2012170150A3 (en) Selective deposition of polymer films on bare silicon instead of oxide surface
WO2008117798A1 (ja) 窒化珪素膜の形成方法、不揮発性半導体メモリ装置の製造方法、不揮発性半導体メモリ装置およびプラズマ処理装置
TWI633579B (zh) Hard mask forming method and hard mask forming device
JP2015162544A5 (ja)
JP2013229608A5 (ja)
JP2007266609A5 (ja)
WO2017062355A3 (en) Methods for depositing dielectric barrier layers and aluminum containing etch stop layers
JP2011199271A5 (ja) 成膜装置
JP2016066793A5 (ja)