JP2017525160A - Opportunistic of IC test structures and / or e-beam target pads to areas that should be used for filler cells, tap cells, decap cells, scribe lines and / or dummy fills and product IC chips that contain them Arrangement - Google Patents

Opportunistic of IC test structures and / or e-beam target pads to areas that should be used for filler cells, tap cells, decap cells, scribe lines and / or dummy fills and product IC chips that contain them Arrangement Download PDF

Info

Publication number
JP2017525160A
JP2017525160A JP2017518035A JP2017518035A JP2017525160A JP 2017525160 A JP2017525160 A JP 2017525160A JP 2017518035 A JP2017518035 A JP 2017518035A JP 2017518035 A JP2017518035 A JP 2017518035A JP 2017525160 A JP2017525160 A JP 2017525160A
Authority
JP
Japan
Prior art keywords
cell
pad
test
cells
fabrication process
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2017518035A
Other languages
Japanese (ja)
Other versions
JP6702955B2 (en
Inventor
デ,インドラニル
シプリカス,デニス・ジェイ
ラム,スティーヴン
ヘイ,ジョナサン
ロヴナー,ヴャチェスラフ・ブイ
ヘス,クリストファー
ブロゼク,トマシュ・ダブリュ
ストロルワス,アンジェイ・ジェイ
ケルヴィン ドゥーン,
ケルヴィン ドゥーン,
カイバリアン,ジョン・ケイ
リー,シェリー・エフ
マイケルス,カイモン・ダブリュ
ストロワス,マルシン・エイ
オサリヴァン,コナー
ジャイン,メフル
Original Assignee
ピイディエフ・ソリューションズ・インコーポレーテッド
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by ピイディエフ・ソリューションズ・インコーポレーテッド filed Critical ピイディエフ・ソリューションズ・インコーポレーテッド
Publication of JP2017525160A publication Critical patent/JP2017525160A/en
Application granted granted Critical
Publication of JP6702955B2 publication Critical patent/JP6702955B2/en
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • H01L22/12Measuring as part of the manufacturing process for structural parameters, e.g. thickness, line width, refractive index, temperature, warp, bond strength, defects, optical inspection, electrical measurement of structural dimensions, metallurgic measurement of diffusions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/30Structural arrangements specially adapted for testing or measuring during manufacture or treatment, or specially adapted for reliability measurements
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/30Structural arrangements specially adapted for testing or measuring during manufacture or treatment, or specially adapted for reliability measurements
    • H01L22/34Circuits for electrically characterising or monitoring manufacturing processes, e. g. whole test die, wafers filled with test structures, on-board-devices incorporated on each die, process control monitors or pad structures thereof, devices in scribe line
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/0203Particular design considerations for integrated circuits
    • H01L27/0207Geometrical layout of the components, e.g. computer aided design; custom LSI, semi-custom LSI, standard cell technique
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • H01L22/14Measuring as part of the manufacturing process for electrical parameters, e.g. resistance, deep-levels, CV, diffusions by electrical means

Landscapes

  • Engineering & Computer Science (AREA)
  • Manufacturing & Machinery (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Engineering & Computer Science (AREA)
  • Automation & Control Theory (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Testing Or Measuring Of Semiconductors Or The Like (AREA)
  • Design And Manufacture Of Integrated Circuits (AREA)
  • Semiconductor Integrated Circuits (AREA)

Abstract

製品IC/ウェハは、フィラーセル位置に、タップセル内に、デキャップセル内に、スクライブライン領域内に、及び/又はダミーフィル領域内に日和見的に配置される、追加の診断、試験又は監視構造体を含む。改善された製作プロセスは、1つ又は複数のこのような構造体からのデータを、ウェハ配置の決定、再加工の決定、プロセス制御、歩留まりの学習、又は欠陥の診断において利用する。【選択図】図4Additional diagnostic, test or monitoring structures where the product IC / wafer is placed opportunistically at the filler cell location, in the tap cell, in the decap cell, in the scribe line area and / or in the dummy fill area Including the body. An improved fabrication process utilizes data from one or more such structures in wafer placement determination, rework determination, process control, yield learning, or defect diagnosis. [Selection] Figure 4

Description

関連出願の相互参照Cross-reference of related applications

本出願は、2014年6月12日出願の米国特許出願第14303578号からの優先権を主張するものであり、上記米国特許出願第14303578号は、2014年2月25日出願の米国特許出願第14/190040号の一部継続出願であり、上記米国特許出願第14/190040号は、2013年9月27日出願の米国特許出願第14/038799号の一部継続出願である。本出願はまた、2014年6月12日出願の米国仮特許出願第62/011161号からの優先権を主張するものである。上記出願は全て、参照により本出願に援用される。   This application claims priority from U.S. Patent Application No. 14303578 filed on June 12, 2014, which is hereby incorporated by reference in U.S. Patent Application No. 14303578, filed February 25, 2014. No. 14/190040, which is a continuation-in-part of US patent application Ser. No. 14/038799, filed Sep. 27, 2013. This application also claims priority from US Provisional Patent Application No. 62/011161, filed June 12, 2014. All of the above applications are incorporated herein by reference.

本発明は、半導体集積回路の分野、並びに上記回路を製造及び試験するための方法に関する。   The present invention relates to the field of semiconductor integrated circuits and methods for manufacturing and testing such circuits.

製品ウェハ上の、「試験構造体(test structures)」(これは本明細書では、回路の機能付与のために必要ではないものの、製作プロセス、又は結果として得られる部分的に若しくは完全に製作済みのウェハ/チップの監視又は評価のために設計、構成又は使用される、いずれのパターン形成として定義される)の配置は、この10年で一般的なものとなっている。従来、このような試験構造体は、アクティブダイの間のスクライブライン領域に配置される。例えば非特許文献1を参照。   “Test structures” on the product wafer (this is not necessary here for the functionalization of the circuit, but the fabrication process, or the resulting partially or fully fabricated (Defined as any patterning, designed, configured or used for wafer / chip monitoring or evaluation) has become commonplace in the last decade. Conventionally, such test structures are located in the scribe line area between the active dies. For example, see Non-Patent Document 1.

F.Duanらによる特許文献1(“Test Structures in Unused Areas of Semiconductor Integrated Circuits and Methods for Designing the Same”)は、試験及び製品ウェハのプローブパッドの下への、相互接続されたアクティブ試験セルの配置について記載している。   F. U.S. Pat. No. 6,069,096 (Duest et al., “Test Structures in Unused Area of Semiconductor Integrated Circuits and Methods for Designing for Same Pads in Test and Product Wafers for Designed and Connected to the Wafers of the Test and Product Wafers) doing.

S.Jansenらによる特許文献2(“Semiconductor integrated test structures for electron beam inspection of semiconductor wafers”)は、製品ICの、予め指定された大型の領域内への、試験構造体の配置について記載している。   S. Janssen et al., US Pat. No. 6,057,086 (“Semiconductor integrated test structure for electron beam inspection of semiconductor wafers”) describes the structure of a product IC within a pre-designated large area.

製品ウェハ上に試験構造体を配置する、これらの及びその他の公知の技術は、有用な結果をもたらすものの、依然として理想的とはいえない。具体的には、製品ウェハのスクライブラインにおいて利用可能な領域は厳しく限定されており、特定のタイプの試験構造体しか収容できない。更に、上記スクライブライン内の方法及び上記プローブパッド下の方法はいずれも、試験構造体が最も重要なアクティブ回路構成領域から遠い位置に配置されてしまい、従ってアクティブ回路構成の処理環境が正確に表現されない場合があるという難点がある。特許文献2はこの問題を潜在的には緩和させることができるものの、必要となる大型の指定された試験領域(特許文献2、図5の領域44〜45を参照)のコストはあまりに許容できないものとなり、貴重なアクティブダイ領域が消費される。   While these and other known techniques for placing test structures on product wafers provide useful results, they are still not ideal. Specifically, the area available on the product wafer scribe line is severely limited and can accommodate only certain types of test structures. In addition, both the method within the scribe line and the method under the probe pad result in the test structure being located far from the most important active circuit configuration area, thus accurately representing the processing environment of the active circuit configuration. There is a drawback that it may not be. Although Patent Document 2 can potentially alleviate this problem, the cost of the required large designated test area (see Patent Document 2, areas 44-45 in FIG. 5) is too unacceptable. As a result, valuable active die area is consumed.

米国登録特許第7223616B2号US Registered Patent No. 7223616B2 米国登録特許第7679083B2号US Registered Patent No. 7679083B2

Hess, Christopher, et al., “Scribe characterization vehicle test chip for ultra fast product wafer yield monitoring,” IEEE International Conference on Microelectronic Test Structures, 2006Hess, Christopher, et al. , “Scribe characterization vehicle test chip for ultra fast product Wafer Yield monitoring,” IEEE International Conferencing on Microelectronics 6

本発明は、アクティブダイ領域を殆ど又は全く犠牲にせずに、製品IC上の試験構造体占有範囲を改善するための、複数の技術を開示する。   The present invention discloses a plurality of techniques for improving the test structure occupancy on a product IC with little or no sacrifice of the active die area.

本発明の一態様によると、「フィラーセル(filler cell)」(これは、配線混雑を防止/緩和する、及び/又はセル密度を均一化するために、アクティブ回路構成領域内に配置される、非機能性セルとして定義される)を、追加の領域又は相互接続を必要としない自己完結型試験構造体に置換する。現行の標準セルレイアウトは一般に、このようなフィラーセルを用いて、配線混雑を緩和している。例えばCong, J., et al.“Optimizing routability in large-scale mixed-size placement,” ASP-DAC, 2013;及びMenezes, C., et al.“Design of regular layouts to improve predictability,” Proceedings of the 6th IEEE International Caribbean Conference on Devices, Circuits and Systems, 2006を参照。C.Mayorによる国際公開第2009/090516A1号(「監視セル及び監視セル配置方法(Monitor Cell and Monitor Cell Placement Method)」)は、フィラーセルを「監視セル(monitor cell)」(図5、ステップ540を参照)に置換するというアイデアを提案しているものの、提案されている監視セルは、フィラーセルのスペースにフィットさせるには大き過ぎ、また更に重要なことには、スキャンチェインへの統合のために追加の相互接続を必要とする。本発明と関連して使用するために好適な、試験対応フィラー(フィル)セルの例は、上記米国仮特許出願、及びこの出願の図11〜32に開示されている。   According to one aspect of the present invention, a “filler cell” (which is disposed within the active circuit configuration region to prevent / mitigate wiring congestion and / or equalize cell density, Is replaced with a self-contained test structure that does not require additional areas or interconnections. Current standard cell layouts generally use such filler cells to reduce wiring congestion. For example, Cong, J. et al. , Et al. "Optimizing routing in large-scale mixed-size placement," ASP-DAC, 2013; and Menezes, C. et al. , Et al. See “Design of legal layouts to improve predictability,” Proceedings of the 6th IEEE International Conference on Devices, Circuits and Sciences 200. C. Mayor International Publication No. 2009 / 090516A1 ("Monitoring Cell and Monitoring Cell Placement Method") refers to filler cells as "monitoring cells" (see FIG. 5, step 540) ), But the proposed monitoring cell is too large to fit the filler cell space, and more importantly added for integration into the scan chain Requires interconnection. Examples of test-ready filler (fill) cells suitable for use in connection with the present invention are disclosed in the above US provisional patent application and FIGS. 11-32 of this application.

本発明の別の態様によると、デキャップ(decap:デカップリング容量(decoupling capacitance))セルを改造して、1つ又は複数の自己完結型試験構造体を組み込む。このようなデキャップセルの使用は、当該技術分野において公知である。例えばX. Meng, et al.,“Novel Decoupling Capacitor Designs for sub-90nm CMOS Technology,” Proceedings of the 7th IEEE International Symposium on Quality Electronic Design, 2006を参照。   In accordance with another aspect of the invention, a decap (decoupling capacitance) cell is modified to incorporate one or more self-contained test structures. The use of such decap cells is known in the art. For example, X. Meng, et al. , “Novel Decoupling Capacitor Designs for sub-90 nm CMOS Technology,” Proceedings of the 7th IEEE International Symptom on Quality Electronics 6.

本発明の別の態様では、ウェルタップ(タップ)セルを改造して、1つ又は複数の自己完結型試験構造体を組み込む。このようなタップセルの使用は、当該技術分野において公知である。例えば、参照により本出願に援用される米国特許第6388315号(“Tap connections for circuits with leakage suppression capability”)、Jungeblut, T., et al., 2010,“A modular design flow for very large design space exploration,”図4(“ add well tap cells”)を参照。このような試験対応タップセルの例は、上記米国仮特許出願に開示されている。   In another aspect of the invention, the well tap (tap) cell is modified to incorporate one or more self-contained test structures. The use of such tap cells is well known in the art. See, for example, US Pat. No. 6,388,315 (“Tap connections for circuits with leakage suppression capability”), Jungleblut, T .; , Et al. , 2010, “A modular design for large large design space exploration,” FIG. 4 (“add well tap cells”). Examples of such test-capable tap cells are disclosed in the above-mentioned US provisional patent application.

本発明の更に別の態様によると、「ダミーフィル(dummy fill)」領域(参照により本出願に援用される米国登録特許第7137092B2号参照)に試験構造体パターンを配置する。   In accordance with yet another aspect of the present invention, a test structure pattern is placed in a “dummy fill” region (see US Pat. No. 7,137,092 B2, incorporated herein by reference).

いずれも参照により本出願に援用される、米国特許第7217579号(“Voltage contrast test structure”)及び特許文献2は、半導体ウェハのスクライブライン領域における、電圧コントラスト試験構造体の使用を開示している。本発明の別の態様は、更なる試験構造体の挿入のためのスクライブライン領域の使用を伴う。このようなスクライブライン領域は、実際の性能の問題又は既存のDRC(設計ルールチェック(design rule checking)」フローとの適合性に関する要件を理由として、製品ウェハのアクティブダイ領域内において使用が推奨されないか又は禁止される場合がある試験構造体を実装するために、有利に使用できる。このような推奨されない/禁止された試験構造体の例としては、1つ若しくは複数の意図的な層間不整合を伴う構造体、設計ルール未満の若しくはカナリア(canary)構造体、又は密度若しくはパターン形成がアクティブダイ領域における要件と適合できない構造体が挙げられる。例えば、eビーム適合性カナリア試験構造体の例に関しては、参照により本出願に援用される、放棄された米国特許出願第2009‐0102501A1号(“Test structures for e-beam testing of systematic and random defects in integrated circuits”)を参照。この発明の特定の実施形態では、本発明の製品ICウェハのダイ間スクライブライン領域の全体又は大半に、アクティブダイ領域内での使用が推奨されないか又は禁止されている電圧コントラスト試験構造体が配置される。   U.S. Pat. No. 7,217,579 ("Voltage contrast test structure") and U.S. Pat. No. 6,028,027, both incorporated by reference, disclose the use of voltage contrast test structures in the scribe line region of a semiconductor wafer. . Another aspect of the invention involves the use of a scribe line area for the insertion of additional test structures. Such scribe line areas are not recommended for use within the active die area of product wafers due to actual performance issues or requirements for compatibility with existing DRC (design rule checking) flows. An example of such a deprecated / prohibited test structure is one or more intentional interlayer mismatches, which can be advantageously used to implement test structures that may or may be prohibited. Structures with less than design rules or canaries, or structures whose density or patterning cannot meet the requirements in the active die area, for example for e-beam compatible canary test structures Is an abandoned U.S. patent issued which is hereby incorporated by reference. See application 2009-01050501 A1 ("Test structure for e-beam testing of systematic and random defects in integrated circuits"). In whole or most, voltage contrast test structures are placed that are not recommended or prohibited for use in the active die area.

本発明の別の態様は、試験パッド(上で定義されている「試験構造体(test structure)」の1タイプ)の、上述のダミーフィル、フィラーセル、デキャップセル及び/若しくはタップセル位置への(並びに/又はこれらのセル内への)日和見的挿入を伴う。このような試験パッドは好ましくは、荷電粒子(例えばeビーム)標的を備え、これは好ましくは、所与の技術のノードにおける最小の分解可能な特徴部分サイズの1倍〜10倍の範囲内とするために、比較的小さな寸法にサイズ設定されるが、上記試験パッドはまた、マイクロ又はナノプローブ探査可能な接触パッドも備えてよい。このような試験パッドは、関連する試験構造体の上に位置決めしてよく、関連する試験構造体に隣接して位置決めしてよく、同一層上の隣接していない試験構造体に接続してよく、又は1つ若しくは複数の下層上の関連する試験構造体に接続してよい。   Another aspect of the present invention is to provide a test pad (one type of “test structure” as defined above) to the dummy fill, filler cell, decap cell and / or tap cell locations described above. With opportunistic insertion (and / or into these cells). Such a test pad preferably comprises a charged particle (eg e-beam) target, which is preferably in the range of 1 to 10 times the smallest resolvable feature size at a given technology node. In order to do this, the test pad may also be provided with a micro or nano probe probeable contact pad, although sized to relatively small dimensions. Such test pads may be positioned over the associated test structure, may be positioned adjacent to the associated test structure, and may be connected to non-adjacent test structures on the same layer. Or may be connected to associated test structures on one or more underlying layers.

本発明の他の態様は、従来のスクライブライン及びパッド下試験構造体を有して又は有さずに、上述のタイプの日和見的に挿入された試験構造体のうちの1つ、2つ、3つ又は4つを有するIC及びICレイアウトに関する。本発明のまた更なる態様は:上述のようなICレイアウトを形成するためのCAD法;本発明による日和見的に挿入された試験構造体から得られた情報を少なくとも部分的に利用する製作プロセス;及び上記プロセスによって製造されたICに関する。   Other aspects of the invention include one, two, or two of the above-described opportunistically inserted test structures, with or without conventional scribe lines and sub-pad test structures. It relates to an IC having three or four and an IC layout. Still further aspects of the present invention are: a CAD method for forming an IC layout as described above; a fabrication process that at least partially utilizes information obtained from opportunistically inserted test structures according to the present invention; And an IC manufactured by the above process.

従って、限定を意図したものではないが一般に、本発明の特定の態様は、例えば:少なくとも10行、20行、30行又はそれを超える、少なくとも50個、75個、100個又はそれを超える当接したセルを包含する、製品ICに関する。上記製品ICは:各上記行が、複数の論理セルを含むこと;並びに上記行のうちの少なくとも半分、3/4又は3/4超が、フィラー、デキャップ若しくはタップセル位置に(及び/又はこれらのセル内に)試験構造体を含むことを特徴とする。上記製品ICは更に、上記行に少なくとも部分的に重なるダミーフィル領域に実装された、(試験パッドを含むがこれに限定されない)複数のダミーフィル試験構造体を内包する。上記ダミーフィル試験構造体は、いずれのパターン形成層、特に1つ又は2つ以上の金属層上に存在してよい。   Thus, although not intended to be limiting, in general, certain aspects of the invention include, for example: at least 10 rows, 20 rows, 30 rows or more, at least 50, 75, 100 or more It relates to a product IC including a contacted cell. The product IC includes: each of the rows includes a plurality of logic cells; and at least half, 3/4 or more than 3/4 of the rows are at filler, decap or tap cell locations (and / or these) Characterized in that it contains a test structure (in the cell). The product IC further includes a plurality of dummy fill test structures (including but not limited to test pads) mounted in a dummy fill region that at least partially overlaps the row. The dummy fill test structure may be present on any patterning layer, particularly one or more metal layers.

各試験構造体は好ましくは自己完結型であり、従ってオンチップ接続のために配線領域を使用する必要がない。換言すると、本発明のこの自己完結型態様により、フィラー/デキャップ/タップセルを本発明の試験セル/構造体に置換しても、利用可能な配線領域には影響しない。いくつかの実施形態では、上記自己完結型試験構造体は、多数の隣接するフィラー、デキャップ又はタップセルのフットプリントに形成してよく、従って更に大型の、及び/又は不規則形状の自己完結型試験構造体が実現可能である。上記製品ICは、eビーム試験用に構成された試験構造体、SEM点検用に構成された試験構造体、明視野点検用に構成された試験構造体、(マイクロプローブ、ナノプローブ若しくはプローブカードによる)プローブ接触用に構成された試験構造体、又はこれらのうちの2つ、3つ若しくは4つのいずれの組み合わせを内包してよい。   Each test structure is preferably self-contained, thus eliminating the need for wiring areas for on-chip connections. In other words, with this self-contained aspect of the present invention, replacing the filler / decap / tap cell with the test cell / structure of the present invention does not affect the available wiring area. In some embodiments, the self-contained test structure may be formed in a large number of adjacent filler, decap or tap cell footprints, and thus a larger and / or irregularly shaped self-contained test. A structure is feasible. The product IC includes a test structure configured for e-beam testing, a test structure configured for SEM inspection, a test structure configured for bright-field inspection, (by microprobe, nanoprobe or probe card) ) A test structure configured for probe contact, or any combination of two, three or four of these may be included.

また、限定を意図したものではないが一般に、本発明の他の態様は、例えば、配線領域と共に、少なくとも20行、30行、40行又はそれを超える隣接する行の、少なくとも100個、150個、200個又はそれを超える隣接するセルを内包する連続領域を内包する、製品ICに関する。上記製品ICは:各行が、論理セルの過半数(又は60%、70%若しくは80%等の超過半数)を含むこと;並びに上記連続領域が、少なくとも25個(又は50個、100個、150個若しくはそれを超える)の、不規則に分散された自己完結型試験構造体も内包し、各上記自己完結型試験構造体が、上記列のうちの1つの、論理セル又はフィラーセル又はタップセルに好適であったはずの位置に位置決めされることを特徴とする。いくつかの実施形態では、上記試験構造体のうちの少なくともいくつかは、デキャップセルに内包される。上記製品ICはまた、複数の自己完結型ダミーフィル試験構造体も含んでよく、各上記自己完結型ダミーフィル試験構造体は、上記連続領域に少なくとも部分的に重なるものの、(共用電源ネットへの接続を除いて)上記論理セルのいずれにも接続されない。いくつかの実施形態では、上記ダミーフィル試験構造体は、2つ以上の相互接続層を占有してよい。いくつかの実施形態では、上記試験構造体のうちの少なくともいくつかは、カナリア(即ち設計ルール未満の)試験構造体であり、上記ダミーフィル試験構造体のうちのいくつかは、ランダム欠陥試験構造体である。他の実施形態では、試験構造体は、システマティック故障モードを試験又は評価するために構成された、DRコンプライアンント構造体を備えてよい。また、これらの組み合わせを備えた実施形態も同様に考えられる。   Also, although not intended to be limiting, in general, other aspects of the invention include, for example, at least 100, 150, at least 20, 30, 40, or more adjacent rows, along with wiring areas. , Which relates to a product IC containing a continuous region containing 200 or more adjacent cells. The product IC: each row contains a majority of logic cells (or more than 60%, 70% or 80%, etc.); and at least 25 (or 50, 100, 150) continuous regions (Or more), including randomly distributed self-contained test structures, each of the self-contained test structures being suitable for a logic cell or filler cell or tap cell in one of the columns It is positioned at a position that should have been. In some embodiments, at least some of the test structures are encapsulated in a decap cell. The product IC may also include a plurality of self-contained dummy fill test structures, each self-contained dummy fill test structure at least partially overlapping the continuous region (to a shared power net). It is not connected to any of the above logic cells (except for connection). In some embodiments, the dummy fill test structure may occupy more than one interconnect layer. In some embodiments, at least some of the test structures are canary (ie, less than design rules) test structures, and some of the dummy fill test structures are random defect test structures. Is the body. In other embodiments, the test structure may comprise a DR compliant structure configured to test or evaluate a systematic failure mode. Further, an embodiment provided with a combination of these is also conceivable.

また、限定を意図したものではないが一般に、本発明の他の態様は、例えば少なくとも以下のステップ:ICウェハを初期製作ステップに供するステップ;上記ウェハの連続論理部分内に日和見的に分散された、少なくとも5個(又は10個、20個、40個若しくはそれを超える)の自己完結型試験構造体からの測定を得るステップ;並びに上記試験構造体から得られた測定に少なくとも部分的に基づいて、上記ウェハを、追加の及び/又は修正された製作ステップに選択的に供するステップを含む、IC製作プロセスに関する。特定の実施形態では、測定を得るステップは、荷電粒子によって(例えばeビームによって)上記試験構造体を励起するステップ、明視野点検によって上記試験構造体を点検するステップ、SEM点検によって上記試験構造体を点検するステップ、又は電気的測定のためのプローブ探査によって上記試験構造体に接触するステップを伴ってよい。特定の実施形態では、上記ウェハを、追加の製作ステップ又は物理的故障分析に選択的に供するステップは、上記初期製作ステップのうちの1つ若しくは複数を再実行するかどうかを決定するステップ、又は上記追加の製作ステップを実施するかどうか、若しくは上記ウェハを破棄するかどうかを決定するステップを伴ってよい。   Also, although not intended to be limiting, in general, other aspects of the invention include, for example, at least the following steps: subjecting the IC wafer to an initial fabrication step; and opportunistically distributed within the continuous logic portion of the wafer. Obtaining measurements from at least 5 (or 10, 20, 40 or more) self-contained test structures; and based at least in part on the measurements obtained from the test structures. And an IC fabrication process comprising selectively subjecting the wafer to additional and / or modified fabrication steps. In certain embodiments, obtaining a measurement comprises exciting the test structure with charged particles (eg, by e-beam), inspecting the test structure with bright field inspection, and testing the structure with SEM inspection. Or contacting the test structure by probing for electrical measurements. In certain embodiments, selectively subjecting the wafer to additional fabrication steps or physical failure analysis determines whether to re-execute one or more of the initial fabrication steps, or It may involve determining whether to perform the additional fabrication steps or whether to discard the wafer.

また、限定を意図したものではないが一般に、本発明の特定の実施形態によると、製品ICウェハを作製するためのプロセスは、例えば少なくとも以下のステップ:初期製品ウェハレイアウトを得るステップ;コンピュータを用いて上記初期製品ウェハレイアウトを分析し、試験構造体挿入のための日和見的領域(例えばダミーフィル、フィラーセル、タップセル、デキャップセル)を識別するステップ;上記コンピュータを用いて、試験構造体挿入のための上記日和見的領域を横断して、少なくとも1つの分散型DOEを一体として構成する複数の試験構造体を挿入することによって、上記初期製品ウェハレイアウトを修正するステップ;1つ又は複数の上記分散型DOEを利用するために必要な情報ではなく、上記修正された製品ウェハレイアウトを製作するために必要な情報を、コンピュータ可読レイアウトデータレコードに保存するステップ;及び上記レイアウトデータレコードから製作者に情報を提供することにより、上記修正された製品ウェハレイアウトに基づくウェハの製作を可能とするステップを含む。本発明のこの態様及び他の態様によると、このようなレイアウト修正は、設計フロー中(即ち設計終了前)、又は後続の1つ又は複数のマスクデータ処理(mask data processing:MDP)ステップ中、又はこれら両方の間に進行させることができる。本発明の関連する態様によると、IC製品チップを作製するための方法は例えば、少なくとも以下のステップ:少なくとも1つの分散型DOEを一体として構成する複数の埋入型試験構造体を有する複数の製品ICダイを備える、第1の製品ICウェハを受承するステップ:上記1つ又は複数の分散型DOEのうちの少なくとも1つを識別して利用できるようにするデータを受信するステップ;上記1つ又は複数の分散型DOEのうちの上記少なくとも1つを利用して、上記第1の製品ICウェハの製作に関する情報を得るステップ;及び上記第1の製品ICウェハを、複数のIC製品チップへと加工するステップを含んでよい。上記方法は更に、少なくとも以下の追加のステップ:上記第1の製品ICウェハと同一の、第2の製品ウェハを受承するステップ;第2の製品ICウェハ上の、1つ又は複数の上記分散型DOEの少なくとも1つを利用して、上記第2の製品ICウェハの製作に関する情報を得るステップ;及び上記第2の製品ICウェハを、複数のIC製品チップへと加工するステップを含んでよい。本発明のこれらの態様によると、上記1つ若しくは複数のDOE及び/又は1つ若しくは複数の試験構造体からのデータを、ウェハ配置の決定、再加工の決定、プロセス制御、歩留まりの学習、又は欠陥の診断において利用してよい。   Also, although not intended to be limiting, in general, according to certain embodiments of the invention, the process for making a product IC wafer includes, for example, at least the following steps: obtaining an initial product wafer layout; using a computer Analyzing the initial product wafer layout and identifying opportunistic areas (eg, dummy fills, filler cells, tap cells, decap cells) for test structure insertion; Modifying the initial product wafer layout by inserting a plurality of test structures integrally comprising at least one distributed DOE across the opportunistic region for; one or more of the distributions The above-mentioned modified product wafer, not the information required to use the mold DOE Storing information necessary to fabricate the iout in a computer readable layout data record; and providing information to the producer from the layout data record to produce a wafer based on the modified product wafer layout. Including steps to enable. In accordance with this and other aspects of the present invention, such layout modifications are performed during the design flow (ie, before design completion) or during one or more subsequent mask data processing (MDP) steps. Or it can proceed between both. In accordance with a related aspect of the invention, a method for making an IC product chip includes, for example, at least the following steps: a plurality of products having a plurality of embedded test structures integrally comprising at least one distributed DOE Receiving a first product IC wafer comprising an IC die: receiving data that identifies and makes available at least one of the one or more distributed DOEs; Or using said at least one of a plurality of distributed DOEs to obtain information about the production of said first product IC wafer; and said first product IC wafer into a plurality of IC product chips A processing step may be included. The method further includes at least the following additional steps: receiving a second product wafer identical to the first product IC wafer; one or more of the distributions on the second product IC wafer. Utilizing at least one of the mold DOEs to obtain information regarding the fabrication of the second product IC wafer; and processing the second product IC wafer into a plurality of IC product chips. . According to these aspects of the invention, data from the one or more DOEs and / or one or more test structures can be used to determine wafer placement, rework decisions, process control, yield learning, or It may be used in the diagnosis of defects.

また、限定を意図したものではないが一般に、本発明の別の態様によると、製品ICウェハは、少なくとも:多数のeビーム励起性試験構造体(又はパッド/標的)が中に分散された、機能性製品回路構成の領域;及びeビームスキャナに、いずれの試験構造体(又はパッド/標的)を励起する機会も逸することなく、(走査方向に測定された)その走査長さ全体の少なくとも10%、15%又は20%をそれぞれスキップさせる、複数のeビームスキップ領域を備えてよい。上記製品ICウェハは好ましくは、少なくとも、それぞれ上記機能性製品回路構成の領域の幅全体に亘って広がる1つ又は複数の空のeビーム走査トラックを更に含んでよい。   Also, generally but not exclusively, according to another aspect of the invention, a product IC wafer comprises at least: a number of e-beam excitable test structures (or pads / targets) dispersed therein, The area of the functional product circuitry; and the e-beam scanner at least of its entire scan length (measured in the scan direction) without losing the opportunity to excite any test structure (or pad / target) A plurality of e-beam skip regions may be provided that respectively skip 10%, 15%, or 20%. The product IC wafer may preferably further comprise at least one or more empty e-beam scanning tracks each extending across the entire width of the functional product circuit configuration region.

また、限定を意図したものではないが一般に、本発明の別の態様は、例えば少なくとも:少なくとも3×3(又は5×5、10×10、20×20若しくは50×50等)の製品ダイのアレイであって、スクライブライン領域が上記製品ダイを隔てている、アレイを内包する製品ウェハに関する。上記ウェハは:各上記製品ダイが、製品機能性をサポートする、複数(多数)の動作可能な(組み合わせ及び/又は順序)論理セルを含むこと;各製品ダイが、上記論理セルが点在する複数の試験対応タップセルを含み、上記試験対応タップセルは、(eビーム試験パッドを有する又は有しない)自己完結型電圧コントラスト試験構造体を備えること;並びに各スクライブライン領域が、(対応するeビーム試験パッドを有する又は有しない)複数の電圧コントラスト試験構造体を内包することを特徴とする。上記製品ダイは更に:(eビーム試験パッドを有する若しくは有しない)自己完結型電圧コントラスト試験構造体をそれぞれ備える、複数の試験対応デキャップセル;(対応するeビーム試験パッドを有する若しくは有しない)自己完結型電圧コントラスト試験構造体をそれぞれ備える、複数の試験対応フィラーセル;及び/又は(対応するeビーム試験パッドを有する若しくは有しない)上記製品ダイのダミーフィル領域に実装された、複数の自己完結型電圧コントラスト試験構造体を含んでよい。特定の実施形態では、上記スクライブライン領域には、略全体的に、(eビーム標的パッドを含む)電圧コントラスト試験構造体が配置され、上記スクライブライン領域に内包された上記試験構造体のうちのいくつか又は過半数は、意図的な層の不整合を内包し、及び/又はプロセス設計ルールの意図的な違反を内包する。   Also, although not intended to be limiting, in general, another aspect of the invention is for example: at least: at least 3 × 3 (or 5 × 5, 10 × 10, 20 × 20 or 50 × 50, etc.) product die An array, wherein the scribe line area separates the product dies and relates to a product wafer containing the array. The wafer: each product die includes a plurality (many) operable (combined and / or ordered) logic cells that support product functionality; each product die is interspersed with the logic cells A plurality of test-capable tap cells, the test-capable tap cells comprising a self-contained voltage contrast test structure (with or without an e-beam test pad); and each scribe line region (with a corresponding e-beam test Including a plurality of voltage contrast test structures (with or without pads). The product die further includes: a plurality of test capable decap cells each comprising a self-contained voltage contrast test structure (with or without an e-beam test pad); (with or without a corresponding e-beam test pad) A plurality of test capable filler cells each comprising a self-contained voltage contrast test structure; and / or a plurality of self mounted in a dummy fill region of the product die (with or without a corresponding e-beam test pad) A complete voltage contrast test structure may be included. In certain embodiments, the scribe line region is substantially entirely disposed with a voltage contrast test structure (including an e-beam target pad) of the test structures contained in the scribe line region. Some or the majority contain intentional layer mismatches and / or contain intentional violations of process design rules.

また、限定を意図したものではないが一般に、本発明の別の態様は、例えば少なくとも以下のステップ:製品ICウェハを初期製作ステップに供するステップ;少なくとも40個(好ましくは少なくとも100個)の自己完結型試験構造体から、eビーム励起測定を得るステップであって、上記試験構造体のうちの少なくとも20個は、上記ウェハの連続論理部分(即ち機能性製品論理を内包する上記ウェハの部分)内に不規則に分散され、また上記試験構造体のうちの少なくとも20個は、上記ウェハのスクライブライン領域内に配置されている、ステップ;及び上記試験構造体から得られた測定に少なくとも部分的に基づいて、上記ウェハを、追加の製作ステップに選択的に供するステップを含む、IC製作プロセスに関する。特定の好ましい実施形態では、上記測定を得るステップは、(例えば単一のピクセル値又は10未満のピクセル値をサンプリングすることによって)上記ウェハのいずれの実質的な部分を連続的に走査することなく、上記ウェハの上記スクライブライン領域に配置されたeビーム標的パッドを標的とするステップを含む。特定の好ましい実施形態では、上記測定を得るステップは、上記ウェハのいずれの実質的な部分を連続的に走査することなく、上記ウェハの上記連続論理領域内に配置されたeビーム標的パッドを選択的に標的とするステップを含む。いくつかの実施形態では、上記選択的に供するステップは、上記初期製作ステップのうちの1つ又は複数を再実行するかどうかを決定するステップを含んでよい。またいくつかの実施形態では、上記選択的に供するステップは、上記追加の製作ステップを実施するかどうかを決定するステップを含んでよい。   Also, although not intended to be limiting, in general, another aspect of the invention includes, for example, at least the following steps: subjecting the product IC wafer to an initial fabrication step; at least 40 (preferably at least 100) self-contained Obtaining an e-beam excitation measurement from a mold test structure, wherein at least 20 of the test structures are in a continuous logic portion of the wafer (ie, the portion of the wafer containing functional product logic). And at least 20 of the test structures are disposed within a scribe line region of the wafer; and at least partially in measurements obtained from the test structures. The present invention relates to an IC fabrication process comprising selectively subjecting the wafer to additional fabrication steps. In certain preferred embodiments, the step of obtaining the measurement is performed without continuously scanning any substantial portion of the wafer (eg, by sampling a single pixel value or less than 10 pixel values). Targeting an e-beam target pad disposed in the scribe line region of the wafer. In certain preferred embodiments, obtaining the measurement selects an e-beam target pad disposed within the continuous logic region of the wafer without continuously scanning any substantial portion of the wafer. Targeted steps. In some embodiments, the selectively providing step may include determining whether to re-execute one or more of the initial fabrication steps. In some embodiments, the selectively providing step may include determining whether to perform the additional fabrication step.

本発明の特定の実施形態は、電気的にプローブ探査可能な試験構造体を含んでよく、上記試験構造体は、上記米国仮特許出願に記載されているタイプのものを含むがそれに限定されず、製品ウェハのスクライブライン領域内に配置される。上記電気的にプローブ探査可能な試験構造体は、それ自体のプローブパッドを含んでよく、又は単一のパッドをプローブパッド及びeビーム標的パッドの両方として機能させることができるように、近接した電圧コントラスト試験構造体と1つ若しくは複数のパッドを共有してよい。   Particular embodiments of the present invention may include an electrically probeable test structure, including but not limited to those of the type described in the above US provisional patent application. In the scribe line area of the product wafer. The electrically probeable test structure may include its own probe pad, or a close voltage so that a single pad can function as both a probe pad and an e-beam target pad. One or more pads may be shared with the contrast test structure.

以下の議論において、(以下の図11〜85の)本発明のセルは、「セル(cell)」とも「手段(means)」とも呼ばれる。明瞭性及び明確性のために、例えば「FIG82セル(FIG82 cell)」という呼称は、「図82に示すトポロジ設計を有するセル」を意味し、その一方で「FIG82手段(FIG82 means)」という同様の呼称は、米国特許法第112条第6項の下で、「図82セルの論理機能、即ち「駆動強度1における2入力3状態マルチプレクサ」を実装し、かつ図82に示す構造又は同等の構造を有する、セル」を包含するものと解釈される。   In the following discussion, the cell of the present invention (of FIGS. 11-85 below) is also referred to as a “cell” or “means”. For the sake of clarity and clarity, for example, the designation “FIG82 cell” means “cell having the topology design shown in FIG. 82”, while “FIG82 means” is similar. Is under the provisions of 35 U.S.C. 112, Paragraph 6, "Implementing the logic function of FIG. 82 cell, ie," two-input three-state multiplexer at drive strength 1 ", and the structure shown in FIG. It is interpreted as including a cell having a structure.

限定を意図したものではないが一般に、本発明の更なる態様は、少なくとも500個(又は1000個、1500個等)のセルの連続論理領域内に、(i)FIG33A‐Bセル;FIG34A‐Bセル;FIG35A‐Bセル;FIG36A‐Bセル;FIG37A‐Bセル;FIG38A‐Bセル;FIG39A‐Bセル;FIG40A‐Bセル;FIG41A‐Bセル;FIG42A‐Bセル;FIG43A‐Bセル;FIG44A‐Bセル;FIG45セル;FIG46セル;FIG47セル;FIG48セル;FIG49セル;FIG50セル;FIG51セル;FIG52セル;FIG53セル;FIG54セル;FIG55セル;FIG56セル;FIG57セル;FIG58セル;FIG59セル;FIG60セル;FIG61セル;FIG62セル;FIG63セル;FIG64セル;FIG65セル;FIG66セル;FIG67セル;FIG68セル;FIG69セル;FIG70セル;FIG71セル;FIG72セル;FIG73セル;FIG74セル;FIG75セル;FIG76セル;FIG77セル;FIG78セル;FIG79セル;FIG80セル;FIG81セル;FIG82セル;FIG83A‐Bセル;FIG84セル;及びFIG85セルからなるセットから選択された、少なくともある選択された個数(例えば3個、4個、5個、6個、7個等)の別個の機能性セルと、(ii)少なくとも1つ(又は2つ、3つ、4つ等)の別個のタイプを含み、かつ:FIG11セル;FIG12セル;FIG13セル;FIG14セル;FIG15セル;FIG16セル;FIG17セル;FIG18セル;FIG19セル;FIG20セル;FIG21セル;FIG22セル;FIG23セル;FIG24セル;FIG25セル;FIG26セル;FIG27セル;FIG28セル;FIG29セル;FIG30セル;FIG31セル;及びFIG32セルからなるセットから選択された、少なくとも10個の試験対応セルとを内包する、製品集積回路に関する。本発明の別の態様は、例えば上述のセットから選択された、少なくともある選択された個数の別個のセルをインスタンス化及び製作することによって、上記ICを作製するための方法に関する。   In general, but not intended to be limiting, a further aspect of the present invention is to provide (i) a FIG33A-B cell; FIG34A-B within a continuous logic region of at least 500 (or 1000, 1500, etc.) cells. FIG35A-B cell; FIG37A-B cell; FIG38A-B cell; FIG39A-B cell; FIG40A-B cell; FIG41A-B cell; FIG42A-B cell; FIG43A-B cell; FIG. 47 cells; FIG. 48 cells; FIG. 49 cells; FIG. 51 cells; FIG. 52 cells; FIG. 53 cells; FIG. 54 cells; FIG. 55 cells; FIG 1 cell; FIG62 cell; FIG63 cell; FIG64 cell; FIG65 cell; FIG66 cell; FIG67 cell; FIG68 cell; FIG70 cell; FIG71 cell; FIG72 cell; FIG78 cell; FIG79 cell; FIG80 cell; FIG81 cell; FIG82 cell; FIG83A-B cell; FIG84 cell; and FIG85 cell; and at least some selected number (eg, 3, 4, 5); Individual functional cells, and (ii) at least one (or two, three, four, etc.) distinct types and: FIG. 11 cells; FIG. 12 cells; FIG13 cell; FIG14 cell; FI 15 cells; FIG 16 cells; FIG 17 cells; FIG 18 cells; FIG 19 cells; FIG 20 cells; FIG 21 cells; FIG 22 cells; FIG 23 cells; FIG 25 cells; FIG 26 cells; FIG 27 cells; FIG 28 cells; FIG 29 cells; And a product integrated circuit containing at least 10 test-ready cells selected from a set of FIG32 cells. Another aspect of the invention relates to a method for fabricating the IC by instantiating and fabricating at least a selected number of distinct cells, for example selected from the set described above.

また、限定を意図したものではないが一般に、本発明の更なる態様は、少なくとも200個(又は500個、1000個等)の手段の連続論理領域内に、(i)FIG33A‐B手段;FIG34A‐B手段;FIG35A‐B手段;FIG36A‐B手段;FIG37A‐B手段;FIG38A‐B手段;FIG39A‐B手段;FIG40A‐B手段;FIG41A‐B手段;FIG42A‐B手段;FIG43A‐B手段;FIG44A‐B手段;FIG45手段;FIG46手段;FIG47手段;FIG48手段;FIG49手段;FIG50手段;FIG51手段;FIG52手段;FIG53手段;FIG54手段;FIG55手段;FIG56手段;FIG57手段;FIG58手段;FIG59手段;FIG60手段;FIG61手段;FIG62手段;FIG63手段;FIG64手段;FIG65手段;FIG66手段;FIG67手段;FIG68手段;FIG69手段;FIG70手段;FIG71手段;FIG72手段;FIG73手段;FIG74手段;FIG75手段;FIG76手段;FIG77手段;FIG78手段;FIG79手段;FIG80手段;FIG81手段;FIG82手段;FIG83A‐B手段;FIG84手段;及びFIG85手段からなるセットから選択された、少なくともある選択された個数(2個、3個、4個、5個等)の別個の「手段」(即ち対応する手段又は米国特許法第112条第6項による「その等価物」)と、(ii)少なくとも1つ(又は2つ、3つ、4つ等)の別個のタイプを含み、かつ:FIG11手段;FIG12手段;FIG13手段;FIG14手段;FIG15手段;FIG16手段;FIG17手段;FIG18手段;FIG19手段;FIG20手段;FIG21手段;FIG22手段;FIG23手段;FIG24手段;FIG25手段;FIG26手段;FIG27手段;FIG28手段;FIG29手段;FIG30手段;FIG31手段;及びFIG32手段からなるセットから選択された、少なくとも10個の試験対応「手段」とを内包する、製品集積回路に関する。本発明の別の態様は、例えば上述のセットから選択された、少なくともある選択された個数の別個の手段をインスタンス化及び製作することによって、上記ICを作製するための方法に関する。本発明の更なる態様は、少なくともある選択された個数(1個、2個、3個、4個)の上で定義したタイプのICと、1つ又は複数の充電式電源といった他の任意の構成部品とを含む、電子システム(据え置き型又は携帯型)に関する。また、本発明のまた更なる態様は、例えば少なくともある選択された個数の、上で言及した「セル」及び/又は「手段」をインスタンス化することによって、上記ICを作製するための方法に関する。   Also, although not intended to be limiting, in general, further aspects of the present invention include (i) FIG33A-B means; FIG34A within at least 200 (or 500, 1000, etc.) continuous logic regions. FIG. 35A-B means; FIG. 37A-B means; FIG. 38A-B means; FIG. 39A-B means; FIG. 40A-B means; FIG. 41A-B means; FIG. 42A-B means; FIG. 47 means; FIG. 48 means; FIG. 49 means; FIG. 51 means; FIG. 52 means; FIG. 53 means; FIG. 54 means; FIG. 55 means; FIG. Means: FI FIG. 61 means; FIG. 62 means; FIG. 63 means; FIG. 65 means; FIG. 67 means; FIG. 67 means; FIG. 69 means; FIG. 70 means; FIG78 means; FIG79 means; FIG80 means; FIG81 means; FIG82 means; FIG83A-B means; FIG84 means; and at least some selected number (two, three, four) selected from the set consisting of FIG85 means; 5) etc.) separate “means” (ie corresponding means or “its equivalent” according to 35 USC 112, paragraph 6), and (ii) at least one (or two, three, four, And so on) and includes: FIG. 11 hand FIG14 means; FIG14 means; FIG15 means; FIG16 means; FIG17 means; FIG18 means; FIG19 means; FIG21 means; FIG22 means; FIG23 means; FIG25 means; FIG26 means; Means; FIG. 29 means; FIG. 30 means; FIG. 31 means; and a product integrated circuit comprising at least 10 test-compatible “means” selected from the set consisting of FIG. Another aspect of the invention relates to a method for fabricating the IC by instantiating and fabricating at least a selected number of distinct means, for example selected from the set described above. A further aspect of the present invention is to provide an IC of the type defined above at least some selected number (1, 2, 3, 4) and any other optional such as one or more rechargeable power supplies. The present invention relates to an electronic system (stationary type or portable type) including components. A still further aspect of the invention also relates to a method for making said IC, for example by instantiating at least a selected number of the “cells” and / or “means” mentioned above.

例示的な論理及び試験対応セル(図11〜85)は、テーパードデバイスの使用を回避することによって、このようなデバイスに関連するパラメトリック変動性の問題及び機能的歩留まり損失の問題を回避しているが、当業者であれば、これらのセルの同等の代替バージョンがテーパードデバイスを採用してよいこと、及び上記代替的なテーパードバージョンが本発明の範囲内であることを、容易に理解するであろう。   The exemplary logic and test capable cells (FIGS. 11-85) avoid the parametric variability and functional yield loss issues associated with such devices by avoiding the use of tapered devices. However, those skilled in the art will readily understand that equivalent alternative versions of these cells may employ tapered devices and that the alternative tapered versions are within the scope of the present invention. Let's go.

本発明の別の態様は、荷電粒子カラム(電子又はイオン)を用いたツールの使用に関し、上記ツールの主要な機能は、半導体ウェハの表面上の欠陥を発見すること(即ち点検器として機能すること)である。(本説明は用語「eビーム(e−beam)」を使用するが、本説明は全ての荷電ビームに当てはまることを理解されたい。)   Another aspect of the invention relates to the use of tools with charged particle columns (electrons or ions), the main function of which is to find defects on the surface of the semiconductor wafer (i.e. function as an inspector). That is). (This description uses the term “e-beam”, but it should be understood that this description applies to all charged beams.)

本発明の一態様に従い、本発明者らは、ウェハ表面上のピクセルをサンプリングするVC点検器を説明する。この走査方法は、以前に設計されたあらゆる点検器とは原理的に異なる。一実施形態では、ピクセルは、特定の指定されたX−Y座標を有し、そのピクセル値(即ち電子ビーム信号)を用いて、欠陥が存在しているかいないかが決定される。これは、従来技術の典型的な2D点検ではなく、0D点検と考えることができる。   In accordance with one aspect of the present invention, we describe a VC checker that samples pixels on the wafer surface. This scanning method differs in principle from any previously designed checker. In one embodiment, the pixel has certain specified XY coordinates, and its pixel value (ie, the electron beam signal) is used to determine if a defect is present. This can be thought of as a 0D inspection, rather than a typical 2D inspection of the prior art.

一実施形態では、上記ピクセルは、電圧コントラスト欠陥を発見する目的のために明確に形成された、電子試験構造体内の「パッド(pad)」に対応する。ビームは上記パッドを、指定された時間に亘って照明する。各試験構造体は、1つ又は複数のパッドを有してよい(点検器はパッド1つあたり1ピクセルを読み出す)。上記試験パッドは、パターンが主に「試験チップ(test chip)」として設計されている半導体ウェハ上に存在してよく、又は「製品ウェハ(product wafer)」に埋め込まれてもよい。   In one embodiment, the pixels correspond to “pads” in the electronic test structure that are specifically formed for the purpose of finding voltage contrast defects. The beam illuminates the pad for a specified time. Each test structure may have one or more pads (inspector reads one pixel per pad). The test pad may be on a semiconductor wafer whose pattern is primarily designed as a “test chip” or may be embedded in a “product wafer”.

一実施形態では、各ピクセルは、半導体製品レイアウトのある特定の具体的位置に対応する。製品上のこれらの位置における信号異常が、1つ又は複数の特定のタイプの欠陥の指標となるため、これらのピクセルが選択される。   In one embodiment, each pixel corresponds to a specific specific location in the semiconductor product layout. These pixels are selected because signal anomalies at these locations on the product are indicative of one or more specific types of defects.

一実施形態では、ステージは、「ステップ・アンド・スキャン(step and scan)」点検と同様に静止状態で保持される。ある所与の視野に対応するピクセル値が感知されると、上記ステージは、次のピクセルのセットを読み出すことができる別の位置へと移動する。   In one embodiment, the stage is held stationary, similar to a “step and scan” inspection. When a pixel value corresponding to a given field of view is sensed, the stage moves to another location where the next set of pixels can be read.

一実施形態では、ステージは、ピクセルの走査中に移動し、これに従ってeビームを屈折させてステージの移動を補償することによって、点検が行われる。   In one embodiment, the stage is inspected by moving during pixel scanning and refracting the e-beam accordingly to compensate for stage movement.

一実施形態では、各位置におけるピクセル読み出しの期間は、各ピクセルに関して動的であり、即ち各点において点検されている試験構造体又は製品回路に応じて、ビームをその位置に保持する期間は好適に変化する。   In one embodiment, the period of pixel readout at each location is dynamic for each pixel, i.e., depending on the test structure or product circuit being inspected at each point, the duration of holding the beam at that location is preferred. To change.

一実施形態では、ウェハ上のビームのサイズは固定されておらず、読み出される各位置に対して動的に変化する。このタイプのビーム成形は、eビーム描画装置において使用されるものと同様である。構造体毎のスポットのサイズ設定により、ビームを各構造体に対して最適化できる。この最適化は典型的には、点検の信号対ノイズ比を最大化できる。本発明の別の態様は、試験パッドを有する電圧コントラスト被測定デバイス(voltage−contrast device−under−test:「VC DUT」)の設計に関し、完全な構造体を、極わずかなピクセル(10未満)のみで試験する。上記VC DUTは、そのサイズ及び形状が非円形入射eビームを受け入れながら同時にSNRを最大化する、試験パッドを有してよい。上記ビームはまた、正方形状のパッドに適合するように、同様に正方形状であってよい。上記パッドは、3を超える非対称アスペクト比(X/Y長さ比)を有するビーム(例えばX寸法100nm及びY寸法300〜600nmのDUTは、アスペクト比3:1、4:1、5:1を有することになる)を捕捉するよう構成してよい。   In one embodiment, the size of the beam on the wafer is not fixed and changes dynamically for each position that is read. This type of beam shaping is similar to that used in e-beam writing apparatus. By setting the spot size for each structure, the beam can be optimized for each structure. This optimization can typically maximize the signal-to-noise ratio of the inspection. Another aspect of the present invention relates to the design of a voltage-contrast device-under-test (“VC DUT”) having a test pad, with a complete structure and very few pixels (less than 10). Only to test. The VC DUT may have a test pad whose size and shape accepts a non-circular incident e-beam while simultaneously maximizing SNR. The beam may also be square as well to fit a square pad. The pad has a beam with an asymmetric aspect ratio (X / Y length ratio) greater than 3 (eg, a DUT with an X dimension of 100 nm and a Y dimension of 300-600 nm has an aspect ratio of 3: 1, 4: 1, 5: 1. May be configured to capture).

本発明のこれらの及びその他の態様、特徴及び利点を、以下の一連の図面において例示する。   These and other aspects, features and advantages of the present invention are illustrated in the following series of drawings.

図1は、標準セルレイアウトの例示的セクションの概念図であり、上記レイアウトは、複数の行に配設された論理セル(L)、フィラーセル(F)及びタップセル(T)と、上記列の間の配線領域と、近傍のデキャップセル(dC)とを含む。FIG. 1 is a conceptual diagram of an exemplary section of a standard cell layout, which includes logic cells (L), filler cells (F) and tap cells (T) arranged in a plurality of rows, and the above columns. And a neighboring decap cell (dC). 図2は、第1の層内にダミーフィル領域が示されている、図1と同一のレイアウトを示す。FIG. 2 shows the same layout as FIG. 1 with the dummy fill region shown in the first layer. 図3は、第2の層内に1つ又は複数のダミーフィル領域が示されている、図1、2と同一のレイアウトを示す。FIG. 3 shows the same layout as in FIGS. 1 and 2, with one or more dummy fill regions shown in the second layer. 図4は、本発明による例示的なレイアウトを示し、上記レイアウトでは、図3のフィラーセル、タップセル、デキャップセル及びダミーフィル領域が、自己完結型試験構造体に置換されている。FIG. 4 shows an exemplary layout according to the present invention in which the filler cell, tap cell, decap cell, and dummy fill region of FIG. 3 are replaced with self-contained test structures. 図5は、(本発明に従って使用するための)標準セルレイアウトのある好ましい形態の概念図である。FIG. 5 is a conceptual diagram of one preferred form of a standard cell layout (for use in accordance with the present invention). 図6は、本発明による例示的なレイアウトを示し、上記レイアウトでは、図5のフィラーセル、デキャップセル、タップセル及びダミーフィル領域が、自己完結型試験構造体に置換されている。FIG. 6 shows an exemplary layout according to the present invention, in which the filler cell, decap cell, tap cell and dummy fill region of FIG. 5 are replaced with self-contained test structures. 図7は、本発明の特定の実施形態による、日和見的試験構造体挿入のための例示的プロセスフローを示す。FIG. 7 illustrates an exemplary process flow for opportunistic test structure insertion, according to certain embodiments of the invention. 図8は、(図7又は10によって)上記日和見的に挿入された試験構造体を利用して有用な結果を生成するための、例示的プロセスフローを示す。FIG. 8 shows an exemplary process flow for generating useful results utilizing the opportunistically inserted test structure (according to FIG. 7 or 10). 図9は、例示的なウェハ又はダイの一部分の概念図であり、これは、日和見的に挿入された試験パッド及び/又は構造体が、より迅速なeビーム走査を可能とする1つ若しくは複数の空トラック及び/又は1つ若しくは複数のスキップ領域を生成するように配設される様を示す。FIG. 9 is a conceptual diagram of a portion of an exemplary wafer or die, which may include one or more opportunistically inserted test pads and / or structures that allow for faster e-beam scanning. FIG. 6 illustrates the arrangement of the empty track and / or one or more skip regions. 図10は、本発明の特定の実施形態による、日和見的試験構造体挿入のための代替的な例示的プロセスフローを示す。FIG. 10 illustrates an alternative exemplary process flow for opportunistic test structure insertion, according to certain embodiments of the invention. 図11は、第1の例示的な試験対応タップセルを示す。FIG. 11 shows a first exemplary test capable tap cell. 図12は、第1の例示的な試験対応フィラーセルを示す。FIG. 12 shows a first exemplary test capable filler cell. 図13は、別の例示的な試験対応フィラーセルを示す。FIG. 13 shows another exemplary test capable filler cell. 図14は、別の例示的な試験対応タップセルを示す。FIG. 14 shows another exemplary test-enabled tap cell. 図15は、別の例示的な試験対応フィラーセルを示す。FIG. 15 shows another exemplary test capable filler cell. 図16は、別の例示的な試験対応タップセルを示す。FIG. 16 shows another exemplary test-enabled tap cell. 図17は、別の例示的な試験対応フィラーセルを示す。FIG. 17 shows another exemplary test capable filler cell. 図18は、別の例示的な試験対応フィラーセルを示す。FIG. 18 shows another exemplary test capable filler cell. 図19は、別の例示的な試験対応タップセルを示す。FIG. 19 shows another exemplary test-enabled tap cell. 図20は、別の例示的な試験対応フィラーセルを示す。FIG. 20 shows another exemplary test capable filler cell. 図21は、別の例示的な試験対応フィラーセルを示す。FIG. 21 shows another exemplary test capable filler cell. 図22は、別の例示的な試験対応フィラーセルを示す。FIG. 22 shows another exemplary test capable filler cell. 図23は、別の例示的な試験対応タップセルを示す。FIG. 23 illustrates another exemplary test-enabled tap cell. 図24は、別の例示的な試験対応フィラーセルを示す。FIG. 24 shows another exemplary test capable filler cell. 図25は、別の例示的な試験対応タップセルを示す。FIG. 25 shows another exemplary test-enabled tap cell. 図26は、別の例示的な試験対応フィラーセルを示す。FIG. 26 shows another exemplary test capable filler cell. 図27は、別の例示的な試験対応タップセルを示す。FIG. 27 shows another exemplary test-enabled tap cell. 図28は、別の例示的な試験対応フィラーセルを示す。FIG. 28 shows another exemplary test capable filler cell. 図29は、別の例示的な試験対応タップセルを示す。FIG. 29 shows another exemplary test-enabled tap cell. 図30は、別の例示的な試験対応タップセルを示す。FIG. 30 shows another exemplary test-enabled tap cell. 図31は、別の例示的な試験対応フィラーセルを示す。FIG. 31 shows another exemplary test capable filler cell. 図32は、別の例示的な試験対応フィラーセルを示す。FIG. 32 shows another exemplary test capable filler cell. 図33A〜85は、例示的な標準セルライブラリからの例示的なセルを示す。図33A、Bはそれぞれ、第1の例示的な標準セルの当接している左側及び右側部分を示す。Figures 33A-85 show exemplary cells from an exemplary standard cell library. FIGS. 33A and 33B show the abutting left and right portions of the first exemplary standard cell, respectively. 図34A、Bはそれぞれ、別の例示的な標準セルの当接している左側及び右側部分を示す。FIGS. 34A and B each show the abutting left and right portions of another exemplary standard cell. 図35A、Bはそれぞれ、別の例示的な標準セルの当接している左側及び右側部分を示す。FIGS. 35A and B each show the abutting left and right portions of another exemplary standard cell. 図36A、Bはそれぞれ、別の例示的な標準セルの当接している左側及び右側部分を示す。FIGS. 36A and B show the abutting left and right portions of another exemplary standard cell, respectively. 図37A、Bはそれぞれ、別の例示的な標準セルの当接している左側及び右側部分を示す。FIGS. 37A and B show the abutting left and right portions of another exemplary standard cell, respectively. 図38A、Bはそれぞれ、別の例示的な標準セルの当接している左側及び右側部分を示す。FIGS. 38A and B show the abutting left and right portions of another exemplary standard cell, respectively. 図39A、Bはそれぞれ、別の例示的な標準セルの当接している左側及び右側部分を示す。FIGS. 39A and B each show the abutting left and right portions of another exemplary standard cell. 図40A、Bはそれぞれ、別の例示的な標準セルの当接している左側及び右側部分を示す。40A and B show the abutting left and right portions of another exemplary standard cell, respectively. 図41A、Bはそれぞれ、別の例示的な標準セルの当接している左側及び右側部分を示す。41A and B show the abutting left and right portions of another exemplary standard cell, respectively. 図42A、Bはそれぞれ、別の例示的な標準セルの当接している左側及び右側部分を示す。42A and 42B show the abutting left and right portions, respectively, of another exemplary standard cell. 図43A、Bはそれぞれ、別の例示的な標準セルの当接している左側及び右側部分を示す。FIGS. 43A and B show the abutting left and right portions of another exemplary standard cell, respectively. 図44A、Bはそれぞれ、別の例示的な標準セルの当接している左側及び右側部分を示す。44A and 44B show the abutting left and right portions of another exemplary standard cell, respectively. 図45は、別の例示的な標準セルを示す。FIG. 45 shows another exemplary standard cell. 図46は、別の例示的な標準セルを示す。FIG. 46 shows another exemplary standard cell. 図47は、別の例示的な標準セルを示す。FIG. 47 shows another exemplary standard cell. 図48は、別の例示的な標準セルを示す。FIG. 48 shows another exemplary standard cell. 図49は、別の例示的な標準セルを示す。FIG. 49 shows another exemplary standard cell. 図50は、別の例示的な標準セルを示す。FIG. 50 shows another exemplary standard cell. 図51は、別の例示的な標準セルを示す。FIG. 51 shows another exemplary standard cell. 図52は、別の例示的な標準セルを示す。FIG. 52 shows another exemplary standard cell. 図53は、別の例示的な標準セルを示す。FIG. 53 shows another exemplary standard cell. 図54は、別の例示的な標準セルを示す。FIG. 54 shows another exemplary standard cell. 図55は、別の例示的な標準セルを示す。FIG. 55 shows another exemplary standard cell. 図56は、別の例示的な標準セルを示す。FIG. 56 shows another exemplary standard cell. 図57は、別の例示的な標準セルを示す。FIG. 57 shows another exemplary standard cell. 図58は、別の例示的な標準セルを示す。FIG. 58 shows another exemplary standard cell. 図59は、別の例示的な標準セルを示す。FIG. 59 shows another exemplary standard cell. 図60は、別の例示的な標準セルを示す。FIG. 60 shows another exemplary standard cell. 図61は、別の例示的な標準セルを示す。FIG. 61 shows another exemplary standard cell. 図62は、別の例示的な標準セルを示す。FIG. 62 shows another exemplary standard cell. 図63は、別の例示的な標準セルを示す。FIG. 63 shows another exemplary standard cell. 図64は、別の例示的な標準セルを示す。FIG. 64 shows another exemplary standard cell. 図65は、別の例示的な標準セルを示す。FIG. 65 shows another exemplary standard cell. 図66は、別の例示的な標準セルを示す。FIG. 66 shows another exemplary standard cell. 図67は、別の例示的な標準セルを示す。FIG. 67 shows another exemplary standard cell. 図68は、別の例示的な標準セルを示す。FIG. 68 shows another exemplary standard cell. 図69は、別の例示的な標準セルを示す。FIG. 69 shows another exemplary standard cell. 図70は、別の例示的な標準セルを示す。FIG. 70 shows another exemplary standard cell. 図71は、別の例示的な標準セルを示す。FIG. 71 shows another exemplary standard cell. 図72は、別の例示的な標準セルを示す。FIG. 72 shows another exemplary standard cell. 図73は、別の例示的な標準セルを示す。FIG. 73 shows another exemplary standard cell. 図74は、別の例示的な標準セルを示す。FIG. 74 shows another exemplary standard cell. 図75は、別の例示的な標準セルを示す。FIG. 75 shows another exemplary standard cell. 図76は、別の例示的な標準セルを示す。FIG. 76 shows another exemplary standard cell. 図77は、別の例示的な標準セルを示す。FIG. 77 shows another exemplary standard cell. 図78は、別の例示的な標準セルを示す。FIG. 78 shows another exemplary standard cell. 図79は、別の例示的な標準セルを示す。FIG. 79 shows another exemplary standard cell. 図80は、別の例示的な標準セルを示す。FIG. 80 shows another exemplary standard cell. 図81は、別の例示的な標準セルを示す。FIG. 81 shows another exemplary standard cell. 図82は、別の例示的な標準セルを示す。FIG. 82 shows another exemplary standard cell. 図83A、Bはそれぞれ、別の例示的な標準セルの当接している左側及び右側部分を示す。83A and B each show the abutting left and right portions of another exemplary standard cell. 図84は、別の例示的な標準セルを示す。FIG. 84 shows another exemplary standard cell. 図85は、別の例示的な標準セルを示す。FIG. 85 shows another exemplary standard cell. 図86は、従来技術の「ステップ・アンド・スキャン(step and scan)」及び「スウォージング(swathing)」技術を示す。FIG. 86 shows the prior art “step and scan” and “swathing” techniques. 図87は、従来技術のビーム走査/成形装置を示す。FIG. 87 shows a prior art beam scanning / shaping apparatus. 図88は、図87のカラムを用いて実現できるビーム形状の例を示す。FIG. 88 shows an example of a beam shape that can be realized using the column of FIG. 図89は、典型的には円形であり、複数の同一のダイに分割される、例示的な半導体ウェハを示し、更に、全ての試験構造体がダイのスクライブライン領域内に配置されている例示的ケースを示す。FIG. 89 shows an exemplary semiconductor wafer that is typically circular and divided into a plurality of identical dies, and further illustrates that all test structures are located within the scribe line region of the die. The case is shown. 図90は、パッドが一列にレイアウトされた一連の試験構造体を示し、電子ビームのスポットは、上記スポットに対するウェハの相対移動によってパッド全体を走査する。FIG. 90 shows a series of test structures in which the pads are laid out in a row, and the electron beam spot scans the entire pad by relative movement of the wafer relative to the spot. 図91は、パッドに送達される電子流を最大化するために、パッドのサイズ及び形状に適合するように非円形に成形された、電子ビームスポットを示す。FIG. 91 shows an electron beam spot shaped non-circular to fit the size and shape of the pad to maximize the electron flow delivered to the pad. 図92は、試験構造体に送達する必要がある荷電量に応じてサイズ設定されたパッド形状を示し、より多くの荷電を必要とする試験構造体は、ビームの走査方向に沿ってより長いパッドを有し、これにより、パッド上のビーム滞留時間を増大させる。FIG. 92 shows a pad shape sized according to the amount of charge that needs to be delivered to the test structure, where a test structure that requires more charge is a longer pad along the beam scanning direction. This increases the beam dwell time on the pad. 図93は、荷電させるパッドが存在しない長い区間が存在する場合にはビームが迅速に、ただし一定の速度で移動し、パッドが配置された領域においては、試験構造体のパッドにより多く荷電させることができるように、よりゆっくりと移動するシナリオを示す。FIG. 93 shows that when there is a long section where there is no pad to be charged, the beam moves quickly, but at a constant speed, and in the region where the pad is located, the pad of the test structure is charged more. Let's show a scenario of moving more slowly so that 図94は、パッドの両側にレイアウトされた試験構造体を示し、これにより、ウェハ上のビームの単回パスを用いて、より多くの試験構造体を走査できる。FIG. 94 shows a test structure laid out on both sides of the pad so that more test structures can be scanned using a single pass of the beam on the wafer. 図95は、パッドのレイアウトが半導体加工の設計ルールに適合するように、中実のパッドをより細いライン又は代替的形状に分割できる方法を示す。FIG. 95 illustrates how a solid pad can be divided into thinner lines or alternative shapes so that the pad layout conforms to semiconductor processing design rules. 図96は、本発明の特定の実施形態と共に使用するための、「正味グレー(net grey)」パッドを示す。FIG. 96 illustrates a “net gray” pad for use with certain embodiments of the present invention. 図97は、本発明の特定の態様/実施形態によるVC DUTの一実施形態の概念図である。FIG. 97 is a conceptual diagram of one embodiment of a VC DUT according to certain aspects / embodiments of the present invention. 図98は、本発明の特定の態様/実施形態によるVC DUTの別の実施形態の概念図である。FIG. 98 is a conceptual diagram of another embodiment of a VC DUT according to certain aspects / embodiments of the present invention. 図99は、本発明の特定の態様/実施形態によるVC DUTの別の実施形態の概念図である。FIG. 99 is a conceptual diagram of another embodiment of a VC DUT according to certain aspects / embodiments of the present invention.

図1は、標準セルレイアウトの例示的セクションの概念図であり、上記レイアウトは、複数の行に配設された論理セル(L)、タップセル(T)及びフィラーセル(F)と、上記列の間の配線チャネルと、近傍のデキャップセル(dC)とを含む。図示されているように、この例示的セクション内におけるデキャップ、タップ及びフィラーセルの全体的な分散は不規則であり、いずれの明確なパターン又は対称性に従うものではない。(当業者であれば、この図示が概念的なものであり、実際のレイアウトそのものを表すのではなく、本発明の原理を説明することのみを意図したものであることを容易に認識するであろう。)事実、当業者であれば、タップセルが典型的には1つのサイズであり、規則的な、又は略規則的な間隔で存在することを理解するであろう。同様に、当業者であれば、デキャップセルは、標準セル行内にフィットするようにサイズ設定でき、標準セル行内に配置でき、またそのようにサイズ設定及び配置されることが多いことも認識するであろう。   FIG. 1 is a conceptual diagram of an exemplary section of a standard cell layout, which includes logic cells (L), tap cells (T) and filler cells (F) arranged in a plurality of rows, and the above columns. And a neighboring decap cell (dC). As shown, the overall distribution of decaps, taps and filler cells within this exemplary section is irregular and does not follow any well-defined pattern or symmetry. (Those skilled in the art will readily recognize that this illustration is conceptual and does not represent the actual layout itself, but is only intended to illustrate the principles of the invention. In fact, those skilled in the art will appreciate that tap cells are typically one size and are present at regular or substantially regular intervals. Similarly, those skilled in the art will also recognize that decap cells can be sized to fit within standard cell rows, can be placed within standard cell rows, and are often sized and placed as such. Will.

図2は、図1と同一の従来技術のレイアウトを示すが、第1の層内にダミーフィル領域が示されている。これらのダミーフィル領域は斜線付き領域として示されており、また図示されているように、規則的な(例えば長方形の)又は不規則な形状であってよい。本発明に従って最も有用なダミーフィル領域は、典型的には第3の、及びそれより上の金属層(例えばM3、M4、M5、M6)上に存在するが、アクティブな1つ又は複数のポリ層といった、より下の金属層及び/若しくは以前の層、又は局所的相互接続上に存在してもよい。(ダミーフィル領域は典型的には、1つ又は少数の標準セルよりも面積がはるかに大きくなるため、図2のダミーフィル領域の図示が概念的なものであることは、当業者には理解されるであろう。)   FIG. 2 shows the same prior art layout as in FIG. 1, but with a dummy fill region in the first layer. These dummy fill regions are shown as shaded regions and may be regular (eg, rectangular) or irregular shapes as shown. The most useful dummy fill regions in accordance with the present invention are typically present on the third and higher metal layers (eg, M3, M4, M5, M6), but are active one or more poly layers. It may be present on lower metal layers and / or previous layers, such as layers, or on local interconnects. (Those skilled in the art will appreciate that the illustration of the dummy fill region of FIG. 2 is conceptual because the dummy fill region is typically much larger than one or a few standard cells. Will be done.)

図3は、図1、2と同一のレイアウトの概念図であるが、第2の層内に1つ又は複数のダミーフィル領域が示されている。この第2の層のダミーフィル領域は、鱗状パターンで示されている。   FIG. 3 is a conceptual diagram of the same layout as FIGS. 1 and 2, but shows one or more dummy fill regions in the second layer. This dummy fill area of the second layer is shown in a scale pattern.

図4は、図3のレイアウトをベースとした例示的なレイアウトの概念図であり、本発明の特定の態様を図示している。図4に例示されているように、フィラーセル(F)及びタップセル(T)は、試験構造体(TS4、TS5、TS6、TS7、TS8、TS9、TS10)に置換され、デキャップセル(dC)は試験対応デキャップセル(dC‐T)に置換され、ダミーフィル領域は試験構造体(TS1、TS2、TS3)に置換されている。   FIG. 4 is a conceptual diagram of an exemplary layout based on the layout of FIG. 3, illustrating certain aspects of the present invention. As illustrated in FIG. 4, the filler cell (F) and the tap cell (T) are replaced with the test structure (TS4, TS5, TS6, TS7, TS8, TS9, TS10), and the decap cell (dC). Is replaced with a test corresponding decap cell (dC-T), and the dummy fill region is replaced with test structures (TS1, TS2, TS3).

図5は、本発明に従って使用するために好適な標準セルレイアウトのある好ましい形態の概念図である。この図は、セルの複数の行が当接しており、配線領域がセル全体を覆っている、比較的現代的なスタイルを示す。図示されていないが、配線領域は規則的な形状である必要はなく、また行に対して平行な方向に配向される必要もない。   FIG. 5 is a conceptual diagram of one preferred form of a standard cell layout suitable for use in accordance with the present invention. This figure shows a relatively modern style in which multiple rows of cells abut and the wiring area covers the entire cell. Although not shown, the wiring region does not need to have a regular shape and does not need to be oriented in a direction parallel to the row.

図6は、図5のフィラーセル(F)、タップセル(T)、デキャップセル(dC)及びダミーフィル(斜線付き)領域が、自己完結型試験構造体(それぞれTS、dC‐T及び網掛け領域)に置換されている、本発明による例示的なレイアウトを示す。   FIG. 6 shows that the filler cell (F), tap cell (T), decap cell (dC) and dummy fill (shaded area) of FIG. 5 are self-contained test structures (TS, dC-T and shaded respectively). Fig. 2 shows an exemplary layout according to the present invention, replaced with (region).

当業者であれば、特定の試験構造体を本発明に従って日和見的にインスタンス化するために、多数の選択肢が存在することを認識するであろう。   One skilled in the art will recognize that there are many options for opportunistic instantiation of a particular test structure in accordance with the present invention.

本発明による製品ICは、マルチパターン形成構造体を含む、システマティック欠陥に最も影響される製品レイアウトパターンの、明視野及び/又はeビーム(若しくは他の荷電)によるインラインシステマティック欠陥点検のために適合された試験構造体を含む。上記試験構造体は好ましくは、カナリア構造体(即ちプロセスレイアウトの限界を探るために使用される、設計ルール未満の構造体)を含んでよい。   Product ICs according to the present invention are adapted for in-line systematic defect inspection by bright field and / or e-beam (or other charge) of product layout patterns most affected by systematic defects, including multi-patterned structures. Including test structures. The test structure may preferably include a canary structure (ie, a structure less than the design rule used to explore process layout limitations).

本発明による製品ICはまた、単一ライン状開口等の最も発生しやすい欠陥、及び最も発生しやすいビアホール開口位置に関する、製品様パターンの明視野及び/又はeビームツールによるインラインランダム欠陥点検のために適合された試験構造体(カナリア構造体を含む))も含んでよい。   Product ICs according to the present invention are also for in-line random defect inspection with bright field of product-like patterns and / or e-beam tools for the most likely defects such as single line openings and the most likely via hole opening locations Test structures adapted to (including canary structures)).

本発明による製品ICはまた、オーバレイ/ミスアライメント、ポリCD、MOL CD、ビアホール底部CD、金属CDといった製品固有パターン、及び高さ、誘電高さ等を抽出するための構造体といった、インライン計量のために適合された試験構造体も含んでよく、また(例えばオーバレイ、線状CD及びプロファイルに関して)電気的に並びに/又は走査電子顕微鏡によって試験可能であってよい。   Product ICs according to the present invention also provide inline metrology features such as overlay / misalignment, product specific patterns such as poly CD, MOL CD, via hole bottom CD, metal CD, and structures for extracting height, dielectric height, etc. Test structures adapted for this purpose may also be included and may be testable electrically (eg, with respect to overlay, linear CD and profile) and / or by scanning electron microscopy.

本発明による製品ICはまた、発生する確率が高いシステマティック故障のための、物理的故障分析(Physical Failure Analysis:PFA)構造体も含んでよく、上記PFAは、製品固有レイアウトパターン(カナリア構造体を含む)、及びプローブ探査のためのパッドを含んでよい。   The product IC according to the present invention may also include a physical failure analysis (PFA) structure for a systematic failure that has a high probability of occurring, the PFA including a product specific layout pattern (canary structure). And a pad for probe exploration.

また本発明による製品ICは、上述の、又はその他の有用な試験構造体のいずれの組み合わせも含んでよい。   Product ICs according to the present invention may also include any combination of the above or other useful test structures.

試験対応デキャップセルに関して、好ましい試験構造体は、単一線状開口点検のためのM1構造体である。   For test-capable decap cells, the preferred test structure is the M1 structure for single linear opening inspection.

本発明の特定の実施形態による試験構造体の設計の重要な目標は:(1)試験構造体は、アクティブな領域(即ち標準セル又は相互接続)の印刷性に影響を及ぼしてはならないこと;並びに/又は(2)試験構造体は、アクティブセル特性(印刷性及び電気的特徴)の典型でなければならないことである。   Important goals for the design of test structures according to certain embodiments of the invention are: (1) the test structure must not affect the printability of the active area (ie standard cells or interconnects); And / or (2) The test structure must be representative of active cell properties (printability and electrical characteristics).

以下に詳細に説明するように、図11〜32は、本発明の特定の実施形態における使用のために好適な、一連の例示的なVC DUTを示す。   As described in detail below, FIGS. 11-32 illustrate a series of exemplary VC DUTs suitable for use in certain embodiments of the invention.

第1の例示的な試験対応タップセルを示す図11を参照する。このセルは、E字型電圧コントラスト標的/パッドを内包し、また以下の故障モードを検出するためのeビーム(又は他の荷電粒子)インライン試験のために構成される:結合ビアホール構成(Merged Via configuration)の、近傍の金属/局所的相互接続に対する短絡。図示されている構成では、合格反応=フローティングしている金属=暗色のパッドであり、その一方で不合格反応=グランド接続された下層金属に対する短絡→グランド接続されたパッド=明色のパッドである。(eビーム点検を、フローティングしているポリゴンに関して暗色又は明色状態を生成するよう構成できることは、当業者には理解されるであろう。後者の構成が典型的には比較的安定しており、従って本開示の実施例に関してこの構成が想定されているが、本発明がいずれの構成においても有用であることは、当業者には理解されるであろう。)   Reference is made to FIG. 11 showing a first exemplary test-enabled tap cell. This cell contains an E-shaped voltage contrast target / pad and is configured for e-beam (or other charged particle) in-line testing to detect the following failure modes: Merged Via Configuration configuration) short circuit to nearby metal / local interconnects. In the configuration shown, a pass response = a floating metal = a dark pad, while a fail response = a short circuit to a ground-connected underlying metal → a ground-connected pad = a light pad. . (Those skilled in the art will appreciate that e-beam inspection can be configured to generate a dark or light state for a floating polygon. The latter configuration is typically relatively stable. Thus, although this configuration is envisioned with respect to the embodiments of the present disclosure, those skilled in the art will appreciate that the present invention is useful in any configuration.)

第1の例示的な試験対応フィラーセルを示す図12を参照する。このセルは、E字型電圧コントラスト標的/パッドを内包し、また以下の故障モードを検出するためのeビーム(又は他の荷電粒子)インライン試験のために構成される:結合ビアホール構成の、下層の金属に対する短絡。図示されている構成では、合格反応=フローティングしている金属=暗色のパッドであり、その一方で不合格反応=グランド接続された下層金属に対する短絡→グランド接続されたパッド=明色のパッドである。   Reference is made to FIG. 12, which shows a first exemplary test capable filler cell. This cell contains an E-shaped voltage contrast target / pad and is configured for e-beam (or other charged particle) in-line testing to detect the following failure modes: underlayer in coupled via hole configuration Short circuit against metal. In the configuration shown, a pass response = a floating metal = a dark pad, while a fail response = a short circuit to a ground-connected underlying metal → a ground-connected pad = a light pad. .

別の例示的な試験対応フィラーセルを示す図13を参照する。このセルは、E字型電圧コントラスト標的/パッドを内包し、また以下の故障モードを検出するためのeビーム(又は他の荷電粒子)インライン試験のために構成される:結合ビアホール構成の、下層の金属に対する短絡。図示されている構成では、合格反応=フローティングしている金属=暗色のパッドであり、その一方で不合格反応=グランド接続された下層金属に対する短絡→グランド接続されたパッド=明色のパッドである。   Reference is made to FIG. 13, which shows another exemplary test capable filler cell. This cell contains an E-shaped voltage contrast target / pad and is configured for e-beam (or other charged particle) in-line testing to detect the following failure modes: underlayer in coupled via hole configuration Short circuit against metal. In the configuration shown, a pass response = a floating metal = a dark pad, while a fail response = a short circuit to a ground-connected underlying metal → a ground-connected pad = a light pad. .

別の例示的な試験対応タップセルを示す図14を参照する。このセルは、E字型電圧コントラスト標的/パッドを内包し、また以下の故障モードを検出するためのeビーム(又は他の荷電粒子)インライン試験のために構成される:結合ビアホール構成の開口。図示されている構成では、合格反応=グランド接続された金属=明色のパッドであり、その一方で不合格反応=グランド接続された下層金属への接続の失敗→フローティングしているパッド=暗色のパッドである。   Reference is made to FIG. 14 showing another exemplary test-enabled tap cell. This cell contains an E-shaped voltage contrast target / pad and is configured for e-beam (or other charged particle) in-line testing to detect the following failure modes: an opening in a combined via hole configuration. In the configuration shown, the pass response = ground connected metal = light pad, while the fail response = failed connection to ground connected lower metal → floating pad = dark color. It is a pad.

別の例示的な試験対応フィラーセルを示す図15を参照する。このセルは、E字型電圧コントラスト標的/パッドを内包し、また以下の故障モードを検出するためのeビーム(又は他の荷電粒子)インライン試験のために構成される:結合ビアホール構成の開口。図示されている構成では、合格反応=グランド接続された金属=明色のパッドであり、その一方で不合格反応=グランド接続された下層金属への接続の失敗→フローティングしているパッド=暗色のパッドである。   Reference is made to FIG. 15, which shows another exemplary test capable filler cell. This cell contains an E-shaped voltage contrast target / pad and is configured for e-beam (or other charged particle) in-line testing to detect the following failure modes: an opening in a combined via hole configuration. In the configuration shown, the pass response = ground connected metal = light pad, while the fail response = failed connection to ground connected lower metal → floating pad = dark color. It is a pad.

別の例示的な試験対応タップセルを示す図16を参照する。このセルは、E字型電圧コントラスト標的/パッドを内包し、また以下の故障モードを検出するためのeビーム(又は他の荷電粒子)インライン試験のために構成される:ビアホールの、近傍の金属/局所的相互接続に対する短絡。図示されている構成では、合格反応=フローティングしている金属=暗色のパッドであり、その一方で不合格反応=グランド接続された下層金属に対する短絡→グランド接続されたパッド=明色のパッドである。   Reference is made to FIG. 16 showing another exemplary test-enabled tap cell. This cell contains an E-shaped voltage contrast target / pad and is configured for e-beam (or other charged particle) in-line testing to detect the following failure modes: metal near the via hole / Short circuit to local interconnect. In the configuration shown, a pass response = a floating metal = a dark pad, while a fail response = a short circuit to a ground-connected underlying metal → a ground-connected pad = a light pad. .

別の例示的な試験対応フィラーセルを示す図17を参照する。このセルは、E字型電圧コントラスト標的/パッドを内包し、また以下の故障モードを検出するためのeビーム(又は他の荷電粒子)インライン試験のために構成される:ビアホールの、下層の金属に対する短絡。図示されている構成では、合格反応=フローティングしている金属=暗色のパッドであり、その一方で不合格反応=グランド接続された下層金属に対する短絡→グランド接続されたパッド=明色のパッドである。   Reference is made to FIG. 17 showing another exemplary test capable filler cell. This cell contains an E-shaped voltage contrast target / pad and is configured for e-beam (or other charged particle) in-line testing to detect the following failure modes: metal under the via hole Against short circuit. In the configuration shown, a pass response = a floating metal = a dark pad, while a fail response = a short circuit to a ground-connected underlying metal → a ground-connected pad = a light pad. .

別の例示的な試験対応フィラーセルを示す図18を参照する。このセルは、E字型電圧コントラスト標的/パッドを内包し、また以下の故障モードを検出するためのeビーム(又は他の荷電粒子)インライン試験のために構成される:ビアホールの、下層の金属に対する短絡。図示されている構成では、合格反応=フローティングしている金属=暗色のパッドであり、その一方で不合格反応=グランド接続された下層金属に対する短絡→グランド接続されたパッド=明色のパッドである。   Reference is made to FIG. 18 showing another exemplary test-capable filler cell. This cell contains an E-shaped voltage contrast target / pad and is configured for e-beam (or other charged particle) in-line testing to detect the following failure modes: metal under the via hole Against short circuit. In the configuration shown, a pass response = a floating metal = a dark pad, while a fail response = a short circuit to a ground-connected underlying metal → a ground-connected pad = a light pad. .

別の例示的な試験対応タップセルを示す図19を参照する。このセルは、E字型電圧コントラスト標的/パッドを内包し、また以下の故障モードを検出するためのeビーム(又は他の荷電粒子)インライン試験のために構成される:下層に対する接点短絡。図示されている構成では、合格反応=フローティングしている金属=暗色のパッドであり、その一方で不合格反応=グランド接続された下層→グランド接続されたパッド=明色のパッドである。   Reference is made to FIG. 19 showing another exemplary test-enabled tap cell. This cell contains an E-shaped voltage contrast target / pad and is configured for e-beam (or other charged particle) in-line testing to detect the following failure modes: contact short to the bottom layer. In the configuration shown, pass response = floating metal = dark pad, while fail response = ground connected lower layer → ground connected pad = light pad.

別の例示的な試験対応フィラーセルを示す図20を参照する。このセルは、E字型電圧コントラスト標的/パッドを内包し、また以下の故障モードを検出するためのeビーム(又は他の荷電粒子)インライン試験のために構成される:下層に対する接点短絡。図示されている構成では、合格反応=フローティングしている金属=暗色のパッドであり、その一方で不合格反応=グランド接続された下層→グランド接続されたパッド=明色のパッドである。   Reference is made to FIG. 20 showing another exemplary test-capable filler cell. This cell contains an E-shaped voltage contrast target / pad and is configured for e-beam (or other charged particle) in-line testing to detect the following failure modes: contact short to the bottom layer. In the configuration shown, pass response = floating metal = dark pad, while fail response = ground connected lower layer → ground connected pad = light pad.

別の例示的な試験対応フィラーセルを示す図21を参照する。このセルは、E字型電圧コントラスト標的/パッドを内包し、また以下の故障モードを検出するためのeビーム(又は他の荷電粒子)インライン試験のために構成される:下層に対する接点短絡。図示されている構成では、合格反応=フローティングしている金属=暗色のパッドであり、その一方で不合格反応=グランド接続された下層→グランド接続されたパッド=明色のパッドである。   Reference is made to FIG. 21, which shows another exemplary test capable filler cell. This cell contains an E-shaped voltage contrast target / pad and is configured for e-beam (or other charged particle) in-line testing to detect the following failure modes: contact short to the bottom layer. In the configuration shown, pass response = floating metal = dark pad, while fail response = ground connected lower layer → ground connected pad = light pad.

別の例示的な試験対応フィラーセルを示す図22を参照する。このセルは、E字型電圧コントラスト標的/パッドを内包し、また以下の故障モードを検出するためのeビーム(又は他の荷電粒子)インライン試験のために構成される:下層に対する接点短絡。図示されている構成では、合格反応=フローティングしている金属=暗色のパッドであり、その一方で不合格反応=グランド接続された下層→グランド接続されたパッド=明色のパッドである。   Reference is made to FIG. 22 illustrating another exemplary test-capable filler cell. This cell contains an E-shaped voltage contrast target / pad and is configured for e-beam (or other charged particle) in-line testing to detect the following failure modes: contact short to the bottom layer. In the configuration shown, pass response = floating metal = dark pad, while fail response = ground connected lower layer → ground connected pad = light pad.

別の例示的な試験対応タップセルを示す図23を参照する。このセルは、E字型電圧コントラスト標的/パッドを内包し、また以下の故障モードを検出するためのeビーム(又は他の荷電粒子)インライン試験のために構成される:同色金属端部の金属側部に対する短絡。図示されている構成では、合格反応=フローティングしている金属=暗色のパッドであり、その一方で不合格反応=グランド接続された金属層→グランド接続されたパッド=明色のパッドである。   Reference is made to FIG. 23 showing another exemplary test-enabled tap cell. This cell contains an E-shaped voltage contrast target / pad and is configured for e-beam (or other charged particle) in-line testing to detect the following failure modes: metal of the same color metal end Short circuit to the side. In the configuration shown, pass response = floating metal = dark pad, while fail response = ground connected metal layer → ground connected pad = light pad.

別の例示的な試験対応フィラーセルを示す図24を参照する。このセルは、E字型電圧コントラスト標的/パッドを内包し、また以下の故障モードを検出するためのeビーム(又は他の荷電粒子)インライン試験のために構成される:同色金属端部の金属側部に対する短絡。図示されている構成では、合格反応=フローティングしている金属=暗色のパッドであり、その一方で不合格反応=グランド接続された金属層→グランド接続されたパッド=明色のパッドである。   Reference is made to FIG. 24 showing another exemplary test capable filler cell. This cell contains an E-shaped voltage contrast target / pad and is configured for e-beam (or other charged particle) in-line testing to detect the following failure modes: metal of the same color metal end Short circuit to the side. In the configuration shown, pass response = floating metal = dark pad, while fail response = ground connected metal layer → ground connected pad = light pad.

別の例示的な試験対応タップセルを示す図25を参照する。このセルは、E字型電圧コントラスト標的/パッドを内包し、また以下の故障モードを検出するためのeビーム(又は他の荷電粒子)インライン試験のために構成される:金属の開口。図示されている構成では、合格反応=グランド接続された金属=明色のパッドであり、その一方で不合格反応=グランド接続された金属への接続の失敗→フローティングしているパッド=暗色のパッドである。   Reference is made to FIG. 25 showing another exemplary test-enabled tap cell. This cell contains an E-shaped voltage contrast target / pad and is configured for e-beam (or other charged particle) in-line testing to detect the following failure modes: metal openings. In the configuration shown, a pass response = ground connected metal = light pad, while a fail response = failed connection to ground connected metal → floating pad = dark pad. It is.

別の例示的な試験対応フィラーセルを示す図26を参照する。このセルは、E字型電圧コントラスト標的/パッドを内包し、また以下の故障モードを検出するためのeビーム(又は他の荷電粒子)インライン試験のために構成される:金属の開口。図示されている構成では、合格反応=グランド接続された金属=明色のパッドであり、その一方で不合格反応=グランド接続された金属への接続の失敗→フローティングしているパッド=暗色のパッドである。   Reference is made to FIG. 26 showing another exemplary test-capable filler cell. This cell contains an E-shaped voltage contrast target / pad and is configured for e-beam (or other charged particle) in-line testing to detect the following failure modes: metal openings. In the configuration shown, a pass response = ground connected metal = light pad, while a fail response = failed connection to ground connected metal → floating pad = dark pad. It is.

別の例示的な試験対応タップセルを示す図27を参照する。このセルは、E字型電圧コントラスト標的/パッドを内包し、また以下の故障モードを検出するためのeビーム(又は他の荷電粒子)インライン試験のために構成される:金属コーナーに対する金属の短絡。図示されている構成では、合格反応=フローティングしている金属=暗色のパッドであり、その一方で不合格反応=グランド接続された金属層に対する短絡→グランド接続されたパッド=明色のパッドである。   Reference is made to FIG. 27 showing another exemplary test-enabled tap cell. This cell contains an E-shaped voltage contrast target / pad and is configured for e-beam (or other charged particle) in-line testing to detect the following failure modes: metal short to metal corner . In the configuration shown, a pass response = a floating metal = a dark pad, while a fail response = a short to a grounded metal layer → a grounded pad = a light pad. .

別の例示的な試験対応フィラーセルを示す図28を参照する。このセルは、E字型電圧コントラスト標的/パッドを内包し、また以下の故障モードを検出するためのeビーム(又は他の荷電粒子)インライン試験のために構成される:金属コーナーに対する金属の短絡。図示されている構成では、合格反応=フローティングしている金属=暗色のパッドであり、その一方で不合格反応=グランド接続された金属層に対する短絡→グランド接続されたパッド=明色のパッドである。   Reference is made to FIG. 28 showing another exemplary test capable filler cell. This cell contains an E-shaped voltage contrast target / pad and is configured for e-beam (or other charged particle) in-line testing to detect the following failure modes: metal short to metal corner . In the configuration shown, a pass response = a floating metal = a dark pad, while a fail response = a short to a grounded metal layer → a grounded pad = a light pad. .

別の例示的な試験対応タップセルを示す図29を参照する。このセルは、E字型電圧コントラスト標的/パッドを内包し、また以下の故障モードを検出するためのeビーム(又は他の荷電粒子)インライン試験のために構成される:同色の接点端部の接点に対する短絡。図示されている構成では、合格反応=フローティングしている接点=暗色のパッドであり、その一方で不合格反応=グランド接続された接触層に対する短絡→グランド接続されたパッド=明色のパッドである。   Reference is made to FIG. 29 showing another exemplary test-enabled tap cell. This cell contains an E-shaped voltage contrast target / pad and is configured for e-beam (or other charged particle) in-line testing to detect the following failure modes: Short circuit to contact. In the configuration shown, a pass response = a floating contact = a dark pad, while a fail response = a short to a grounded contact layer → a grounded pad = a light pad. .

別の例示的な試験対応タップセルを示す図30を参照する。このセルは、E字型電圧コントラスト標的/パッドを内包し、また以下の故障モードを検出するためのeビーム(又は他の荷電粒子)インライン試験のために構成される:異なる色の接点の接点端部に対する短絡。図示されている構成では、合格反応=フローティングしている接点=暗色のパッドであり、その一方で不合格反応=グランド接続された接触層に対する短絡→グランド接続されたパッド=明色のパッドである。   Reference is made to FIG. 30 showing another exemplary test-enabled tap cell. This cell contains an E-shaped voltage contrast target / pad and is configured for e-beam (or other charged particle) in-line testing to detect the following failure modes: contacts of different colored contacts Short circuit to the end. In the configuration shown, a pass response = a floating contact = a dark pad, while a fail response = a short to a grounded contact layer → a grounded pad = a light pad. .

別の例示的な試験対応フィラーセルを示す図31を参照する。このセルは、E字型電圧コントラスト標的/パッドを内包し、また以下の故障モードを検出するためのeビーム(又は他の荷電粒子)インライン試験のために構成される:接点の接点に対する短絡。図示されている構成では、合格反応=フローティングしている接点=暗色のパッドであり、その一方で不合格反応=グランド接続された接触層に対する短絡→グランド接続されたパッド=明色のパッドである。   Reference is made to FIG. 31 showing another exemplary test capable filler cell. This cell contains an E-shaped voltage contrast target / pad and is configured for e-beam (or other charged particle) in-line testing to detect the following failure modes: contacts short to contact. In the configuration shown, a pass response = a floating contact = a dark pad, while a fail response = a short to a grounded contact layer → a grounded pad = a light pad. .

別の例示的な試験対応フィラーセルを示す図32を参照する。このセルは、E字型電圧コントラスト標的/パッドを内包し、また以下の故障モードを検出するためのeビーム(又は他の荷電粒子)インライン試験のために構成される:接点の接点に対する短絡。図示されている構成では、合格反応=フローティングしている接点=暗色のパッドであり、その一方で不合格反応=グランド接続された接触層に対する短絡→グランド接続されたパッド=明色のパッドである。   Reference is made to FIG. 32 showing another exemplary test-capable filler cell. This cell contains an E-shaped voltage contrast target / pad and is configured for e-beam (or other charged particle) in-line testing to detect the following failure modes: contacts short to contact. In the configuration shown, a pass response = a floating contact = a dark pad, while a fail response = a short to a grounded contact layer → a grounded pad = a light pad. .

図33A〜85は、標準セルライブラリからの例示的なセルを示す。これらのセルは、上述の図11〜32の試験対応フィラーセルと適合する。これらの例示的な標準セルを、添付の図33A〜85に詳細に示す。図示されている各セルの機能を以下に説明する。図33Aは、これらの図のための層の凡例を提供するものであり、層が以下のように図示されている:金属‐1/第1のマスク(11);金属‐1/第2のマスク(12);ビアホール‐0(13);ビアホール‐1(14);金属‐2(15);ポリ接点(16);アクティブ(17);アクティブ接点(18);ポリ(19);ポリカット(20);及びアクティブカット(21)。これらのセルが、(例えば:S. Saika,“Standard cell library and semiconductor integrated circuit”、米国登録特許第8302057B2号(参照により本出願に援用される);J. J. Lee, et al.,“Standard Cell Placement Technique For Double Patterning Technology”、米国特許出願第20130036397A1号(これもまた参照により本出願に援用される);D. D. Sherlekar,“Power Routing in Standard Cell Designs”、米国特許出願第20120249182A1号(これもまた参照により本出願に援用される);H. H. Nguyen, et al.,“7-tracks standard cell library”、米国特許第6938226号(これもまた参照により本出願に援用される);P. Penzes, et al.,“High-speed low‐leakage‐power standard cell library”、米国特許第8079008号(これもまた参照により本出願に援用される);H.‐Y. Kim, et al.,“Standard cell libraries and integrated circuit including standard cells”、米国特許第8174052号(これもまた参照により本出願に援用される);及びO. M. K. Law, et al.,“Standard cell architecture and methods with variable design rules”米国特許第8173491号(これもまた参照により本出願に援用される)に記載されているような)当該技術分野において公知の方法及び構成においてインスタンス化及び使用するために構成されていることは、当業者であれば理解するであろう。更に、当業者であれば認識するであろうように、各セル境界の右及び左縁部を超えて図示されているダミーポリストライプは、DRC検査に使用され、従ってセル自体の一部と考えてはならない。本発明のライブラリを用いて構成された製品ICは好ましくは、市販の14nm製作プロセスを用いて製作される。   Figures 33A-85 show exemplary cells from a standard cell library. These cells are compatible with the test capable filler cells of FIGS. These exemplary standard cells are shown in detail in the attached Figures 33A-85. The function of each cell shown is described below. FIG. 33A provides a legend for the layers for these figures, where the layers are illustrated as follows: metal-1 / first mask (11); metal-1 / second Mask (12); Via hole-0 (13); Via hole-1 (14); Metal-2 (15); Poly contact (16); Active (17); Active contact (18); Poly (19); 20); and active cut (21). These cells are described in (eg: S. Saika, “Standard cell library and semiconductor integrated circuit”, US Pat. No. 8,030,057 B2, incorporated herein by reference); J. J. Lee, et al. Standard Cell Placement Technology For Double Patterning Technology ", US Patent Application No. 20130036397A1 (also incorporated by reference in this application); D. D. Sherlekar," Power Routed in 202, United States Patent No. No. (also incorporated herein by reference) H. H. Nguyen, et al., “7-tracks standard cell library”, US Pat. No. 6,938,226, which is also incorporated by reference in this application), P. Penzes, et al., “High-speed low-leakage-power standard cell library”, US Pat. No. 8,790,008 (also incorporated herein by reference); H.-Y. Kim, et al., “Standard cell libraries and integers”. circuit inclusion standard cells ", U.S. Pat. No. 8,174,052 (also incorporated herein by reference); and OM K. Law, e. t al., “Standard cell architecture and methods with variable designs rules”, US Pat. No. 8,173,491, which is also incorporated herein by reference), methods and structures known in the art. Those skilled in the art will appreciate that they are configured for instantiation and use in Furthermore, as those skilled in the art will appreciate, the dummy poly stripes shown beyond the right and left edges of each cell boundary are used for DRC inspection and are therefore considered part of the cell itself. must not. Product ICs constructed using the libraries of the present invention are preferably fabricated using a commercially available 14 nm fabrication process.

第1の例示的な標準セルの当接している左側及び右側部分をそれぞれ示す、図33A、Bを参照する。このセルは、駆動強度3において設定及び反転された出力を有する走査対応d‐フリップフロップの論理機能を実装する。   Referring to FIGS. 33A and B, which show the abutting left and right portions of the first exemplary standard cell, respectively. This cell implements the logic function of a scan-enabled d-flip flop with the output set and inverted at a drive strength of 3.

別の標準セルの当接している左側及び右側部分をそれぞれ示す、図34A、Bを参照する。このセルは、駆動強度2において設定及び反転された出力を有する走査対応d‐フリップフロップの論理機能を実装する。   Reference is made to FIGS. 34A and B, which show the abutting left and right portions of another standard cell, respectively. This cell implements the logic function of a scan-enabled d-flip flop with the output set and inverted at drive strength 2.

別の標準セルの当接している左側及び右側部分をそれぞれ示す、図35A、Bを参照する。このセルは、駆動強度1において設定及び反転された出力を有する走査対応d‐フリップフロップの論理機能を実装する。   Referring to FIGS. 35A and 35B, which show the abutting left and right portions of another standard cell, respectively. This cell implements the logic function of a scan-enabled d-flip flop with the output set and inverted at a drive strength of 1.

別の標準セルの当接している左側及び右側部分をそれぞれ示す、図36A、Bを参照する。このセルは、駆動強度3に設定された走査対応d‐フリップフロップを実装する。   Referring to FIGS. 36A and B, which show the abutting left and right portions of another standard cell, respectively. This cell is equipped with a scan-compatible d-flip-flop set to drive strength 3.

別の標準セルの当接している左側及び右側部分をそれぞれ示す、図37A、Bを参照する。このセルは、駆動強度2に設定された走査対応d‐フリップフロップの論理機能を実装する。   Referring to FIGS. 37A and B, which show the abutting left and right portions of another standard cell, respectively. This cell implements the logic function of a scan-enabled d-flip flop set at drive strength 2.

別の標準セルの当接している左側及び右側部分をそれぞれ示す、図38A、Bを参照する。このセルは、駆動強度1に設定された走査対応d‐フリップフロップを実装する。   Referring to FIGS. 38A and B, which show the abutting left and right portions of another standard cell, respectively. This cell is equipped with a scan-compatible d-flip-flop set to drive strength 1.

別の標準セルの当接している左側及び右側部分をそれぞれ示す、図39A、Bを参照する。これは、駆動強度3においてリセットされ反転された出力を有する走査対応d‐フリップフロップの論理機能を実装する。   Reference is made to FIGS. 39A and 39B, which show the abutting left and right portions of another standard cell, respectively. This implements the logic function of a scan-enabled d-flip flop having an output that is reset and inverted at drive strength 3.

別の標準セルの当接している左側及び右側部分をそれぞれ示す、図40A、Bを参照する。このセルは、駆動強度2においてリセットされ反転された出力を有する走査対応d‐フリップフロップを実装する。   Reference is made to FIGS. 40A and B, which show the abutting left and right portions of another standard cell, respectively. This cell implements a scan-enabled d-flip-flop with an output that is reset and inverted at drive strength 2.

別の標準セルの当接している左側及び右側部分をそれぞれ示す、図41A、Bを参照する。このセルは、駆動強度1においてリセットされ反転された出力を有する走査対応d‐フリップフロップを実装する。   Referring to FIGS. 41A and 41B, which show the abutting left and right portions of another standard cell, respectively. This cell implements a scan-enabled d-flip flop with an output that is reset and inverted at drive strength 1.

別の標準セルの当接している左側及び右側部分をそれぞれ示す、図42A、Bを参照する。このセルは、駆動強度3にリセットされた走査対応d‐フリップフロップの論理機能を実装する。   Referring to FIGS. 42A and 42B, which show the abutting left and right portions of another standard cell, respectively. This cell implements the logic function of a scan-enabled d-flip flop reset to drive strength 3.

別の標準セルの当接している左側及び右側部分をそれぞれ示す、図43A、Bを参照する。このセルは、駆動強度2にリセットされた走査対応d‐フリップフロップを実装する。   Referring to FIGS. 43A and 43B, which show the abutting left and right portions of another standard cell, respectively. This cell is equipped with a scanning d-flip flop that is reset to drive strength 2.

別の標準セルの当接している左側及び右側部分をそれぞれ示す、図44A、Bを参照する。このセルは、駆動強度1にリセットされた走査対応d‐フリップフロップの論理機能を実装する。   Reference is made to FIGS. 44A and B, which show the abutting left and right portions of another standard cell, respectively. This cell implements the logic function of a scan-enabled d-flip flop reset to drive strength 1.

別の標準セルを示す図45を参照する。このセルは、駆動強度3において設定及びリセットされたラッチの論理機能を実装する。   Reference is made to FIG. 45 which shows another standard cell. This cell implements the logic function of the latch that is set and reset at drive strength 3.

別の標準セルを示す図46を参照する。このセルは、駆動強度2において設定及びリセットされたラッチの論理機能を実装する。   Reference is made to FIG. 46 which shows another standard cell. This cell implements the logic function of the latch set and reset at drive strength 2.

別の標準セルを示す図47を参照する。このセルは、駆動強度1において設定及びリセットされたラッチの論理機能を実装する。   Reference is made to FIG. 47 which shows another standard cell. This cell implements the logic function of a latch that is set and reset at a drive strength of 1.

別の標準セルを示す図48を参照する。このセルは、駆動強度3において設定されたラッチの論理機能を実装する。   Reference is made to FIG. 48 showing another standard cell. This cell implements the logic function of the latch set at drive strength 3.

別の標準セルを示す図49を参照する。このセルは、駆動強度2において設定されたラッチの論理機能を実装する。   Reference is made to FIG. 49 which shows another standard cell. This cell implements the logic function of the latch set at drive strength 2.

別の標準セルを示す図50を参照する。このセルは、駆動強度1において設定されたラッチの論理機能を実装する。   Reference is made to FIG. 50 showing another standard cell. This cell implements the logic function of the latch set at drive strength 1.

別の標準セルを示す図51を参照する。このセルは、駆動強度3においてリセットされたラッチの論理機能を実装する。   Reference is made to FIG. 51 which shows another standard cell. This cell implements the logic function of the latch reset at drive strength 3.

別の標準セルを示す図52を参照する。このセルは、駆動強度2においてリセットされたラッチの論理機能を実装する。   Reference is made to FIG. 52 which shows another standard cell. This cell implements the logic function of the latch reset at drive strength 2.

別の標準セルを示す図53を参照する。このセルは、駆動強度1においてリセットされたラッチの論理機能を実装する。   Reference is made to FIG. 53 which shows another standard cell. This cell implements the logic function of the latch reset at drive strength 1.

別の標準セルを示す図54を参照する。このセルは、駆動強度4において反転された出力を有するラッチの論理機能を実装する。   Reference is made to FIG. 54 which shows another standard cell. This cell implements the logic function of a latch with an inverted output at drive strength 4.

別の標準セルを示す図55を参照する。このセルは、駆動強度3において反転された出力を有するラッチの論理機能を実装する。   Reference is made to FIG. 55 which shows another standard cell. This cell implements the logic function of a latch with an output that is inverted at a drive strength of 3.

別の標準セルを示す図56を参照する。このセルは、駆動強度2において反転された出力を有するラッチの論理機能を実装する。   Reference is made to FIG. 56 which shows another standard cell. This cell implements the logic function of a latch with an inverted output at drive strength 2.

別の標準セルを示す図57を参照する。このセルは、駆動強度1において反転された出力を有するラッチの論理機能を実装する。   Reference is made to FIG. 57 which shows another standard cell. This cell implements the logic function of a latch with an inverted output at a drive strength of 1.

別の標準セルを示す図58を参照する。このセルは、駆動強度3において反転された出力を有するラッチの論理機能を実装する。   Reference is made to FIG. 58 showing another standard cell. This cell implements the logic function of a latch with an output that is inverted at a drive strength of 3.

別の標準セルを示す図59を参照する。このセルは、駆動強度2において反転された出力を有するラッチの論理機能を実装する。   Reference is made to FIG. 59 which shows another standard cell. This cell implements the logic function of a latch with an inverted output at drive strength 2.

別の標準セルを示す図60を参照する。このセルは、駆動強度1において反転された出力を有するラッチの論理機能を実装する。   Reference is made to FIG. 60 which shows another standard cell. This cell implements the logic function of a latch with an inverted output at a drive strength of 1.

別の標準セルを示す図61を参照する。このセルは、駆動強度3において設定、リセット及び反転されたクロックを有するラッチの論理機能を実装する。   Reference is made to FIG. 61 which shows another standard cell. This cell implements the logic function of a latch with a clock set, reset and inverted at a drive strength of 3.

別の標準セルを示す図62を参照する。このセルは、駆動強度2において設定、リセット及び反転されたクロックを有するラッチの論理機能を実装する。   Reference is made to FIG. 62 which shows another standard cell. This cell implements the logic function of a latch with a clock set, reset and inverted at a drive strength of 2.

別の標準セルを示す図63を参照する。このセルは、駆動強度1において設定、リセット及び反転されたクロックを有するラッチの論理機能を実装する。   Reference is made to FIG. 63 which shows another standard cell. This cell implements the logic function of a latch with a clock set, reset and inverted at a drive strength of 1.

別の標準セルを示す図64を参照する。このセルは、駆動強度3において設定及び反転されたクロックを有するラッチの論理機能を実装する。   Reference is made to FIG. 64 showing another standard cell. This cell implements the logic function of a latch with a clock set and inverted at a drive strength of 3.

別の標準セルを示す図65を参照する。このセルは、駆動強度2において設定及び反転されたクロックを有するラッチの論理機能を実装する。   Reference is made to FIG. 65 showing another standard cell. This cell implements the logic function of a latch with a clock set and inverted at a drive strength of 2.

別の標準セルを示す図66を参照する。このセルは、駆動強度1において設定及び反転されたクロックを有するラッチの論理機能を実装する。   Reference is made to FIG. 66 showing another standard cell. This cell implements the logic function of a latch with a clock set and inverted at a drive strength of 1.

別の標準セルを示す図67を参照する。このセルは、駆動強度3においてリセット及び反転されたクロックを有するラッチの論理機能を実装する。   Reference is made to FIG. 67 which shows another standard cell. This cell implements the logic function of a latch with a clock reset and inverted at a drive strength of 3.

別の標準セルを示す図68を参照する。このセルは、駆動強度2においてリセット及び反転されたクロックを有するラッチの論理機能を実装する。   Reference is made to FIG. 68 showing another standard cell. This cell implements the logic function of a latch with a clock reset and inverted at drive strength 2.

別の標準セルを示す図69を参照する。このセルは、駆動強度1においてリセット及び反転されたクロックを有するラッチの論理機能を実装する。   Reference is made to FIG. 69 which shows another standard cell. This cell implements the logic function of a latch with a clock reset and inverted at a drive strength of 1.

別の標準セルを示す図70を参照する。このセルは、駆動強度3においてリセット、反転されたクロック及び反転された出力を有するラッチの論理機能を実装する。   Reference is made to FIG. 70 showing another standard cell. This cell implements the logic function of a latch with reset, inverted clock and inverted output at drive strength 3.

別の標準セルを示す図71を参照する。このセルは、駆動強度2においてリセット、反転されたクロック及び反転された出力を有するラッチの論理機能を実装する。   Reference is made to FIG. 71 which shows another standard cell. This cell implements the logic function of a latch with reset, inverted clock and inverted output at drive strength 2.

別の標準セルを示す図72を参照する。このセルは、駆動強度1においてリセット、反転されたクロック及び反転された出力を有するラッチの論理機能を実装する。   Reference is made to FIG. 72 showing another standard cell. This cell implements the logic function of a latch with reset, inverted clock and inverted output at drive strength 1.

別の標準セルを示す図73を参照する。このセルは、駆動強度4において反転されたクロック及び反転された出力を有するラッチの論理機能を実装する。   Reference is made to FIG. 73 showing another standard cell. This cell implements the logic function of a latch with an inverted clock and an inverted output at a drive strength of 4.

別の標準セルを示す図74を参照する。このセルは、駆動強度3において反転されたクロック及び反転された出力を有するラッチの論理機能を実装する。   Reference is made to FIG. 74 showing another standard cell. This cell implements the logic function of a latch with an inverted clock and an inverted output at a drive strength of 3.

別の標準セルを示す図75を参照する。このセルは、駆動強度2において反転されたクロック及び反転された出力を有するラッチの論理機能を実装する。   Reference is made to FIG. 75 showing another standard cell. This cell implements the logic function of a latch with an inverted clock and an inverted output at drive strength 2.

別の標準セルを示す図76を参照する。このセルは、駆動強度1において反転されたクロック及び反転された出力を有するラッチの論理機能を実装する。   Reference is made to FIG. 76 showing another standard cell. This cell implements the logic function of a latch with an inverted clock and an inverted output at a drive strength of 1.

別の標準セルを示す図77を参照する。このセルは、駆動強度3において反転されたクロックを有するラッチの論理機能を実装する。   Reference is made to FIG. 77 showing another standard cell. This cell implements the logic function of a latch with an inverted clock at a drive strength of 3.

別の標準セルを示す図78を参照する。このセルは、駆動強度2において反転されたクロックを有するラッチの論理機能を実装する。   Reference is made to FIG. 78 showing another standard cell. This cell implements the logic function of a latch with an inverted clock at drive strength 2.

別の標準セルを示す図79を参照する。このセルは、駆動強度1において反転されたクロックを有するラッチの論理機能を実装する。   Reference is made to FIG. 79 which shows another standard cell. This cell implements the logic function of a latch with an inverted clock at a drive strength of 1.

別の標準セルを示す図80を参照する。このセルは、駆動強度4において2入力3状態マルチプレクサの論理機能を実装する。   Reference is made to FIG. 80 showing another standard cell. This cell implements the logic function of a 2-input 3-state multiplexer at a drive strength of 4.

別の標準セルを示す図81を参照する。このセルは、駆動強度2において2入力3状態マルチプレクサの論理機能を実装する。   Reference is made to FIG. 81 which shows another standard cell. This cell implements the logic function of a 2-input 3-state multiplexer at a drive strength of 2.

別の標準セルを示す図82を参照する。このセルは、駆動強度1において2入力3状態マルチプレクサの論理機能を実装する。   Reference is made to FIG. 82 showing another standard cell. This cell implements the logic function of a 2-input 3-state multiplexer at a drive strength of 1.

別の標準セルの当接している左側及び右側部分をそれぞれ示す、図83A、Bを参照する。このセルは、駆動強度4において反転された出力を有する2入力3状態マルチプレクサの論理機能を実装する。   Referring to FIGS. 83A and 83B, which show the abutting left and right portions of another standard cell, respectively. This cell implements the logic function of a two-input, three-state multiplexer having an inverted output at a drive strength of 4.

別の標準セルを示す図84を参照する。このセルは、駆動強度2において反転された出力を有する2入力3状態マルチプレクサの論理機能を実装する。   Reference is made to FIG. 84 which shows another standard cell. This cell implements the logic function of a two-input three-state multiplexer with the output inverted at drive strength 2.

別の標準セルを示す図85を参照する。このセルは、駆動強度1において反転された出力を有する2入力3状態マルチプレクサの論理機能を実装する。   Reference is made to FIG. 85 which shows another standard cell. This cell implements the logic function of a two-input, three-state multiplexer with an inverted output at drive strength of 1.

当業者であれば理解するであろうように、図33A〜85に図示されている例示的なフリップフロップ、ラッチ及びmuxの設計は、競合する設計と比較して大幅な改善(例えば少なくとも1つのポリストライプの削減)を達成している。   As will be appreciated by those skilled in the art, the exemplary flip-flop, latch, and mux designs illustrated in FIGS. 33A-85 are significantly improved (eg, at least one Poly stripe reduction) has been achieved.

図86は、従来技術の「ステップ・アンド・スキャン(step and scan)」及び「スウォージング(swathing)」技術を示す。   FIG. 86 shows the prior art “step and scan” and “swathing” techniques.

図87は、従来技術のビーム走査/成形装置を示す。   FIG. 87 shows a prior art beam scanning / shaping apparatus.

図88は、図87のカラムを用いて実現できるビーム形状の例を示す。   FIG. 88 shows an example of a beam shape that can be realized using the column of FIG.

図89は、典型的には円形であり、複数の同一のダイに分割される、例示的な半導体ウェハを示し、更に、全ての試験構造体がダイのスクライブライン領域内に配置されている例示的ケースを示す。   FIG. 89 shows an exemplary semiconductor wafer that is typically circular and divided into a plurality of identical dies, and further illustrates that all test structures are located within the scribe line region of the die. The case is shown.

図90は、パッドが一列にレイアウトされた一連の試験構造体を示し、電子ビームのスポットは、上記スポットに対するウェハの相対移動によってパッド全体を走査する。   FIG. 90 shows a series of test structures in which the pads are laid out in a row, and the electron beam spot scans the entire pad by relative movement of the wafer relative to the spot.

図91は、パッドに送達される電子流を最大化するために、パッドのサイズ及び形状に適合するように非円形に成形された、電子ビームスポットを示す。   FIG. 91 shows an electron beam spot shaped non-circular to fit the size and shape of the pad to maximize the electron flow delivered to the pad.

図92は、試験構造体に送達する必要がある荷電量に応じてサイズ設定されたパッド形状の別の図を示し、より多くの荷電を必要とする試験構造体は、ビームの走査方向に沿ってより長いパッドを有し、これにより、パッド上のビーム滞留時間を増大させる。   FIG. 92 shows another view of a pad shape sized according to the amount of charge that needs to be delivered to the test structure, with the test structure requiring more charge along the beam scanning direction. Longer pads, thereby increasing the beam dwell time on the pads.

図93は、荷電させるパッドが存在しない長い区間が存在する場合にはビームが迅速に、ただし一定の速度で移動し、パッドが配置された領域においては、試験構造体のパッドにより多く荷電させることができるように、よりゆっくりと移動するシナリオを示す。   FIG. 93 shows that when there is a long section where there is no pad to be charged, the beam moves quickly, but at a constant speed, and in the region where the pad is located, the pad of the test structure is charged more. Let's show a scenario of moving more slowly so that

図94は、パッドの両側にレイアウトされた試験構造体を示し、これにより、ウェハ上のビームの単回パスを用いて、より多くの試験構造体を走査できる。   FIG. 94 shows a test structure laid out on both sides of the pad so that more test structures can be scanned using a single pass of the beam on the wafer.

図95は、パッドのレイアウトが半導体加工の設計ルールに適合するように、中実のパッドをより細いライン又は代替的形状に分割できる方法を示す。ここで図96を参照する。図96は、単一スポット測定における読み出しのための非円形入射eビームを受け入れるためのサイズ及び形状を有するVC DUTを示し、パッドグループは、1つ置きのラインのみがDUTに接続され、パッドの残りのラインがフローティング又はグランドへと接続されることによって、これらの極性が機能しているDUTの極性と反対になるように設計されている。   FIG. 95 illustrates how a solid pad can be divided into thinner lines or alternative shapes so that the pad layout conforms to semiconductor processing design rules. Reference is now made to FIG. FIG. 96 shows a VC DUT having a size and shape to accept a non-circular incident e-beam for readout in a single spot measurement, where only every other line is connected to the DUT and the pad group The remaining lines are designed to be opposite to the polarity of the functioning DUT by connecting them to floating or ground.

機能しているDUTに関して、パッドのラインは交互になった明色/暗色として現れることになり、その一方で機能していないDUT(即ち故障したDUT)に関しては、パッドは全て明色であるか、又は全て暗色である。ここでその利点は、全ての欠陥を有しないDUTに関する「正味の(net)」グレーレベルが事実上常に同一となり、画像コンピュータが全ての欠陥を有するDUTの検出のために同一の閾値を使用できる点である。これにより、画像コンピュータのソフトウェアアルゴリズム及びハードウェアが簡略化される。   For a functioning DUT, the pad lines will appear as alternating light / dark colors, while for a non-functioning DUT (ie, a failed DUT), are all the pads light colors? Or all dark. The advantage here is that the “net” gray level for DUTs without all defects is virtually always the same, and the imaging computer can use the same threshold for detection of DUTs with all defects Is a point. This simplifies the software algorithm and hardware of the image computer.

これより本発明の特定の態様によるVC DUTの一実施形態の概念図である、図97を参照する。パッドは、大型スポットサイズeビームツールを用いて、単一ピクセル測定(即ち単一のアナログ読み出し値)又は同一位置におけるN個のアナログ値(即ちSNRを改善するためにN個のサンプルの二値平均化を使用できる)によって読み出される。   Reference is now made to FIG. 97, which is a conceptual diagram of one embodiment of a VC DUT according to certain aspects of the present invention. The pad uses a large spot size e-beam tool to measure a single pixel (ie, a single analog readout) or N analog values at the same location (ie, a binary of N samples to improve SNR). Can be used).

ビーム及びパッドは、ある程度同一のフットプリントを有するように設計される。この場合、X/Yアスペクト比は〜1となる。ビームはパッドに適合するように正方形に成形されるが、同様のサイズの円形であってもよい。図は4つのパッドを示しているが、本発明は1つ又は複数のパッドにも同様に適用される。   The beam and pad are designed to have some degree of identical footprint. In this case, the X / Y aspect ratio is ˜1. The beam is shaped into a square to fit the pad, but may be a circle of similar size. Although the figure shows four pads, the invention applies equally to one or more pads.

本発明の特定の態様によるVC DUTの別の実施形態の概念図である、図98を参照する。パッドは、大型スポットサイズeビームツールを用いて、単一ピクセル測定(即ち単一のアナログ読み出し値)又は同一位置におけるN個のアナログ値(即ちSNRを改善するためにN個のサンプルの二値平均化を使用できる)によって読み出される。全体として、パッド及びビームはウェハ上で同様のフットプリントを有する。しかしながら、半導体レイアウト設計ルールを満たしながら非対称ビーム(X/Yアスペクト比>3)を受け入れるために、パッドは、幅が狭い複数の水平なラインのアレイに分割されている。図は1つのパッドを示しているが、本発明は1つ又は複数のパッドにも同様に適用される。   Reference is made to FIG. 98, which is a conceptual diagram of another embodiment of a VC DUT according to certain aspects of the present invention. The pad uses a large spot size e-beam tool to measure a single pixel (ie, a single analog readout) or N analog values at the same location (ie, a binary of N samples to improve SNR). Can be used). Overall, the pad and beam have a similar footprint on the wafer. However, in order to accept asymmetric beams (X / Y aspect ratio> 3) while meeting the semiconductor layout design rules, the pad is divided into a plurality of narrow horizontal arrays of lines. Although the figure shows a single pad, the present invention applies equally to one or more pads.

本発明の特定の態様によるVC DUTの別の実施形態の概念図である、図99を参照する。パッドは線状ビームに対して最適化されている。パッド及びビームのX/Yアスペクト比は3超である。パッドは、バーコードスキャナのように読み取され、各パッドの極性が10ピクセル未満で読み取られる。図は4つのパッドを示しているが、本発明は1つ又は複数のパッドにも同様に適用される。   Reference is made to FIG. 99, which is a conceptual diagram of another embodiment of a VC DUT according to certain aspects of the present invention. The pad is optimized for a linear beam. The X / Y aspect ratio of the pad and beam is greater than 3. The pads are read like a barcode scanner, and the polarity of each pad is read with less than 10 pixels. Although the figure shows four pads, the invention applies equally to one or more pads.

Claims (20)

少なくとも以下のステップ:
製品ICウェハを初期製作ステップに供するステップ;
複数の試験構造体から、各前記試験構造体に関連するeビームパッドから10未満のピクセルを選択的にサンプリングすることによって、連続走査を行わずにeビーム励起測定を得るステップ;及び
前記試験構造体から得られた測定に少なくとも部分的に基づいて、前記ウェハを、追加の製作ステップに選択的に供するステップ
を含む、IC製作プロセス。
At least the following steps:
Subjecting the product IC wafer to an initial fabrication step;
Obtaining e-beam excitation measurements without performing a continuous scan by selectively sampling less than 10 pixels from a plurality of test structures from an e-beam pad associated with each test structure; and An IC fabrication process comprising selectively subjecting the wafer to additional fabrication steps based at least in part on measurements obtained from the body.
前記測定を得るステップは、非対称アスペクト比を有するeビーム標的パッドを選択的に標的とするステップを含む、請求項1に記載のIC製作プロセス。   The IC fabrication process of claim 1, wherein obtaining the measurement comprises selectively targeting an e-beam target pad having an asymmetric aspect ratio. 前記測定を得るステップは、標的にされた各前記eビームパッドから単一のピクセルの測定のみを得るステップを伴う、請求項1に記載のIC製作プロセス。   The IC fabrication process of claim 1, wherein obtaining the measurement involves obtaining only a single pixel measurement from each targeted e-beam pad. 前記選択的に供するステップは、前記初期製作ステップのうちの1つ又は複数を再実行するかどうかを決定するステップを含む、請求項1に記載のIC製作プロセス。   The IC fabrication process of claim 1, wherein the step of selectively providing includes determining whether to re-execute one or more of the initial fabrication steps. 前記選択的に供するステップは、前記追加の製作ステップを実施するかどうかを決定するステップを含む、請求項1に記載のIC製作プロセス。   The IC fabrication process of claim 1, wherein the step of selectively providing includes determining whether to perform the additional fabrication step. 少なくとも以下のステップ:
製品ICウェハを初期製作ステップに供するステップ;
複数の試験構造体から、細長主軸を有するeビームスポットを用いて、各前記試験構造体に関連するeビームパッドを選択的に標的とすることによって、eビーム励起測定を得るステップ;及び
前記試験構造体から得られた測定に少なくとも部分的に基づいて、前記ウェハを、追加の製作ステップに選択的に供するステップ
を含む、IC製作プロセス。
At least the following steps:
Subjecting the product IC wafer to an initial fabrication step;
Obtaining e-beam excitation measurements from a plurality of test structures by selectively targeting an e-beam pad associated with each said test structure using an e-beam spot having an elongated major axis; and An IC fabrication process comprising selectively subjecting the wafer to additional fabrication steps based at least in part on measurements obtained from the structure.
標的にされた各前記eビームパッドは、前記eビームスポットの前記細長主軸に適合する、前記eビームパッドの複数の寸法のうちの少なくとも1つを有することにより、走査効率を最大化する、請求項6に記載のIC製作プロセス。   Each of the targeted e-beam pads has at least one of a plurality of dimensions of the e-beam pad that conforms to the elongate major axis of the e-beam spot, thereby maximizing scanning efficiency. Item 7. The IC manufacturing process according to Item 6. 標的にされた各前記eビームパッドは、前記eビームスポットの前記細長主軸に適合する、前記eビームパッドの複数の寸法のうちの第1の寸法を有し、
標的にされた前記eビームパッドのうちの少なくともいくつかは、前記第1の寸法に対して垂直な第2の寸法が異なっている、請求項6に記載のIC製作プロセス。
Each targeted e-beam pad has a first dimension of a plurality of dimensions of the e-beam pad that conforms to the elongated principal axis of the e-beam spot;
The IC fabrication process of claim 6, wherein at least some of the targeted e-beam pads differ in a second dimension perpendicular to the first dimension.
標的にされた各前記eビームパッドは、直線状の走査ラインに沿って位置決めされ、
前記eビームスポットの前記細長主軸は、前記走査ラインに対して垂直に配向される、請求項6に記載のIC製作プロセス。
Each targeted e-beam pad is positioned along a linear scan line;
The IC fabrication process of claim 6, wherein the elongate major axis of the e-beam spot is oriented perpendicular to the scan line.
前記測定を得るステップは、標的にされた各前記eビームパッドから、10未満のピクセルの測定を得るステップを伴う、請求項6に記載のIC製作プロセス。   7. The IC fabrication process of claim 6, wherein obtaining the measurement involves obtaining a measurement of less than 10 pixels from each targeted e-beam pad. 前記測定を得るステップは、標的にされた各前記eビームパッドから、単一のピクセルの測定のみを得るステップを伴う、請求項10に記載のIC製作プロセス。   11. The IC fabrication process of claim 10, wherein obtaining the measurement involves obtaining only a single pixel measurement from each targeted e-beam pad. 前記選択的に供するステップは、前記初期製作ステップのうちの1つ又は複数を再実行するかどうかを決定するステップを含む、請求項6に記載のIC製作プロセス。   The IC fabrication process of claim 6, wherein the step of selectively providing includes determining whether to re-execute one or more of the initial fabrication steps. 前記選択的に供するステップは、前記追加の製作ステップを実施するかどうかを決定するステップを含む、請求項6に記載のIC製作プロセス。   The IC fabrication process of claim 6, wherein the step of selectively providing includes determining whether to perform the additional fabrication step. 少なくとも以下のステップ:
製品ICウェハを初期製作ステップに供するステップ;
複数の試験構造体から、直線状走査方向に沿って、各前記試験構造体に関連するeビームパッドを選択的に標的とすることによって、eビーム励起測定を得るステップであって、標的にされた各前記eビームパッドは、複数の電気的に接続された細長金属セグメントを備える、ステップ;及び
前記試験構造体から得られた測定に少なくとも部分的に基づいて、前記ウェハを、追加の製作ステップに選択的に供するステップ
を含む、IC製作プロセス。
At least the following steps:
Subjecting the product IC wafer to an initial fabrication step;
Obtaining e-beam excitation measurements from a plurality of test structures by selectively targeting an e-beam pad associated with each said test structure along a linear scan direction, And each e-beam pad comprises a plurality of electrically connected elongated metal segments; and an additional fabrication step of the wafer based at least in part on measurements obtained from the test structure. An IC fabrication process comprising the step of selectively providing to.
標的にされた各前記eビームパッドは、サイズ及び形状が同一の少なくとも2つの前記細長金属セグメントを有する、請求項14に記載のIC製作プロセス。   15. The IC fabrication process of claim 14, wherein each targeted e-beam pad has at least two elongated metal segments that are identical in size and shape. 前記測定を得るステップは、標的にされた各前記eビームパッドから、10未満のピクセルの測定を得るステップを伴う、請求項14に記載のIC製作プロセス。   15. The IC fabrication process of claim 14, wherein obtaining the measurement involves obtaining a measurement of less than 10 pixels from each targeted e-beam pad. 前記測定を得るステップは、標的にされた各前記eビームパッドから、単一のピクセルの測定のみを得るステップを伴う、請求項16に記載のIC製作プロセス。   17. The IC fabrication process of claim 16, wherein obtaining the measurement involves obtaining only a single pixel measurement from each targeted e-beam pad. 前記測定を得るステップは、前記直線状走査方向に対して垂直に配向された細長主軸を有するeビームスポットを用いて、選択的に標的とするステップを伴う、請求項14に記載のIC製作プロセス。   15. The IC fabrication process of claim 14, wherein obtaining the measurement involves selectively targeting using an e-beam spot having an elongated major axis oriented perpendicular to the linear scan direction. . 前記選択的に供するステップは、前記初期製作ステップのうちの1つ又は複数を再実行するかどうかを決定するステップを含む、請求項14に記載のIC製作プロセス。   15. The IC fabrication process of claim 14, wherein the step of selectively providing includes determining whether to re-execute one or more of the initial fabrication steps. 前記選択的に供するステップは、前記追加の製作ステップを実施するかどうかを決定するステップを含む、請求項14に記載のIC製作プロセス。   15. The IC fabrication process of claim 14, wherein the step of selectively providing includes determining whether to perform the additional fabrication step.
JP2017518035A 2014-06-12 2015-06-12 Opportunistic for IC test structures and/or e-beam target pads into areas that would be used for filler cells, tap cells, decap cells, scribe lines and/or dummy fills and product IC chips containing them. Placement Active JP6702955B2 (en)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201462011161P 2014-06-12 2014-06-12
US201414303578A 2014-06-12 2014-06-12
US62/011,161 2014-06-12
US14/303,578 2014-06-12
PCT/US2015/035647 WO2015192069A1 (en) 2014-06-12 2015-06-12 Opportunistic placement of ic test structures and/or e-beam target pads in areas otherwise used for filler cells, tap cells, decap cells, scribe lines, and/or dummy fill, as well as product ic chips containing same

Publications (2)

Publication Number Publication Date
JP2017525160A true JP2017525160A (en) 2017-08-31
JP6702955B2 JP6702955B2 (en) 2020-06-03

Family

ID=54834446

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2017518035A Active JP6702955B2 (en) 2014-06-12 2015-06-12 Opportunistic for IC test structures and/or e-beam target pads into areas that would be used for filler cells, tap cells, decap cells, scribe lines and/or dummy fills and product IC chips containing them. Placement

Country Status (5)

Country Link
JP (1) JP6702955B2 (en)
KR (1) KR102474252B1 (en)
CN (1) CN106575649A (en)
TW (1) TW201611145A (en)
WO (1) WO2015192069A1 (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPWO2019142333A1 (en) * 2018-01-19 2021-01-07 株式会社ソシオネクスト Semiconductor integrated circuit equipment

Families Citing this family (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9799575B2 (en) 2015-12-16 2017-10-24 Pdf Solutions, Inc. Integrated circuit containing DOEs of NCEM-enabled fill cells
US10199283B1 (en) 2015-02-03 2019-02-05 Pdf Solutions, Inc. Method for processing a semiconductor wager using non-contact electrical measurements indicative of a resistance through a stitch, where such measurements are obtained by scanning a pad comprised of at least three parallel conductive stripes using a moving stage with beam deflection to account for motion of the stage
US9805994B1 (en) 2015-02-03 2017-10-31 Pdf Solutions, Inc. Mesh-style NCEM pads, and process for making semiconductor dies, chips, and wafers using in-line measurements from such pads
US10978438B1 (en) 2015-12-16 2021-04-13 Pdf Solutions, Inc. IC with test structures and E-beam pads embedded within a contiguous standard cell area
US10593604B1 (en) 2015-12-16 2020-03-17 Pdf Solutions, Inc. Process for making semiconductor dies, chips, and wafers using in-line measurements obtained from DOEs of NCEM-enabled fill cells
US9627370B1 (en) 2016-04-04 2017-04-18 Pdf Solutions, Inc. Integrated circuit containing standard logic cells and library-compatible, NCEM-enabled fill cells, including at least via-open-configured, GATE-short-configured, GATECNT-short-configured, and TS-short-configured, NCEM-enabled fill cells
US9905553B1 (en) 2016-04-04 2018-02-27 Pdf Solutions, Inc. Integrated circuit containing standard logic cells and library-compatible, NCEM-enabled fill cells, including at least via-open-configured, AACNT-short-configured, GATECNT-short-configured, and metal-short-configured, NCEM-enabled fill cells
US9929063B1 (en) 2016-04-04 2018-03-27 Pdf Solutions, Inc. Process for making an integrated circuit that includes NCEM-Enabled, tip-to-side gap-configured fill cells, with NCEM pads formed from at least three conductive stripes positioned between adjacent gates
US10283496B2 (en) 2016-06-30 2019-05-07 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated circuit filler and method thereof
US9748153B1 (en) 2017-03-29 2017-08-29 Pdf Solutions, Inc. Process for making and using a semiconductor wafer containing first and second does of standard cell compatible, NCEM-enabled fill cells, with the first DOE including side-to-side short configured fill cells, and the second DOE including tip-to-side short configure
US9773774B1 (en) 2017-03-30 2017-09-26 Pdf Solutions, Inc. Process for making and using a semiconductor wafer containing first and second DOEs of standard cell compatible, NCEM-enabled fill cells, with the first DOE including chamfer short configured fill cells, and the second DOE including corner short configured fill cells
US9768083B1 (en) 2017-06-27 2017-09-19 Pdf Solutions, Inc. Process for making and using a semiconductor wafer containing first and second DOEs of standard cell compatible, NCEM-enabled fill cells, with the first DOE including merged-via open configured fill cells, and the second DOE including snake open configured fill cells
US9786649B1 (en) 2017-06-27 2017-10-10 Pdf Solutions, Inc. Process for making and using a semiconductor wafer containing first and second DOEs of standard cell compatible, NCEM-enabled fill cells, with the first DOE including via open configured fill cells, and the second DOE including stitch open configured fill cells
US10096530B1 (en) 2017-06-28 2018-10-09 Pdf Solutions, Inc. Process for making and using a semiconductor wafer containing first and second DOEs of standard cell compatible, NCEM-enabled fill cells, with the first DOE including merged-via open configured fill cells, and the second DOE including stitch open configured fill cells
US9865583B1 (en) 2017-06-28 2018-01-09 Pdf Solutions, Inc. Process for making and using a semiconductor wafer containing first and second DOEs of standard cell compatible, NCEM-enabled fill cells, with the first DOE including snake open configured fill cells, and the second DOE including stitch open configured fill cells
CN107516655B (en) * 2017-07-21 2019-08-13 上海华虹宏力半导体制造有限公司 Scribe line tests structure and test method
US11143690B2 (en) * 2019-10-02 2021-10-12 Nanya Technology Corporation Testing structure and testing method
CN111401420B (en) * 2020-03-06 2023-04-18 普迪飞半导体技术(上海)有限公司 Abnormal data clustering method and device for wafer test, electronic equipment and medium
CN113742795A (en) * 2020-05-27 2021-12-03 台湾积体电路制造股份有限公司 Method for authenticating security level of semiconductor memory in integrated circuit

Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH02185054A (en) * 1989-01-11 1990-07-19 Nec Corp Substrate inspection device using electron beam
JPH09265931A (en) * 1996-03-29 1997-10-07 Toshiba Corp Image acquisition device and its method
JPH10313027A (en) * 1997-01-13 1998-11-24 Schlumberger Technol Inc Detecting method and device of defective wafer
JP2000208575A (en) * 1999-01-08 2000-07-28 Schlumberger Technol Inc Method and system for detecting defect based on features of profile
JP2004501505A (en) * 2000-04-18 2004-01-15 ケーエルエー−テンカー テクノロジィース コーポレイション Improved test structure, inspection method and use method
US20050272174A1 (en) * 2004-06-04 2005-12-08 Franklin Duan Test structures in unused areas of semiconductor integrated circuits and methods for designing the same
JP2007080987A (en) * 2005-09-13 2007-03-29 Ebara Corp Semiconductor device and its inspecting method
JP2007103598A (en) * 2005-10-03 2007-04-19 Ebara Corp Semiconductor device and its inspection method

Family Cites Families (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3409909B2 (en) * 1994-03-11 2003-05-26 株式会社東芝 Wafer pattern defect detection method and apparatus
US6771806B1 (en) * 1999-12-14 2004-08-03 Kla-Tencor Multi-pixel methods and apparatus for analysis of defect information from test structures on semiconductor devices
JP3874996B2 (en) * 2000-05-30 2007-01-31 ファブソリューション株式会社 Device inspection method and apparatus
US6897444B1 (en) * 2003-03-10 2005-05-24 Kla-Tencor Technologies Corporation Multi-pixel electron emission die-to-die inspection
JP5340538B2 (en) * 2003-08-25 2013-11-13 タウ−メトリックス インコーポレイテッド Techniques for evaluating semiconductor component and wafer manufacturing.
US7952375B2 (en) * 2006-06-06 2011-05-31 Formfactor, Inc. AC coupled parameteric test probe

Patent Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH02185054A (en) * 1989-01-11 1990-07-19 Nec Corp Substrate inspection device using electron beam
JPH09265931A (en) * 1996-03-29 1997-10-07 Toshiba Corp Image acquisition device and its method
JPH10313027A (en) * 1997-01-13 1998-11-24 Schlumberger Technol Inc Detecting method and device of defective wafer
JP2000208575A (en) * 1999-01-08 2000-07-28 Schlumberger Technol Inc Method and system for detecting defect based on features of profile
JP2004501505A (en) * 2000-04-18 2004-01-15 ケーエルエー−テンカー テクノロジィース コーポレイション Improved test structure, inspection method and use method
US20050272174A1 (en) * 2004-06-04 2005-12-08 Franklin Duan Test structures in unused areas of semiconductor integrated circuits and methods for designing the same
JP2007080987A (en) * 2005-09-13 2007-03-29 Ebara Corp Semiconductor device and its inspecting method
JP2007103598A (en) * 2005-10-03 2007-04-19 Ebara Corp Semiconductor device and its inspection method

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPWO2019142333A1 (en) * 2018-01-19 2021-01-07 株式会社ソシオネクスト Semiconductor integrated circuit equipment
JP7060814B2 (en) 2018-01-19 2022-04-27 株式会社ソシオネクスト Semiconductor integrated circuit equipment

Also Published As

Publication number Publication date
KR20170018027A (en) 2017-02-15
TW201611145A (en) 2016-03-16
CN106575649A (en) 2017-04-19
WO2015192069A1 (en) 2015-12-17
JP6702955B2 (en) 2020-06-03
KR102474252B1 (en) 2022-12-05

Similar Documents

Publication Publication Date Title
JP6702955B2 (en) Opportunistic for IC test structures and/or e-beam target pads into areas that would be used for filler cells, tap cells, decap cells, scribe lines and/or dummy fills and product IC chips containing them. Placement
US20150270181A1 (en) Opportunistic placement of ic test strucutres and/or e-beam target pads in areas otherwise used for filler cells, tap cells, decap cells, scribe lines, and/or dummy fill, as well as product ic chips containing same
US7739065B1 (en) Inspection plan optimization based on layout attributes and process variance
US7760929B2 (en) Grouping systematic defects with feedback from electrical inspection
US20050224963A1 (en) Voltage contrast monitor for integrated circuit defects
US8289508B2 (en) Defect detection recipe definition
TWI754151B (en) Wafer-level testing method and test structure thereof
KR101824780B1 (en) Method and system for diagnosing a semiconductor wafer
US6732002B1 (en) Apparatus and methods for predicting multiple product chip yields through critical area matching
US20150113343A1 (en) Semiconductor device, test structure of the semiconductor device, and method of testing the semiconductor device
US11081477B1 (en) IC with test structures and e-beam pads embedded within a contiguous standard cell area
US7395518B2 (en) Back end of line clone test vehicle
Mittal et al. Test chip design for optimal cell-aware diagnosability
US6677774B2 (en) Method for locating IDDQ defects using multiple controlled collapse chip connections current measurement on an automatic tester
US11688654B2 (en) Test line structure, semiconductor structure and method for forming test line structure
US10777472B1 (en) IC with test structures embedded within a contiguous standard cell area
US20070069748A1 (en) Probe assembly
Koenemann Design/process learning from electrical test
Lam et al. DFI Filler Cells–New Embedded Type of Test Structures for Non-Contact Detection of Electrical Defects on Product Wafers
Jiang Yield and Reliability Enhancement for 3D-Stacked ICs
JP2013083537A (en) Defect analyzing method, defect analyzing device and defect analyzing program for semiconductor devices
Abercrombie et al. Design/Process Learning from Production Test
Patel et al. Defect detection using quiescent signal analysis
Rigaud et al. Mixed test structure for soft and hard defect detection
CN114913141A (en) BGA detection method based on X-ray imaging

Legal Events

Date Code Title Description
RD01 Notification of change of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7426

Effective date: 20170202

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20180612

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20190510

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20190528

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20190828

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20191028

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20200407

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20200507

R150 Certificate of patent or registration of utility model

Ref document number: 6702955

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250