JP2017003824A - Method for manufacturing semiconductor device - Google Patents

Method for manufacturing semiconductor device Download PDF

Info

Publication number
JP2017003824A
JP2017003824A JP2015118656A JP2015118656A JP2017003824A JP 2017003824 A JP2017003824 A JP 2017003824A JP 2015118656 A JP2015118656 A JP 2015118656A JP 2015118656 A JP2015118656 A JP 2015118656A JP 2017003824 A JP2017003824 A JP 2017003824A
Authority
JP
Japan
Prior art keywords
film
semiconductor device
semiconductor substrate
manufacturing
back surface
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2015118656A
Other languages
Japanese (ja)
Inventor
潔志 前島
Kiyoshi Maejima
潔志 前島
堀越 孝太郎
Kotaro Horikoshi
孝太郎 堀越
堀田 勝彦
Katsuhiko Hotta
勝彦 堀田
高橋 敏幸
Toshiyuki Takahashi
敏幸 高橋
啓典 越智
Takanori Ochi
啓典 越智
健一 庄司
Kenichi Shoji
健一 庄司
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Renesas Electronics Corp
Original Assignee
Renesas Electronics Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Renesas Electronics Corp filed Critical Renesas Electronics Corp
Priority to JP2015118656A priority Critical patent/JP2017003824A/en
Priority to US15/147,591 priority patent/US9761487B2/en
Priority to CN201610384198.7A priority patent/CN106252274B/en
Publication of JP2017003824A publication Critical patent/JP2017003824A/en
Priority to US15/670,867 priority patent/US10332795B2/en
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76879Filling of holes, grooves or trenches, e.g. vias, with conductive material by selective deposition of conductive material in the vias, e.g. selective C.V.D. on semiconductor material, plating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/0206Cleaning during device manufacture during, before or after processing of insulating layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/02068Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers
    • H01L21/02074Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers the processing being a planarization of conductive layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02082Cleaning product to be cleaned
    • H01L21/0209Cleaning of wafer backside
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/32115Planarisation
    • H01L21/3212Planarisation by chemical mechanical polishing [CMP]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76808Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving intermediate temporary filling with material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76832Multiple layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76834Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/482Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body
    • H01L23/485Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body consisting of layered constructions comprising conductive layers and insulating layers, e.g. planar contacts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53214Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being aluminium
    • H01L23/53223Additional layers associated with aluminium layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • H01L23/53238Additional layers associated with copper layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53242Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being a noble metal, e.g. gold
    • H01L23/53252Additional layers associated with noble-metal layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • H01L23/53295Stacked insulating layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66575Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate
    • H01L29/6659Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate with both lightly doped source and drain extensions and source and drain self-aligned to the sides of the gate, e.g. lightly doped drain [LDD] MOSFET, double diffused drain [DDD] MOSFET

Abstract

PROBLEM TO BE SOLVED: To improve reliability of a semiconductor device.SOLUTION: A method for manufacturing a semiconductor device is provided, which includes steps of: preparing a semiconductor substrate 1S having a silicon nitride film on the back surface thereof; forming an interlayer insulation film having a via hole VH on a major surface of the semiconductor substrate; selectively forming a via fill PR2 in the via hole; then performing a wafer back surface cleaning 3 to expose a surface of the silicon nitride film formed on the back surface of the semiconductor substrate; and then forming a photoresist film PR3 comprising a chemically amplified resist on the interlayer insulation film and the via fill PR2 on the major surface of the semiconductor substrate. In the period after finishing the wafer back surface cleaning and starting the formation of the photoresist film, the semiconductor substrate is stored in an atmosphere having an ammonium ion concentration of 1000 μg/mor less.SELECTED DRAWING: Figure 2

Description

本発明は、半導体装置の製造方法に関し、特に、半導体ウエハの搬送に密閉型搬送容器を用いる半導体装置の製造方法に適用して有効な技術に関する。   The present invention relates to a method for manufacturing a semiconductor device, and more particularly to a technique that is effective when applied to a method for manufacturing a semiconductor device using a sealed transfer container for transferring a semiconductor wafer.

例えば、直径300mmの半導体ウエハを用いた半導体装置の製造方法において、複数枚の半導体ウエハはFOUP(Front open unified pod)と呼ばれる密閉型搬送容器に入れられて、処理装置間を搬送される。   For example, in a method of manufacturing a semiconductor device using a semiconductor wafer having a diameter of 300 mm, a plurality of semiconductor wafers are placed in a closed transfer container called a FOUP (Front open unified pod) and transferred between processing apparatuses.

特開2008−24429号公報(特許文献1)には、図4を用いて、PSZ膜により素子分離絶縁膜を埋め込んだ場合、後続のフォトリソグラフィ工程においてパターンの形状くずれが発生し、フォトリソグラフィ工程の性能が劣化することが示されている。つまり、PSZ膜に含まれるSi−N結合が、環境中の水と加水分解することによりNH3が発生し、フォトリソグラフィ工程で使用する化学増幅型フォトレジスト等の高感度フォトレジストが、NH3やアミン類などの窒素原子を含む塩基性化合物と反応してパターンの形状くずれが発生するものである。特許文献1では、半導体ウエハを収納して工程間を搬送する密閉型搬送容器内に不活性ガスを導入して、密閉型搬送容器内部の湿度をクリーンルーム等の環境の湿度より低くすることによって、前述のパターンの形状くずれを防止している。 In Japanese Patent Application Laid-Open No. 2008-24429 (Patent Document 1), when the element isolation insulating film is embedded with a PSZ film with reference to FIG. It has been shown that the performance of That is, NH 3 is generated when the Si—N bond contained in the PSZ film is hydrolyzed with water in the environment, and a high-sensitivity photoresist such as a chemically amplified photoresist used in the photolithography process becomes NH 3. It reacts with a basic compound containing a nitrogen atom, such as amines and amines, and the pattern shape is lost. In Patent Document 1, an inert gas is introduced into a sealed transport container that houses a semiconductor wafer and transports between processes, and the humidity inside the sealed transport container is made lower than the humidity of the environment such as a clean room, This prevents the pattern from being deformed.

国際公開第2010/125682号(特許文献2)の[0118]段落には、レジストポイゾニングを防止するために、バリア絶縁膜BI1をSiCN膜とSiCO膜との積層構造にすることが開示されている。つまり、層間絶縁膜IL1の表面にアンモニアプラズマ処理を施すことで、層間絶縁膜IL1の表面に存在する窒素、および、SiCN膜に含まれる窒素が化学反応してアミンが生成され、バリア絶縁膜BI1上の層間絶縁膜IL2に拡散する。そして、層間絶縁膜IL2に配線溝を形成する際に用いる化学増幅型レジストとアミンが反応してフォトレジスト膜FR2のパターニング不良が発生するが、SiCN膜と層間絶縁膜IL2との間にSiCO膜を設けることで、アミンが層間絶縁膜IL2に拡散するのを抑制して、レジストポイゾニングを防止するものである。   In paragraph [0118] of International Publication No. 2010/125682 (Patent Document 2), in order to prevent resist poisoning, it is disclosed that the barrier insulating film BI1 has a laminated structure of a SiCN film and a SiCO film. . That is, by performing ammonia plasma treatment on the surface of the interlayer insulating film IL1, nitrogen existing on the surface of the interlayer insulating film IL1 and nitrogen contained in the SiCN film chemically react to generate amine, and the barrier insulating film BI1. Diffusion into the upper interlayer insulating film IL2. Then, the chemically amplified resist used when forming the wiring trench in the interlayer insulating film IL2 reacts with amine to cause patterning failure of the photoresist film FR2, but the SiCO film is between the SiCN film and the interlayer insulating film IL2. By preventing the resist from being diffused into the interlayer insulating film IL2, resist poisoning is prevented.

特開2008−24429号公報JP 2008-24429 A 国際公開第2010/125682号International Publication No. 2010/125682

本発明者が検討している銅(Cu)配線構造においても、特許文献2と同様に、SiON膜とSiCO膜との積層構造を有するバリア絶縁膜を用いている。本発明者の検討によれば、積層構造のバリア絶縁膜を用いていても、バリア絶縁膜上の層間絶縁膜に配線溝を形成する際のフォトリソグラフィ工程において、レジストポイゾニングと呼ばれる化学増幅型レジストのパターニング不良が発生することが判明した。   Also in the copper (Cu) wiring structure studied by the present inventors, a barrier insulating film having a laminated structure of a SiON film and a SiCO film is used as in Patent Document 2. According to the study of the present inventor, even when a barrier insulating film having a laminated structure is used, a chemically amplified resist called resist poisoning is used in a photolithography process when forming a wiring trench in an interlayer insulating film on the barrier insulating film. It has been found that patterning defects occur.

本発明者の検討によれば、銅(Cu)配線の製造工程中に、半導体ウエハの裏面に残存している窒化シリコン膜から放出される窒素、アンモニウムイオンが原因であることが判明した。半導体ウエハの主面に、例えば、バッチ式のLPCVD(Low Pressure Chemical Vapor Deposition)法で窒化シリコン膜を堆積した場合、半導体ウエハの裏面にも窒化シリコン膜が堆積してしまう。そして、半導体装置の製造工程に含まれる、洗浄工程では、裏面に形成されて窒化シリコン膜は除去されず、半導体ウエハの裏面には、窒化シリコン膜が残ったまま半導体装置の製造工程が実施され、半導体装置が完成する。そして、窒化シリコン膜は、半導体ウエハを薄くするための、半導体ウエハの裏面研磨工程で、除去される。従って、例えば、銅配線の製造工程では、半導体ウエハの裏面には、窒化シリコン膜が形成された状態で、銅配線の製造工程が実施される。なお、バッチ式のLPCVD法で酸化シリコン膜を形成する場合も有り、半導体ウエハの裏面にも酸化シリコン膜が堆積されるが、酸化シリコン膜は、上記の洗浄工程で除去されてしまう。   According to the study of the present inventor, it has been found that the cause is nitrogen and ammonium ions released from the silicon nitride film remaining on the back surface of the semiconductor wafer during the manufacturing process of the copper (Cu) wiring. When a silicon nitride film is deposited on the main surface of the semiconductor wafer by, for example, a batch type LPCVD (Low Pressure Chemical Vapor Deposition) method, the silicon nitride film is also deposited on the back surface of the semiconductor wafer. In the cleaning process included in the semiconductor device manufacturing process, the silicon nitride film formed on the back surface is not removed, and the semiconductor device manufacturing process is performed with the silicon nitride film remaining on the back surface of the semiconductor wafer. A semiconductor device is completed. Then, the silicon nitride film is removed in the backside polishing process of the semiconductor wafer for thinning the semiconductor wafer. Therefore, for example, in the copper wiring manufacturing process, the copper wiring manufacturing process is performed with the silicon nitride film formed on the back surface of the semiconductor wafer. Note that a silicon oxide film may be formed by a batch type LPCVD method, and a silicon oxide film is deposited on the back surface of the semiconductor wafer, but the silicon oxide film is removed by the above-described cleaning process.

銅配線の製造工程において、半導体ウエハは、FOUPと呼ばれる密閉型搬送容器に格納されて工程間を搬送される。密閉型搬送容器の気密性が高いため、例えば、装置トラブル等により格納時間が長時間になると、半導体ウエハの裏面に残存している窒化シリコン膜から放出される窒素、アンモニウムイオンにより、密閉型搬送容器内部の窒素濃度またはアンモニウム濃度(以下、アンモニウム濃度で説明する)が上昇する。そして、大量のアンモニウムイオンが半導体ウエハの表面側に付着することにより、化学増幅型レジストを用いたフォトリソグラフィ工程において、レジストポイゾニングと呼ばれる化学増幅型レジストのパターニング不良が発生することが判明した。半導体ウエハの表面側に付着したアンモニウムイオンによりアミンが発生し、ポジ型の化学増幅型レジストの紫外線露光部における酸発生を阻害することにより、化学増幅型レジストが失活してパターニング不良が発生するものである。そして、銅配線の断線が発生し、半導体装置の信頼性が低下することとなる。   In the copper wiring manufacturing process, the semiconductor wafer is stored in a hermetic transfer container called FOUP and transferred between the processes. Because the airtightness of the sealed transfer container is high, for example, if the storage time is long due to equipment troubles, etc., the closed transfer is performed by nitrogen and ammonium ions released from the silicon nitride film remaining on the back surface of the semiconductor wafer. The nitrogen concentration or ammonium concentration inside the container (hereinafter described as ammonium concentration) increases. It has been found that a large amount of ammonium ions adhere to the surface side of the semiconductor wafer, thereby causing a patterning defect of the chemically amplified resist called resist poisoning in a photolithography process using the chemically amplified resist. Amine is generated by ammonium ions adhering to the surface side of the semiconductor wafer and inhibits acid generation in the UV exposure portion of the positive chemically amplified resist, thereby deactivating the chemically amplified resist and causing patterning defects. Is. Then, disconnection of the copper wiring occurs, and the reliability of the semiconductor device is lowered.

従って、半導体装置の製造方法において、半導体装置の信頼性を向上する技術が求められている。   Accordingly, there is a need for a technique for improving the reliability of a semiconductor device in a method for manufacturing a semiconductor device.

その他の課題と新規な特徴は、本明細書の記述および添付図面から明らかになるであろう。   Other problems and novel features will become apparent from the description of the specification and the accompanying drawings.

一実施の形態によれば、裏面に窒化シリコン膜を有する半導体基板を用意し、半導体基板の主面上にビアホールを有する層間絶縁膜を形成し、ビアホール内に選択的に第1フォトレジスト膜を形成する。次に、半導体基板の裏面に形成された窒化シリコン膜の表面を露出させるウエハ裏面洗浄を実施した後、半導体基板の主面上の層間絶縁膜および第1フォトレジスト膜上に化学増幅型レジストからなる第2フォトレジスト膜を形成するが、その間、半導体基板をアンモニウムイオン濃度が1000μg/m3以下の雰囲気に保存する。 According to one embodiment, a semiconductor substrate having a silicon nitride film on the back surface is prepared, an interlayer insulating film having a via hole is formed on the main surface of the semiconductor substrate, and a first photoresist film is selectively formed in the via hole. Form. Next, after performing wafer backside cleaning to expose the surface of the silicon nitride film formed on the backside of the semiconductor substrate, the chemically amplified resist is formed on the interlayer insulating film and the first photoresist film on the main surface of the semiconductor substrate. In the meantime, the semiconductor substrate is stored in an atmosphere having an ammonium ion concentration of 1000 μg / m 3 or less.

一実施の形態によれば、半導体装置の信頼性を向上させることができる。   According to one embodiment, the reliability of a semiconductor device can be improved.

半導体装置の製造工程の一部を示すプロセスフロー図である。It is a process flow figure showing a part of manufacturing process of a semiconductor device. 図1に続く半導体装置の製造工程の一部を示すプロセスフロー図である。FIG. 2 is a process flow diagram showing a part of the manufacturing process of the semiconductor device following FIG. 1. 半導体装置の製造工程を示す要部断面図である。It is principal part sectional drawing which shows the manufacturing process of a semiconductor device. 図3に続く半導体装置の製造工程を示す要部断面図である。FIG. 4 is a fragmentary cross-sectional view showing the manufacturing process of the semiconductor device following FIG. 3; 図4に続く半導体装置の製造工程を示す要部断面図である。FIG. 5 is a fragmentary cross-sectional view showing the manufacturing process of the semiconductor device following FIG. 4; 図5に続く半導体装置の製造工程を示す要部断面図である。FIG. 6 is a fragmentary cross-sectional view showing the manufacturing process of the semiconductor device following FIG. 5; 図6に続く半導体装置の製造工程を示す要部断面図である。FIG. 7 is a fragmentary cross-sectional view showing the manufacturing process of the semiconductor device following FIG. 6; 図7に続く半導体装置の製造工程を示す要部断面図である。FIG. 8 is a fragmentary cross-sectional view showing the manufacturing process of the semiconductor device following FIG. 7; 図8に続く半導体装置の製造工程を示す要部断面図である。FIG. 9 is a fragmentary cross-sectional view showing the manufacturing process of the semiconductor device following FIG. 8; 図9に続く半導体装置の製造工程を示す要部断面図である。FIG. 10 is a fragmentary cross-sectional view showing the manufacturing process of the semiconductor device following FIG. 9; 図10に続く半導体装置の製造工程を示す要部断面図である。FIG. 11 is a fragmentary cross-sectional view showing the manufacturing process of the semiconductor device following FIG. 10; 図11に続く半導体装置の製造工程を示す要部断面図である。FIG. 12 is a fragmentary cross-sectional view showing the manufacturing process of the semiconductor device following FIG. 11; 図12に続く半導体装置の製造工程を示す要部断面図である。FIG. 13 is a fragmentary cross-sectional view showing the manufacturing process of the semiconductor device following FIG. 12; 図13に続く半導体装置の製造工程を示す要部断面図である。FIG. 14 is a fragmentary cross-sectional view showing the manufacturing process of the semiconductor device following FIG. 13; 図14に続く半導体装置の製造工程を示す要部断面図である。FIG. 15 is a fragmentary cross-sectional view showing the manufacturing process of the semiconductor device following FIG. 14; 図15に続く半導体装置の製造工程を示す要部断面図である。FIG. 16 is a fragmentary cross-sectional view showing the manufacturing process of the semiconductor device following FIG. 15; 図16に続く半導体装置の製造工程を示す要部断面図である。FIG. 17 is a fragmentary cross-sectional view showing the manufacturing process of the semiconductor device following FIG. 16; 図17に続く半導体装置の製造工程を示す要部断面図である。FIG. 18 is a fragmentary cross-sectional view showing the manufacturing process of the semiconductor device following FIG. 17; 半導体装置の製造工程間の保管状態を示す断面図である。It is sectional drawing which shows the storage state between the manufacturing processes of a semiconductor device. 検討例の半導体装置の製造工程を示す要部断面図である。It is principal part sectional drawing which shows the manufacturing process of the semiconductor device of an examination example. 配線の断線とアンモニウム濃度との関係を示す図面である。It is drawing which shows the relationship between the disconnection of wiring and ammonium concentration. 本実施の形態の効果を示す図面である。It is drawing which shows the effect of this Embodiment.

以下の実施の形態においては便宜上その必要があるときは、複数のセクションまたは実施の形態に分割して説明するが、特に明示した場合を除き、それらはお互いに無関係なものではなく、一方は他方の一部または全部の変形例、詳細、補足説明等の関係にある。また、以下の実施の形態において、要素の数等(個数、数値、量、範囲等を含む)に言及する場合、特に明示した場合および原理的に明らかに特定の数に限定される場合等を除き、その特定の数に限定されるものではなく、特定の数以上でも以下でも良い。さらに、以下の実施の形態において、その構成要素(要素ステップ等も含む)は、特に明示した場合および原理的に明らかに必須であると考えられる場合等を除き、必ずしも必須のものではないことは言うまでもない。同様に、以下の実施の形態において、構成要素等の形状、位置関係等に言及するときは、特に明示した場合および原理的に明らかにそうでないと考えられる場合等を除き、実質的にその形状等に近似または類似するもの等を含むものとする。このことは、上記数値および範囲についても同様である。   In the following embodiments, when it is necessary for the sake of convenience, the description will be divided into a plurality of sections or embodiments. However, unless otherwise specified, they are not irrelevant to each other. There are some or all of the modifications, details, supplementary explanations, and the like. Further, in the following embodiments, when referring to the number of elements (including the number, numerical value, quantity, range, etc.), especially when clearly indicated and when clearly limited to a specific number in principle, etc. Except, it is not limited to the specific number, and may be more or less than the specific number. Further, in the following embodiments, the constituent elements (including element steps and the like) are not necessarily indispensable unless otherwise specified and apparently essential in principle. Needless to say. Similarly, in the following embodiments, when referring to the shapes, positional relationships, etc. of the components, etc., the shapes are substantially the same unless otherwise specified, or otherwise apparent in principle. And the like are included. The same applies to the above numerical values and ranges.

以下、本発明の実施の形態を図面に基づいて詳細に説明する。なお、実施の形態を説明するための全図において、同一の機能を有する部材には同一の符号を付し、その繰り返しの説明は省略する。また、以下の実施の形態では、特に必要なとき以外は同一または同様な部分の説明を原則として繰り返さない。   Hereinafter, embodiments of the present invention will be described in detail with reference to the drawings. Note that components having the same function are denoted by the same reference symbols throughout the drawings for describing the embodiments, and the repetitive description thereof will be omitted. In the following embodiments, the description of the same or similar parts will not be repeated in principle unless particularly necessary.

また、実施の形態で用いる図面においては、断面図であっても図面を見易くするためにハッチングを省略する場合もある。また、平面図であっても図面を見易くするためにハッチングを付す場合もある。   In the drawings used in the embodiments, hatching may be omitted even in a cross-sectional view so as to make the drawings easy to see. Further, even a plan view may be hatched to make the drawing easy to see.

(実施の形態)
本実施の形態の半導体装置は、複数のMISFET(Meta Insulator Semiconductor Field Effect Transistor)を有する。複数のMISFETには、n型MISFETQnおよびp型MISFETQpが含まれる。半導体装置は、矩形状のチップ領域に形成され、半導体ウエハには、複数のチップ領域が行列状に配置されている。複数の半導体装置は、一枚の半導体ウエハ上に形成される。
(Embodiment)
The semiconductor device of the present embodiment has a plurality of MISFETs (Meta Insulator Semiconductor Field Effect Transistors). The plurality of MISFETs include an n-type MISFET Qn and a p-type MISFET Qp. The semiconductor device is formed in a rectangular chip region, and a plurality of chip regions are arranged in a matrix on the semiconductor wafer. The plurality of semiconductor devices are formed on a single semiconductor wafer.

図1および図2は、半導体装置の製造工程の一部を示すプロセスフロー図であり、図3〜図18は、半導体装置の製造工程を示す要部断面図である。図19は、半導体装置の製造工程間の保管状態を示す図面である。図20は、検討例の半導体装置の製造工程を示す要部断面図である。   1 and 2 are process flowcharts showing a part of the manufacturing process of the semiconductor device, and FIGS. 3 to 18 are cross-sectional views of the main part showing the manufacturing process of the semiconductor device. FIG. 19 is a diagram illustrating a storage state between manufacturing processes of a semiconductor device. FIG. 20 is a fragmentary cross-sectional view showing the manufacturing process of the semiconductor device of the study example.

まず、図3に示すように、例えば、シリコンからなる半導体基板1S(半導体ウエハWF)を用意する(図1のステップS1)。本実施の形態では、半導体基板1Sの用語を用いて説明するが、半導体ウエハWFと読み替えることも可能である。また、半導体ウエハWFの用語を用いる場合も有る。半導体基板1Sは、主面と裏面とを有し、平面形状が円形(略円形)である。つまり、半導体基板1Sの主面および裏面は円形である。図3に示すように、半導体基板1Sは、n型MISFETQnおよびp型MISFETQpを有している。例えば、p型の半導体基板1Sの表面(主面)側には、p型の半導体領域であるp型ウエル層PWおよびn型の半導体領域であるn型ウエル層NWが形成されている。実際には、p型ウエル層PW内には、複数のn型MISFETQnが形成されており、n型ウエル層NW内には、複数のp型MISFETQpが形成されている。半導体基板1Sの表面には、酸化シリコン膜等からなる素子分離膜STIが形成されており、複数のn型MISFETQn間、複数のp型MISFETQp間、または、n型MISFETQnとp型MISFETQp間を電気的に分離している。   First, as shown in FIG. 3, for example, a semiconductor substrate 1S (semiconductor wafer WF) made of silicon is prepared (step S1 in FIG. 1). In the present embodiment, the term “semiconductor substrate 1S” is used for explanation, but it can also be read as “semiconductor wafer WF”. Further, the term “semiconductor wafer WF” may be used. The semiconductor substrate 1S has a main surface and a back surface, and the planar shape is circular (substantially circular). That is, the main surface and the back surface of the semiconductor substrate 1S are circular. As shown in FIG. 3, the semiconductor substrate 1S has an n-type MISFET Qn and a p-type MISFET Qp. For example, a p-type well layer PW that is a p-type semiconductor region and an n-type well layer NW that is an n-type semiconductor region are formed on the surface (main surface) side of the p-type semiconductor substrate 1S. Actually, a plurality of n-type MISFETs Qn are formed in the p-type well layer PW, and a plurality of p-type MISFETs Qp are formed in the n-type well layer NW. An element isolation film STI made of a silicon oxide film or the like is formed on the surface of the semiconductor substrate 1S. Electricity is generated between a plurality of n-type MISFETs Qn, between a plurality of p-type MISFETs Qp, or between an n-type MISFET Qn and a p-type MISFET Qp. Are separated.

n型MISFETQnは、半導体基板1Sの主面上にゲート絶縁膜GIを介して形成されたゲート電極GNと、ゲート電極GNの両端において、半導体基板1Sの主面に形成されたソース領域およびドレイン領域を有している。ソース領域およびドレイン領域の各々は、n型低濃度半導体層NMとn型高濃度半導体層NHとで構成されており、n型高濃度半導体層NHの表面にはシリサイド層SILが形成されている。また、ゲート電極GNの表面にもシリサイド層SILが形成されている。さらに、ゲート電極GNの側壁上には、側壁絶縁膜SWが形成されている。n型低濃度半導体層NMとn型高濃度半導体層NHは、n型の半導体領域であり、n型高濃度半導体層NHはn型低濃度半導体層NMよりも不純物濃度が高い。   The n-type MISFET Qn includes a gate electrode GN formed on the main surface of the semiconductor substrate 1S via a gate insulating film GI, and source and drain regions formed on the main surface of the semiconductor substrate 1S at both ends of the gate electrode GN. have. Each of the source region and the drain region is composed of an n-type low concentration semiconductor layer NM and an n-type high concentration semiconductor layer NH, and a silicide layer SIL is formed on the surface of the n-type high concentration semiconductor layer NH. . A silicide layer SIL is also formed on the surface of the gate electrode GN. Further, a sidewall insulating film SW is formed on the sidewall of the gate electrode GN. The n-type low concentration semiconductor layer NM and the n-type high concentration semiconductor layer NH are n-type semiconductor regions, and the n-type high concentration semiconductor layer NH has a higher impurity concentration than the n-type low concentration semiconductor layer NM.

また、p型MISFETQpは、半導体基板1Sの主面上にゲート絶縁膜GIを介して形成されたゲート電極GPと、ゲート電極GPの両端において、半導体基板1Sの主面に形成されたソース領域およびドレイン領域を有している。ソース領域およびドレイン領域の各々は、p型低濃度半導体層PMとp型高濃度半導体層PHとで構成されており、p型高濃度半導体層PHの表面にはシリサイド層SILが形成されている。また、ゲート電極GPの表面にもシリサイド層SILが形成されている。さらに、ゲート電極GPの側壁上には、側壁絶縁膜SWが形成されている。p型低濃度半導体層PMとp型高濃度半導体層PHは、p型の半導体領域であり、p型高濃度半導体層PHはp型低濃度半導体層PMよりも不純物濃度が高い。   The p-type MISFET Qp includes a gate electrode GP formed on the main surface of the semiconductor substrate 1S via the gate insulating film GI, a source region formed on the main surface of the semiconductor substrate 1S at both ends of the gate electrode GP, and It has a drain region. Each of the source region and the drain region is composed of a p-type low concentration semiconductor layer PM and a p-type high concentration semiconductor layer PH, and a silicide layer SIL is formed on the surface of the p-type high concentration semiconductor layer PH. . A silicide layer SIL is also formed on the surface of the gate electrode GP. Further, a sidewall insulating film SW is formed on the sidewall of the gate electrode GP. The p-type low concentration semiconductor layer PM and the p-type high concentration semiconductor layer PH are p-type semiconductor regions, and the p-type high concentration semiconductor layer PH has a higher impurity concentration than the p-type low concentration semiconductor layer PM.

n型MISFETQnおよびp型MISFETQpのシリサイド層SILは、コバルトシリサイド(CoSi)、チタンシリサイド(TiSi)、ニッケルシリサイド(NiSi)または白金含有ニッケルシリサイド(PtNiSi)等からなる。また、側壁絶縁膜SWは、窒化シリコン膜、または、酸化シリコン膜と窒化シリコン膜との積層膜等で構成されている。   The silicide layer SIL of the n-type MISFET Qn and the p-type MISFET Qp is made of cobalt silicide (CoSi), titanium silicide (TiSi), nickel silicide (NiSi), platinum-containing nickel silicide (PtNiSi), or the like. Further, the sidewall insulating film SW is composed of a silicon nitride film or a laminated film of a silicon oxide film and a silicon nitride film.

次に、図4に示すように、半導体基板1S上に絶縁膜IFを形成する(図1のステップS2)。絶縁膜IFは、n型MISFETQnおよびp型MISFETQpを覆うように形成する。つまり、n型MISFETQnおよびp型MISFETQpのシリサイド層SILおよび側壁絶縁膜SWを覆うように形成される。絶縁膜IFは、窒化シリコン膜からなり、ジクロルシラン(SiH2Cl2)とアンモニア(NH3)をソースガスとするLPCVD法で形成する。LPCVD法を用いることで、被覆性の高い、高密度の窒化シリコン膜とすることができる。また、絶縁膜IFとして、引張応力または圧縮応力を有する窒化シリコン膜を用いることも可能である。絶縁膜IF(窒化シリコン膜)をLPCVD法で形成した場合、半導体基板1Sの裏面にも窒化シリコン膜が形成される。 Next, as shown in FIG. 4, an insulating film IF is formed on the semiconductor substrate 1S (step S2 in FIG. 1). The insulating film IF is formed so as to cover the n-type MISFET Qn and the p-type MISFET Qp. That is, the n-type MISFET Qn and the p-type MISFET Qp are formed so as to cover the silicide layer SIL and the sidewall insulating film SW. The insulating film IF is made of a silicon nitride film, and is formed by LPCVD using dichlorosilane (SiH 2 Cl 2 ) and ammonia (NH 3 ) as source gases. By using the LPCVD method, a high-density silicon nitride film with high coverage can be obtained. Further, a silicon nitride film having a tensile stress or a compressive stress can be used as the insulating film IF. When the insulating film IF (silicon nitride film) is formed by the LPCVD method, a silicon nitride film is also formed on the back surface of the semiconductor substrate 1S.

さらに、図4に示すように、半導体基板1Sの主面側の絶縁膜IFの上にコンタクト層間絶縁膜CILを形成する(図1のステップS3)。コンタクト層間絶縁膜CILは、酸化シリコン膜からなり、絶縁膜IFよりも膜厚が厚く、PECVD(Plasma-Enhanced Chemical Vapor Deposition)法(プラズマCVD法)で形成する。プラズマCVD法を用いた場合、半導体基板1Sの裏面がステージ上に搭載された状態で成膜されるため、半導体基板1Sの裏面上には、コンタクト層間絶縁膜CILは形成されず、半導体基板1Sの裏面では、絶縁膜IF(窒化シリコン膜)が露出している。   Further, as shown in FIG. 4, a contact interlayer insulating film CIL is formed on the insulating film IF on the main surface side of the semiconductor substrate 1S (step S3 in FIG. 1). The contact interlayer insulating film CIL is made of a silicon oxide film and is thicker than the insulating film IF, and is formed by PECVD (Plasma-Enhanced Chemical Vapor Deposition) method (plasma CVD method). When the plasma CVD method is used, since the film is formed with the back surface of the semiconductor substrate 1S mounted on the stage, the contact interlayer insulating film CIL is not formed on the back surface of the semiconductor substrate 1S, and the semiconductor substrate 1S. The insulating film IF (silicon nitride film) is exposed on the back surface of the substrate.

次に、図5に示すように、フォトリソグラフィ技術およびエッチング技術を使用することにより、コンタクト層間絶縁膜CILおよび絶縁膜IFにコンタクトホールCNTを形成する。このコンタクトホールCNTは、コンタクト層間絶縁膜CILおよび絶縁膜IFを貫通して、n型MISFETQnおよびp型MISFETQpのソース領域およびドレイン領域の表面に形成されたシリサイド層SILに達するように加工される。コンタクトホールCNTの形成工程では、絶縁膜IFに対して、コンタクト層間絶縁膜CILのエッチングレートが高い条件で、コンタクト層間絶縁膜CILにコンタクトホールCNTを形成した後、絶縁膜IFがエッチングされる条件でエッチングを実施する。つまり、絶縁膜IFは、エッチングストッパとして機能している。絶縁膜IFを、LPCVD法で形成した窒化シリコン膜とすることで、コンタクト層間絶縁膜CILに対するエッチング選択比を充分に高くすることができる。   Next, as shown in FIG. 5, contact holes CNT are formed in the contact interlayer insulating film CIL and the insulating film IF by using a photolithography technique and an etching technique. The contact hole CNT is processed so as to penetrate the contact interlayer insulating film CIL and the insulating film IF and reach the silicide layer SIL formed on the surface of the source region and the drain region of the n-type MISFET Qn and the p-type MISFET Qp. In the step of forming the contact hole CNT, the insulating film IF is etched after the contact hole CNT is formed in the contact interlayer insulating film CIL under the condition that the etching rate of the contact interlayer insulating film CIL is higher than that of the insulating film IF. Etching is carried out. That is, the insulating film IF functions as an etching stopper. When the insulating film IF is a silicon nitride film formed by the LPCVD method, the etching selectivity with respect to the contact interlayer insulating film CIL can be sufficiently increased.

次に、図6に示すように、コンタクト層間絶縁膜CILに形成したコンタクトホールCNTに金属膜を埋め込むことによりプラグPLG1を形成する(図1のステップS4)。具体的には、コンタクトホールCNTを形成したコンタクト層間絶縁膜CIL上に、例えば、スパッタリング法によりバリア導体膜となるチタン/窒化チタン膜を形成する。そして、チタン/窒化チタン膜上にタングステン膜を形成する。これにより、コンタクトホールCNTの内壁(側壁および底面)にチタン/窒化チタン膜が形成され、このチタン/窒化チタン膜上でコンタクトホールCNTを埋め込むようにタングステン膜が形成される。その後、コンタクト層間絶縁膜CIL上に形成されている不要なチタン/窒化チタン膜およびタングステン膜を、CMP(Chemical Mechanical Polishing)法で除去する。これにより、コンタクトホールCNT内にだけ、チタン/窒化チタン膜とタングステン膜を埋め込んだプラグPLG1を形成することができる。なお、チタン/窒化チタン膜とタングステン膜は、半導体基板1Sの裏面側には形成されない。   Next, as shown in FIG. 6, a plug PLG1 is formed by embedding a metal film in the contact hole CNT formed in the contact interlayer insulating film CIL (step S4 in FIG. 1). Specifically, a titanium / titanium nitride film to be a barrier conductor film is formed on the contact interlayer insulating film CIL in which the contact holes CNT are formed by, for example, a sputtering method. Then, a tungsten film is formed on the titanium / titanium nitride film. Thereby, a titanium / titanium nitride film is formed on the inner wall (side wall and bottom surface) of the contact hole CNT, and a tungsten film is formed on the titanium / titanium nitride film so as to embed the contact hole CNT. Thereafter, unnecessary titanium / titanium nitride films and tungsten films formed on the contact interlayer insulating film CIL are removed by a CMP (Chemical Mechanical Polishing) method. Thereby, the plug PLG1 in which the titanium / titanium nitride film and the tungsten film are embedded only in the contact hole CNT can be formed. Note that the titanium / titanium nitride film and the tungsten film are not formed on the back side of the semiconductor substrate 1S.

次に、図7に示すように、プラグPLG1を形成したコンタクト層間絶縁膜CIL上に層間絶縁膜IL1を形成する(図1のステップS6)。この層間絶縁膜IL1は、例えば、酸化シリコン膜からなり、例えば、プラズマCVD法により形成される。   Next, as shown in FIG. 7, an interlayer insulating film IL1 is formed on the contact interlayer insulating film CIL on which the plug PLG1 is formed (step S6 in FIG. 1). The interlayer insulating film IL1 is made of, for example, a silicon oxide film, and is formed by, for example, a plasma CVD method.

次に、後述する配線溝WD1を形成する前に、半導体基板1Sの主面および裏面にスクラブ洗浄1を実施する(図1のステップS7)。スクラブ洗浄1では、半導体基板1Sの主面および裏面に、純水を流しながら回転ブラシを走査することで、主面および裏面に付着した微細なパーティクル(ごみ)を除去する。   Next, scrub cleaning 1 is performed on the main surface and the back surface of the semiconductor substrate 1S before forming a wiring groove WD1 to be described later (step S7 in FIG. 1). In scrub cleaning 1, fine particles (dust) adhering to the main surface and the back surface are removed by scanning a rotating brush while flowing pure water on the main surface and the back surface of the semiconductor substrate 1S.

次に、半導体基板1Sの裏面に対してウエハ裏面洗浄1を実施する(図1のステップS8)。ウエハ裏面洗浄1の工程では、SPM(Sulfuric acid Peroxide Mixture)洗浄およびFPM(Fluoride acid Peroxide Mixture)洗浄をこの順に実施する。ウエハ裏面洗浄1は、半導体体基板1Sの裏面に対してのみ実施され、半導体基板1Sの主面には、洗浄液が回り込まないようにして実施される。SPM洗浄は、硫酸と過酸化水素水の混合液を用いて、有機物除去を目的としている。また、FPM洗浄は、フッ酸と過酸化水素水の混合液を用いて、金属汚染の除去を目的とする。ここで、SPM洗浄に代えてAPM(Ammonia-Hydrogen Peroxide Mixture)洗浄を用いても良く、FPM洗浄に代えて、DHF(Diluted Hydrofluoric acid)洗浄を用いることもできる。APM洗浄は、アンモニアと過酸化水素水の混合液を用いた有機物除去を目的とする洗浄であり、DHF洗浄は、フッ酸と過酸化水素水の混合液を用いた金属汚染の除去を目的とする洗浄である。FPM洗浄およびDHF洗浄は、酸化膜を除去するものであり、酸化膜上に付着した金属を酸化膜と一緒に除去できる。また、酸化膜内に入り込んだ金属を浮かせて除去することもできる。ここで、SPM洗浄およびFPM洗浄により、いわゆる、クロスコンタミネーションを防止することができる。   Next, wafer back surface cleaning 1 is performed on the back surface of the semiconductor substrate 1S (step S8 in FIG. 1). In the process of wafer backside cleaning 1, SPM (Sulfuric acid Peroxide Mixture) cleaning and FPM (Fluoride acid Peroxide Mixture) cleaning are performed in this order. The wafer back surface cleaning 1 is performed only on the back surface of the semiconductor substrate 1S, and is performed so that the cleaning liquid does not enter the main surface of the semiconductor substrate 1S. The purpose of SPM cleaning is to remove organic substances using a mixed solution of sulfuric acid and hydrogen peroxide solution. The purpose of FPM cleaning is to remove metal contamination using a mixed solution of hydrofluoric acid and hydrogen peroxide. Here, APM (Ammonia-Hydrogen Peroxide Mixture) cleaning may be used instead of SPM cleaning, and DHF (Diluted Hydrofluoric acid) cleaning may be used instead of FPM cleaning. APM cleaning is intended to remove organic substances using a mixture of ammonia and hydrogen peroxide, and DHF cleaning is intended to remove metal contamination using a mixture of hydrofluoric acid and hydrogen peroxide. It is cleaning to do. FPM cleaning and DHF cleaning are for removing the oxide film, and the metal adhering to the oxide film can be removed together with the oxide film. Further, the metal that has entered the oxide film can be lifted and removed. Here, so-called cross contamination can be prevented by SPM cleaning and FPM cleaning.

次に、図8に示すように、フォトリソグラフィ技術およびエッチング技術を使用することにより、層間絶縁膜IL1に配線溝WD1を形成する(図1のステップS9)。この配線溝WD1は、酸化シリコン膜からなる層間絶縁膜IL1を貫通して底面がコンタクト層間絶縁膜CILに達するように形成される。これにより、配線溝WD1の底部でプラグPLG1の表面(上面)が露出することになる。   Next, as shown in FIG. 8, a wiring trench WD1 is formed in the interlayer insulating film IL1 by using a photolithography technique and an etching technique (step S9 in FIG. 1). The wiring trench WD1 is formed so that the bottom surface reaches the contact interlayer insulating film CIL through the interlayer insulating film IL1 made of a silicon oxide film. As a result, the surface (upper surface) of the plug PLG1 is exposed at the bottom of the wiring groove WD1.

次に、図10に示すように、配線溝WD1内に配線L1を形成する(図1のステップS10)。先ず、図9に示すように、配線溝WD1を形成した層間絶縁膜IL1上にバリア導体膜(銅拡散防止膜)BCF1を形成する。具体的に、バリア導体膜BCF1は、タンタル(Ta)、チタン(Ti)、ルテニウム(Ru)、タングステン(W)、マンガン(Mn)およびこれらの窒化物や窒化珪化物、または、これらの積層膜から構成され、例えば、スパッタリング法を使用することにより形成される。   Next, as shown in FIG. 10, the wiring L1 is formed in the wiring groove WD1 (step S10 in FIG. 1). First, as shown in FIG. 9, a barrier conductor film (copper diffusion prevention film) BCF1 is formed on the interlayer insulating film IL1 in which the wiring trench WD1 is formed. Specifically, the barrier conductor film BCF1 includes tantalum (Ta), titanium (Ti), ruthenium (Ru), tungsten (W), manganese (Mn), and nitrides or silicides thereof, or a laminated film thereof. For example, it is formed by using a sputtering method.

続いて、配線溝WD1の内部および層間絶縁膜IL1上に形成されたバリア導体膜BCF1上に、例えば、薄い銅膜からなるシード膜をスパッタリング法により形成する。そして、このシード膜を電極とした電解めっき法により銅膜CF1を形成する。銅膜CF1は、シード膜とめっき膜の積層構造となっている。この銅膜CF1は、配線溝WD1を埋め込むように形成される。この銅膜CF1は、例えば、銅を主体とする膜から形成される。具体的には、銅(Cu)または銅合金(銅(Cu)とアルミニウム(Al)、マグネシウム(Mg)、チタン(Ti)、マンガン(Mn)、鉄(Fe)、亜鉛(Zn)、ジルコニウム(Zr)、ニオブ(Nb)、モリブデン(Mo)、ルテニウム(Ru)、パラジウム(Pd)、銀(Ag)、金(Au)、In(インジウム)、ランタノイド系金属、アクチノイド系金属などの合金)から形成される。なお、銅合金となる場合、シード膜が上で説明した合金となっているから、銅膜CF1が銅合金となる。以降に登場する銅合金も同様である。   Subsequently, a seed film made of, for example, a thin copper film is formed by sputtering on the barrier conductor film BCF1 formed inside the wiring trench WD1 and on the interlayer insulating film IL1. Then, a copper film CF1 is formed by an electrolytic plating method using this seed film as an electrode. The copper film CF1 has a laminated structure of a seed film and a plating film. The copper film CF1 is formed so as to fill the wiring groove WD1. The copper film CF1 is formed from a film mainly composed of copper, for example. Specifically, copper (Cu) or a copper alloy (copper (Cu) and aluminum (Al), magnesium (Mg), titanium (Ti), manganese (Mn), iron (Fe), zinc (Zn), zirconium ( Zr), niobium (Nb), molybdenum (Mo), ruthenium (Ru), palladium (Pd), silver (Ag), gold (Au), In (indium), alloys of lanthanoid metals, actinoid metals, etc.) It is formed. In the case of a copper alloy, since the seed film is the alloy described above, the copper film CF1 is a copper alloy. The same applies to copper alloys appearing thereafter.

なお、半導体基板1Sの裏面には、上記バリア導体膜BCF1、シード膜、および、銅膜CF1は形成されない。   Note that the barrier conductor film BCF1, the seed film, and the copper film CF1 are not formed on the back surface of the semiconductor substrate 1S.

続いて、図10に示すように、層間絶縁膜IL1上に形成された不要なバリア導体膜BCF1および銅膜CF1をCMP法で除去する。これにより、配線溝WD1にバリア導体膜BCF1と銅膜CF1を埋め込んだ配線L1を形成することができる。配線L1間のバリア導体膜BCF1および銅膜CF1は、CMP法で除去され、隣接する配線L1間は、電気的に分離される。つまり、CMP法により、隣接する配線L1間に位置する層間絶縁膜IL1の主面が露出する。   Subsequently, as shown in FIG. 10, unnecessary barrier conductor film BCF1 and copper film CF1 formed on the interlayer insulating film IL1 are removed by CMP. Thereby, the wiring L1 in which the barrier conductor film BCF1 and the copper film CF1 are embedded in the wiring groove WD1 can be formed. The barrier conductor film BCF1 and the copper film CF1 between the wirings L1 are removed by the CMP method, and the adjacent wirings L1 are electrically separated. That is, the main surface of the interlayer insulating film IL1 located between the adjacent wirings L1 is exposed by the CMP method.

続いて、配線L1を形成した層間絶縁膜IL1の表面に対してアンモニアプラズマ処理を実施して、配線L1の表面および層間絶縁膜IL1の表面を清浄化する。   Subsequently, ammonia plasma treatment is performed on the surface of the interlayer insulating film IL1 on which the wiring L1 is formed to clean the surface of the wiring L1 and the surface of the interlayer insulating film IL1.

次に、図11に示すように、配線L1および層間絶縁膜IL1上に、バリア絶縁膜BIFを形成する(図1のステップS11)。バリア絶縁膜BIFは、SiCN膜SCNとSiCO膜SCOとの積層膜からなる。SiCN膜SCNは、配線L1および層間絶縁膜IL1上に、テトラメチルシランガスと、アンモニアガスを原料ガスとするプラズマCVD法により形成する。SiCN膜SCNは、配線L1を構成する銅膜CF1の銅の拡散防止膜である。前述のアンモニアプラズマ処理により、SiCN膜SCNと、層間絶縁膜LI1との密着性が向上し、隣接する配線L1間のTDDB(Time Dependent Dielectric Breakdown:継時的絶縁破壊)特性を向上できる。つまり、隣接する配線L1間のリーク電流を低減できる。   Next, as shown in FIG. 11, a barrier insulating film BIF is formed over the wiring L1 and the interlayer insulating film IL1 (step S11 in FIG. 1). The barrier insulating film BIF is a laminated film of a SiCN film SCN and a SiCO film SCO. The SiCN film SCN is formed on the wiring L1 and the interlayer insulating film IL1 by a plasma CVD method using tetramethylsilane gas and ammonia gas as source gases. The SiCN film SCN is a copper diffusion prevention film of the copper film CF1 constituting the wiring L1. By the ammonia plasma treatment described above, the adhesion between the SiCN film SCN and the interlayer insulating film LI1 is improved, and the TDDB (Time Dependent Dielectric Breakdown) characteristics between the adjacent wirings L1 can be improved. That is, the leakage current between the adjacent wirings L1 can be reduced.

また、SiCO膜SCOは、例えば、プラズマCVD法により形成することができる。SiCO膜SCOは、SiCN膜SCNに含まれる窒素、アンモニウムイオンが、後述するフォトレジスト膜PR3に拡散するのを防止するために設けられている。つまり、SiCO膜SCOは、フォトリソグラフィ工程において、フォトレジスト膜PR3の酸失活により発生するレジストポイゾニングを防止するものである。   Further, the SiCO film SCO can be formed by, for example, a plasma CVD method. The SiCO film SCO is provided to prevent nitrogen and ammonium ions contained in the SiCN film SCN from diffusing into the photoresist film PR3 described later. That is, the SiCO film SCO prevents resist poisoning that occurs due to acid deactivation of the photoresist film PR3 in the photolithography process.

半導体基板1Sの裏面には、SiCN膜SCNおよびSiO膜SCOは、形成(堆積)されない。   The SiCN film SCN and the SiO film SCO are not formed (deposited) on the back surface of the semiconductor substrate 1S.

次に、図12に示すように、バリア絶縁膜BIF上に層間絶縁膜IL2を形成し、この層間絶縁膜IL2上にダメージ保護膜DPを形成する(図1のステップS12)。具体的に、層間絶縁膜IL2は、例えば、酸化シリコン膜よりも誘電率の低い空孔を有するSiOC膜や、空孔を有するHSQ膜、あるいは、空孔を有するMSQ膜から形成されている。この空孔を有するSiOC膜は、例えば、プラズマCVD法を使用することにより形成することができる。ダメージ保護膜DPは、例えば、TEOS(tetra ethyl ortho silicate)膜、あるいは、酸化シリコン膜から形成され、例えば、プラズマCVD法により形成することができる。ダメージ保護膜DPは、層間絶縁膜IL2よりも膜密度が高く、機械的強度が大である。   Next, as shown in FIG. 12, an interlayer insulating film IL2 is formed on the barrier insulating film BIF, and a damage protection film DP is formed on the interlayer insulating film IL2 (step S12 in FIG. 1). Specifically, the interlayer insulating film IL2 is formed of, for example, a SiOC film having holes having a dielectric constant lower than that of a silicon oxide film, an HSQ film having holes, or an MSQ film having holes. The SiOC film having holes can be formed by using, for example, a plasma CVD method. The damage protection film DP is formed of, for example, a TEOS (tetraethyl orthosilicate) film or a silicon oxide film, and can be formed by, for example, a plasma CVD method. The damage protective film DP has a higher film density and higher mechanical strength than the interlayer insulating film IL2.

次に、後述するフォトレジスト膜PR1を形成する前に、半導体基板1Sの主面および裏面にスクラブ洗浄2を実施する(図2のステップS13)。スクラブ洗浄2は、前述のスクラブ洗浄1と同様に実施する。   Next, before the later-described photoresist film PR1 is formed, scrub cleaning 2 is performed on the main surface and the back surface of the semiconductor substrate 1S (step S13 in FIG. 2). The scrub cleaning 2 is performed in the same manner as the scrub cleaning 1 described above.

次に、半導体基板1Sの裏面に対してウエハ裏面洗浄2を実施する(図2のステップS14)。ウエハ裏面洗浄2は、ウエハ裏面洗浄1と同様に実施する。   Next, wafer back surface cleaning 2 is performed on the back surface of the semiconductor substrate 1S (step S14 in FIG. 2). Wafer back surface cleaning 2 is performed in the same manner as wafer back surface cleaning 1.

次に、図13に示すように、ダメージ保護膜DP上に化学増幅型レジストからなるフォトレジスト膜PR1を形成する(図2のステップS15)。ダメージ保護膜DP上に化学増幅型レジストを塗布し、露光・現像処理を施すことにより、化学増幅型レジストをパターニングしフォトレジスト膜PR1を形成する。パターニングは、ビアホールVHを形成する領域を開口するように行なわれる。その後、パターニングしたフォトレジスト膜PR1をマスクにして、ダメージ保護膜DPおよび層間絶縁膜IL2をエッチング処理する。これにより、ダメージ保護膜DPおよび層間絶縁膜IL2を貫通して、SiCO膜SCOを露出するビアホールVHを形成する(図2のステップS16)。このようにSiCO膜SCOは、エッチングの際にエッチングストッパとして機能することがわかる。   Next, as shown in FIG. 13, a photoresist film PR1 made of a chemically amplified resist is formed on the damage protective film DP (step S15 in FIG. 2). A chemically amplified resist is applied on the damage protective film DP, and exposure / development processing is performed to pattern the chemically amplified resist to form a photoresist film PR1. Patterning is performed so as to open a region for forming the via hole VH. Thereafter, the damage protection film DP and the interlayer insulating film IL2 are etched using the patterned photoresist film PR1 as a mask. Thus, a via hole VH that penetrates the damage protection film DP and the interlayer insulating film IL2 and exposes the SiCO film SCO is formed (step S16 in FIG. 2). Thus, it can be seen that the SiCO film SCO functions as an etching stopper during etching.

次に、図14に示すように、選択的に、ビアホールVH内にのみビアフィルPR2(ビアフィル膜PR2)を形成する(図2のステップS17)。なお、ビアフィルPR2はフォトレジスト膜PR1、PR3とほぼ同様の材質からなる有機膜であり、プラズマアッシング処理で除去することができる。まず、ビアホールVHを完全に埋めるように、半導体基板1S上にビアフィルPR2を形成するが、ビアフィルPR2は、ビアホールVH内およびダメージ保護膜DP上に形成される。次に、ビアフィルPR2にオゾン(O3)または酸素(O2)等のガスを用いたプラズマアッシング処理を施し、ダメージ保護膜DP上のビアフィルPR2の膜厚分程度のビアフィルPR2を、プラズマアッシング処理して選択的に除去する。ここで、ダメージ保護膜DP上のビアフィルPR2は完全に除去するが、ビアホールVH内のビアフィルPR2には、プラズマアッシング処理が及ばず、ビアホールVH内にビアフィルPR2を選択的に残すことが肝要である。 Next, as shown in FIG. 14, a via fill PR2 (via fill film PR2) is selectively formed only in the via hole VH (step S17 in FIG. 2). The via fill PR2 is an organic film made of substantially the same material as the photoresist films PR1 and PR3, and can be removed by a plasma ashing process. First, the via fill PR2 is formed on the semiconductor substrate 1S so as to completely fill the via hole VH. The via fill PR2 is formed in the via hole VH and on the damage protection film DP. Next, a plasma ashing process using a gas such as ozone (O 3 ) or oxygen (O 2 ) is performed on the via fill PR 2, and the via fill PR 2 having a thickness equivalent to the thickness of the via fill PR 2 on the damage protection film DP is plasma ashed. To selectively remove. Here, the via fill PR2 on the damage protection film DP is completely removed, but it is important that the via fill PR2 in the via hole VH is not subjected to the plasma ashing process and the via fill PR2 is selectively left in the via hole VH. .

前述のフォトレジスト膜PR1およびビアフィルPR2の形成工程において、半導体基板1Sの裏面には、フォトレジスト膜PR1およびビアフィルPR2は、形成されない。ただし、プラズマアッシング処理工程は、半導体基板1Sの裏面が露出された状態で実施されるため、半導体基板1Sの裏面に形成されている窒化シリコン膜の表面が酸化され、自然酸化膜が形成される。   In the above-described process of forming the photoresist film PR1 and the via fill PR2, the photoresist film PR1 and the via fill PR2 are not formed on the back surface of the semiconductor substrate 1S. However, since the plasma ashing process is performed with the back surface of the semiconductor substrate 1S exposed, the surface of the silicon nitride film formed on the back surface of the semiconductor substrate 1S is oxidized to form a natural oxide film. .

次に、後述するフォトレジスト膜PR3を形成する前に、半導体基板1Sの主面および裏面にスクラブ洗浄3を実施する(図2のステップS18)。スクラブ洗浄3は、前述のスクラブ洗浄1と同様に実施する。   Next, before the later-described photoresist film PR3 is formed, scrub cleaning 3 is performed on the main surface and the back surface of the semiconductor substrate 1S (step S18 in FIG. 2). The scrub cleaning 3 is performed in the same manner as the scrub cleaning 1 described above.

次に、半導体基板1Sの裏面に対してウエハ裏面洗浄3を実施する(図2のステップS19)。ウエハ裏面洗浄3は、ウエハ裏面洗浄1と同様に実施する。ウエハ裏面洗浄3のSPM洗浄工程では、半導体基板1Sの裏面に形成されている窒化シリコン膜の表面が酸化され、自然酸化膜が形成されるが、その後に、FPM洗浄を実施すると、窒化シリコン膜表面の自然酸化膜(酸化膜)は除去されてしまい、窒化シリコン膜の表面は露出された状態となる。   Next, wafer back surface cleaning 3 is performed on the back surface of the semiconductor substrate 1S (step S19 in FIG. 2). Wafer back surface cleaning 3 is performed in the same manner as wafer back surface cleaning 1. In the SPM cleaning process of wafer back surface cleaning 3, the surface of the silicon nitride film formed on the back surface of the semiconductor substrate 1S is oxidized to form a natural oxide film. After that, when FPM cleaning is performed, the silicon nitride film The natural oxide film (oxide film) on the surface is removed, and the surface of the silicon nitride film is exposed.

次に、図15に示すように、後述する配線溝WD2を形成する為のマスクとなるフォトレジスト膜PR3を、ダメージ保護膜DP上に形成する(図2のステップS20)。ビアホールVH内のビアフィルPR2およびダメージ保護膜DP上に、ポジ型の化学増幅型レジストを塗布し(塗布工程)、露光前熱処理を施して有機溶媒を気化させる(露光前熱処理工程)。次に、露光工程を実施する。つまり、後述する配線溝WD2を形成する領域にエキシマレーザ等による紫外光を照射する。次に、化学増幅型レジストに露光後熱処理を施すと、紫外光の照射領域(露光領域)で脱保護反応が進行し、アルカリ現像液に溶解可能な分子構造となる(露光後熱処理工程)。次に、現像処理を実施して、照射領域の化学増幅型レジストを除去することにより、後述する配線溝WD2に対応する開口を有するフォトレジスト膜PR3が形成される(現像工程)。ここで、ビアホールVH上には配線溝WD2が形成される。平面視において、ビアホールVHは円形を有し、配線溝WD2の幅は、ビアホールVHの直径以上であり、配線溝WD2の長さは、ビアホールVHの直径よりも大きい(長い)。   Next, as shown in FIG. 15, a photoresist film PR3 that serves as a mask for forming a wiring trench WD2 described later is formed on the damage protection film DP (step S20 in FIG. 2). A positive chemically amplified resist is applied on the via fill PR2 and the damage protective film DP in the via hole VH (application process), and pre-exposure heat treatment is performed to vaporize the organic solvent (pre-exposure heat treatment process). Next, an exposure process is performed. That is, ultraviolet light from an excimer laser or the like is irradiated onto a region where a wiring groove WD2 described later is formed. Next, when post-exposure heat treatment is applied to the chemically amplified resist, the deprotection reaction proceeds in the ultraviolet light irradiation region (exposure region), resulting in a molecular structure that can be dissolved in an alkali developer (post-exposure heat treatment step). Next, development processing is performed to remove the chemically amplified resist in the irradiated region, thereby forming a photoresist film PR3 having an opening corresponding to a wiring groove WD2 described later (development process). Here, a wiring trench WD2 is formed on the via hole VH. In plan view, the via hole VH has a circular shape, the width of the wiring groove WD2 is equal to or larger than the diameter of the via hole VH, and the length of the wiring groove WD2 is larger (longer) than the diameter of the via hole VH.

次に、図16に示すように、エッチング技術を用いて、層間絶縁膜IL2およびダメージ保護膜DPに配線溝WD2を形成する(図2のステップS21)。つまり、図15に示したパターニングされたフォトレジスト膜PR3をマスクとして異方性ドライエッチングを実施し、ダメージ保護膜DPおよび層間絶縁膜IL2に配線溝WD2を形成する。なお、化学増幅型レジストの塗布前に、ダメージ保護膜DP上にBARC(Bottom Antireflective Coating)等の有機膜からなる反射防止膜を設けても良い。   Next, as shown in FIG. 16, using the etching technique, a wiring trench WD2 is formed in the interlayer insulating film IL2 and the damage protective film DP (step S21 in FIG. 2). That is, anisotropic dry etching is performed using the patterned photoresist film PR3 shown in FIG. 15 as a mask to form a wiring trench WD2 in the damage protection film DP and the interlayer insulating film IL2. Before applying the chemically amplified resist, an antireflection film made of an organic film such as BARC (Bottom Antireflective Coating) may be provided on the damage protection film DP.

配線溝WD2を形成した後、ビアフィルPR2およびPR3をプラズマアッシング処理にて除去する。反射防止膜を設けた場合には、プラズマアッシング処理にて反射防止膜も連続して除去する。次に、ビアホールVHに露出しているバリア絶縁膜BIFをドライエッチング技術により除去し(図2のステップS22)、配線L1の上面を露出させる。層間絶縁膜IL2上に、層間絶縁膜IL2よりも高密度の絶縁膜であるダメージ保護膜DPを設けておくことで、ドライエッチング工程における以下の問題を防止または低減できる。つまり、ダメージ保護膜DPが形成されていなかった場合、低密度の層間絶縁膜IL2の表面にドライエッチング処理が施されて、層間絶縁膜IL2の表面が荒れてしまう。または、表面がエッチングされることで、層間絶縁膜IL2の膜厚が減少してしまう等の問題が発生する。   After forming the wiring trench WD2, the via fills PR2 and PR3 are removed by plasma ashing. When the antireflection film is provided, the antireflection film is also continuously removed by plasma ashing. Next, the barrier insulating film BIF exposed to the via hole VH is removed by a dry etching technique (step S22 in FIG. 2), and the upper surface of the wiring L1 is exposed. By providing the damage protective film DP, which is an insulating film having a higher density than the interlayer insulating film IL2, over the interlayer insulating film IL2, the following problems in the dry etching process can be prevented or reduced. That is, when the damage protective film DP is not formed, the surface of the low-density interlayer insulating film IL2 is subjected to dry etching, and the surface of the interlayer insulating film IL2 is roughened. Alternatively, problems such as a reduction in the thickness of the interlayer insulating film IL2 occur due to the etching of the surface.

次に、図17に示すように、配線溝WD2およびビアホールVH、ダメージ保護膜DP上にバリア導体膜(銅拡散防止膜)BCF2を形成する。具体的に、バリア導体膜BCF2は、タンタル(Ta)、チタン(Ti)、ルテニウム(Ru)、タングステン(W)、マンガン(Mn)およびこれらの窒化物や窒化珪化物、または、これらの積層膜から構成され、例えば、スパッタリング法を使用することにより形成される。続いて、配線溝WD2の内部およびダメージ保護膜DP上に形成されたバリア導体膜BCF2上に、例えば、薄い銅膜からなるシード膜をスパッタリング法により形成する。そして、このシード膜を電極とした電解めっき法により銅膜CF2を形成する。銅膜CF2は、シード膜とめっき膜の積層構造となっており、配線溝WD2を埋め込むように形成される。この銅膜CF2は、例えば、銅を主体とする膜から形成される。具体的には、銅(Cu)または銅合金(銅(Cu)とアルミニウム(Al)、マグネシウム(Mg)、チタン(Ti)、マンガン(Mn)、鉄(Fe)、亜鉛(Zn)、ジルコニウム(Zr)、ニオブ(Nb)、モリブデン(Mo)、ルテニウム(Ru)、パラジウム(Pd)、銀(Ag)、金(Au)、In(インジウム)、ランタノイド系金属、アクチノイド系金属などの合金)から形成される。   Next, as shown in FIG. 17, a barrier conductor film (copper diffusion prevention film) BCF2 is formed over the wiring trench WD2, the via hole VH, and the damage protection film DP. Specifically, the barrier conductor film BCF2 includes tantalum (Ta), titanium (Ti), ruthenium (Ru), tungsten (W), manganese (Mn), and nitrides or silicides thereof, or a laminated film thereof. For example, it is formed by using a sputtering method. Subsequently, a seed film made of, for example, a thin copper film is formed by sputtering on the barrier conductor film BCF2 formed inside the wiring groove WD2 and on the damage protection film DP. Then, a copper film CF2 is formed by an electrolytic plating method using this seed film as an electrode. The copper film CF2 has a laminated structure of a seed film and a plating film, and is formed so as to fill the wiring groove WD2. The copper film CF2 is formed from a film mainly composed of copper, for example. Specifically, copper (Cu) or a copper alloy (copper (Cu) and aluminum (Al), magnesium (Mg), titanium (Ti), manganese (Mn), iron (Fe), zinc (Zn), zirconium ( Zr), niobium (Nb), molybdenum (Mo), ruthenium (Ru), palladium (Pd), silver (Ag), gold (Au), In (indium), alloys of lanthanoid metals, actinoid metals, etc.) It is formed.

次に、図18に示すように、ダメージ保護膜DP上に形成されている不要なバリア導体膜BCF2および銅膜CF2をCMP法で除去する。このとき、ダメージ保護膜DPもCMP法で除去され、配線溝WD2にバリア導体膜BCF2と銅膜CF2を埋め込んだ配線L2と、ビアホールVHにバリア導体膜BCF2と銅膜CF2を埋め込んだプラグ電極PLG2を形成することができる(図2のステップS23)。つまり、配線L2間には、低誘電率の層間絶縁膜IL2のみが残ることとなり、隣接する配線L2間の寄生容量を低減することができる。また、ダメージ保護膜DPを設けていたことで、銅膜CF2およびバリア導体膜BCF2をCMP研磨で除去する際に、配線L2間の層間絶縁膜IL2の表面のCMP研磨ダメージを防止(低減)でき、配線L2間のリーク電流を低減できる。   Next, as shown in FIG. 18, unnecessary barrier conductor film BCF2 and copper film CF2 formed on damage protection film DP are removed by CMP. At this time, the damage protective film DP is also removed by the CMP method, the wiring L2 in which the barrier conductor film BCF2 and the copper film CF2 are embedded in the wiring groove WD2, and the plug electrode PLG2 in which the barrier conductor film BCF2 and the copper film CF2 are embedded in the via hole VH. Can be formed (step S23 in FIG. 2). That is, only the low dielectric constant interlayer insulating film IL2 remains between the wirings L2, and the parasitic capacitance between the adjacent wirings L2 can be reduced. Further, since the damage protective film DP is provided, the CMP polishing damage on the surface of the interlayer insulating film IL2 between the wirings L2 can be prevented (reduced) when the copper film CF2 and the barrier conductor film BCF2 are removed by CMP polishing. The leakage current between the wirings L2 can be reduced.

さらに、図1のステップS11から図2のステップS23を繰り返し実施することにより、配線L2の上部に多層配線を形成することができる。   Further, by repeatedly performing step S11 in FIG. 1 to step S23 in FIG. 2, a multilayer wiring can be formed on the wiring L2.

ここで、前述のステップS19のウエハ裏面洗浄3(特に、FPM洗浄)が終了した後から、ステップS20のフォトレジスト膜PR3形成工程前の間、半導体基板1S(半導体ウエハWF)は、図19に示すパージ穴PG1およびPG2を有する密閉型搬送容器FP内に収納されている。密閉型搬送容器FPは、その内部に、例えば、24枚の300mm径の半導体ウエハを収納できる容器であり開閉扉(開閉窓)を有する。開閉扉を閉めた状態では、密閉型搬送容器FPの内部は気密性が保たれる。   Here, after the wafer back surface cleaning 3 (especially FPM cleaning) in step S19 is completed, and before the photoresist film PR3 formation step in step S20, the semiconductor substrate 1S (semiconductor wafer WF) is shown in FIG. It is accommodated in a closed type transport container FP having purge holes PG1 and PG2 shown. The hermetic transfer container FP is a container that can store, for example, 24 300 mm diameter semiconductor wafers, and has an open / close door (open / close window). In the state where the open / close door is closed, the inside of the sealed transfer container FP is kept airtight.

本実施の形態では、密閉型搬送容器FP内に窒素(N2)ガスをパージし、密閉型搬送容器FPの内部を所望の雰囲気に保つことが肝要である。つまり、パージ穴PG1から密閉型搬送容器FP内に窒素(N2)ガスを注入し、パージ穴PG2から排気することにより、密閉型搬送容器FPの内部のアンモニウムイオン(NH4 +)濃度を1000μg/m3以下に保つ(制御する)ものである。前述したように、半導体基板1Sは、密閉型搬送容器FPに収納されて工程間を搬送されるが、特に、言及しない場合、密閉型搬送容器FP内には、窒素(N2)ガス等は、パージされていない。 In the present embodiment, it is important to purge nitrogen (N 2 ) gas into the sealed transfer container FP and maintain the inside of the sealed transfer container FP in a desired atmosphere. In other words, nitrogen (N 2 ) gas is injected into the sealed transfer container FP from the purge hole PG1 and exhausted from the purge hole PG2, so that the ammonium ion (NH 4 + ) concentration inside the closed transfer container FP is 1000 μg. / M 3 or less (controlled). As described above, the semiconductor substrate 1S is housed in the sealed transport container FP and transported between processes. Unless otherwise specified, nitrogen (N 2 ) gas or the like is contained in the sealed transport container FP. Not purged.

次に、密閉型搬送容器FP内のアンモニウムイオン(NH4 +)濃度を制御しなかった場合(窒素をパージしなかった場合)の問題点を説明する。 Next, a problem when the ammonium ion (NH 4 + ) concentration in the sealed transfer container FP is not controlled (when nitrogen is not purged) will be described.

前述のように、ステップS19のウエハ裏面洗浄3(特に、FPM洗浄)が終了した段階では、半導体基板1Sの裏面に形成された窒化シリコン膜の表面の自然酸化膜(酸化膜)は除去され、窒化シリコン膜の表面が露出している。つまり、窒化シリコン膜から絶えずアンモニウムイオン(NH4 +)が排出されるため、密閉型搬送容器FP内のアンモニウムイオン(NH4 +)濃度が上昇する。アンモニウムイオン(NH4 +)は、半導体基板1Sの主面に形成されているビアフィルPR2内に侵入してアミンを形成する。つまり、図14のビアホールVH内に形成されたビアフィルPR2には大量のアミンが含まれていることとなる。 As described above, when the wafer back surface cleaning 3 (particularly FPM cleaning) in step S19 is completed, the natural oxide film (oxide film) on the surface of the silicon nitride film formed on the back surface of the semiconductor substrate 1S is removed, The surface of the silicon nitride film is exposed. That is, since ammonium ions (NH 4 + ) are constantly discharged from the silicon nitride film, the concentration of ammonium ions (NH 4 + ) in the sealed transfer container FP increases. Ammonium ions (NH 4 + ) penetrate into the via fill PR2 formed on the main surface of the semiconductor substrate 1S to form amine. That is, the via fill PR2 formed in the via hole VH in FIG. 14 contains a large amount of amine.

次に、図15を用いて説明したように、ビアホールVH内に形成されたビアフィルPR2上に、ポジ型の化学増幅型レジストを設けて、配線溝WD2に対応する開口を有するフォトレジスト膜PR3を形成するが、ビアフィルPR2に含まれるアミンが、化学増幅型レジスト中に拡散、侵入することで、露光領域の脱保護反応が阻害されてしまい、現像処理工程後に、露光領域にもかかわらず、一部の化学増幅型レジストが除去されずに残ってしまう。したがって、フォトレジスト膜PR3をマスクとして、ダメージ保護膜DPおよび層間絶縁膜IL2に異方性ドライエッチングを施した際に、図20に示すように、本来の配線溝WD2が形成されないという問題がある。さらに、ビアフィルPR2およびフォトレジスト膜PR3をプラズマアッシング処理で除去した後、配線溝WD2およびビアホールVH内に配線L2およびプラグ電極PLG2を形成した際に、配線L2の断線が発生してしまうという問題がある。つまり、半導体基板1Sの裏面に形成された窒化シリコン膜に含まれるアンモニウムイオンが原因で、化学増幅型レジストのレジストポイゾニングが発生し、配線L2が断線するものである。なお、図20の左部分はフォトレジスト膜PR3が正常に形成された場合を示しており、右部分はフォトレジスト膜PR3に解像不良が発生し、正常に形成されなかった場合を示している。   Next, as described with reference to FIG. 15, a positive chemically amplified resist is provided on the via fill PR2 formed in the via hole VH, and a photoresist film PR3 having an opening corresponding to the wiring trench WD2 is formed. Although the amine contained in the via fill PR2 diffuses and penetrates into the chemically amplified resist, the deprotection reaction of the exposed area is inhibited, and after the development processing step, the amine is contained in the exposed area regardless of the exposed area. The part of the chemically amplified resist remains without being removed. Therefore, when the damage protective film DP and the interlayer insulating film IL2 are subjected to anisotropic dry etching using the photoresist film PR3 as a mask, the original wiring trench WD2 is not formed as shown in FIG. . Furthermore, after the via fill PR2 and the photoresist film PR3 are removed by the plasma ashing process, when the wiring L2 and the plug electrode PLG2 are formed in the wiring groove WD2 and the via hole VH, the wiring L2 is disconnected. is there. That is, due to ammonium ions contained in the silicon nitride film formed on the back surface of the semiconductor substrate 1S, resist poisoning of the chemically amplified resist occurs, and the wiring L2 is disconnected. Note that the left part of FIG. 20 shows a case where the photoresist film PR3 is normally formed, and the right part shows a case where a defective resolution occurs in the photoresist film PR3 and is not formed normally. .

本願発明者は、密閉型搬送容器FP内のアンモニウムイオン(NH4 +)濃度と断線の関係を検討して、次の見解を得るに至った。 The inventor of the present application has studied the relationship between the ammonium ion (NH 4 + ) concentration in the sealed transfer container FP and the disconnection, and has obtained the following opinion.

図21は、配線L2の断線と密閉型搬送容器FP内のアンモニウムイオン(NH4 +)濃度の関係を示す図である。密閉型搬送容器FP内に半導体ウエハWFを12枚保存した場合と、24枚保存した場合を比較している。半導体ウエハWFは、前述のステップS19のウエハ裏面洗浄3(特に、FPM洗浄)が終了した後で、ステップS20のフォトレジスト膜PR3形成工程前のものであり、密閉型搬送容器FPは、密閉され、窒素(N2)パージはされていない。 FIG. 21 is a diagram showing the relationship between the disconnection of the wiring L2 and the ammonium ion (NH 4 + ) concentration in the sealed transfer container FP. A comparison is made between the case where 12 semiconductor wafers WF are stored in the sealed transfer container FP and the case where 24 semiconductor wafers are stored. The semiconductor wafer WF is the one after the wafer back surface cleaning 3 (especially FPM cleaning) in step S19 described above and before the photoresist film PR3 forming step in step S20, and the sealed transfer container FP is sealed. Nitrogen (N 2 ) purge is not performed.

密閉型搬送容器FP内に半導体ウエハWFを12枚保存した場合、アンモニウムイオン(NH4 +)濃度は、保存開始から上昇し、保存日数が4日あたりで最高の約900μg/m3に達し、その後は、半導体基板1Sの主面のビアフィルPR2等に吸収されるため、低下する。そして、12枚保存の半導体ウエハWFには、断線は確認できなかった。 When twelve semiconductor wafers WF are stored in the sealed transfer container FP, the ammonium ion (NH 4 + ) concentration increases from the start of storage and reaches the maximum of about 900 μg / m 3 per four days, After that, it is absorbed by the via fill PR2 and the like on the main surface of the semiconductor substrate 1S, and thus decreases. And disconnection was not able to be confirmed in the semiconductor wafer WF of 12 sheets preservation | save.

一方、密閉型搬送容器FP内に半導体ウエハWFを24枚保存した場合、アンモニウムイオン(NH4 +)濃度は、保存開始から上昇を続け、保存開始から2日目には2000μg/m3以上となった。そして、保存開始から2日目以降の半導体ウエハWFでは、断線が確認された。図21において、ハッチングを付したアンモニウムイオン(NH4 +)濃度が1000μg/m3を超える領域で断線の発生が確認されている。 On the other hand, when 24 semiconductor wafers WF are stored in the sealed transfer container FP, the ammonium ion (NH 4 + ) concentration continues to rise from the start of storage, and is 2000 μg / m 3 or more on the second day from the start of storage. became. And the disconnection was confirmed in the semiconductor wafer WF after the 2nd day from a storage start. In FIG. 21, the occurrence of disconnection is confirmed in the region where the concentration of ammonium ions (NH 4 + ) with hatching exceeds 1000 μg / m 3 .

以上の結果から、断線を防止するためには、密閉型搬送容器FP内のアンモニウムイオン(NH4 +)濃度を1000μg/m3以下に保つ(制御する)ことが肝要であることが判明した。 From the above results, it was found that in order to prevent disconnection, it is important to maintain (control) the ammonium ion (NH 4 + ) concentration in the sealed transfer container FP at 1000 μg / m 3 or less.

本実施の形態では、ステップS19のウエハ裏面洗浄3(特に、FPM洗浄)が終了した後で、ステップS20のフォトレジスト膜PR3形成工程前の半導体基板1S(半導体ウエハWF)を、アンモニウムイオン(NH4 +)濃度が1000μg/m3以下の雰囲気に保存することが肝要である。また、密閉型搬送容器FPには、窒素(N2)に代えてアルゴン(Ar)などの不活性ガスをパージすることで、密閉型搬送容器FP内のアンモニウムイオン濃度を制御しても良い。 In the present embodiment, after the wafer back surface cleaning 3 (particularly FPM cleaning) in step S19 is completed, the semiconductor substrate 1S (semiconductor wafer WF) before the photoresist film PR3 formation step in step S20 is replaced with ammonium ions (NH). It is important to store in an atmosphere having a concentration of 4 + ) of 1000 μg / m 3 or less. Also, the closed-type transport container FP, instead of nitrogen (N 2) by purging with an inert gas such as argon (Ar), may be controlled ammonium ion concentration of the sealed transport container FP.

本実施の形態によれば、以下の効果を得ることができる。   According to the present embodiment, the following effects can be obtained.

半導体基板1Sの裏面にウエハ裏面洗浄3を実施した後、半導体基板1Sを密閉型搬送容器FP内に保存し、密閉型搬送容器FP内のアンモニウムイオン濃度を1000μg/m3以下に管理(制御)する。これにより、ポジ型化学増幅型レジストからなるフォトレジスト膜PR3のレジストポイゾニングによって発生する配線L2の断線を防止することができ、半導体装置の信頼性を向上することができる。 After performing the wafer back surface cleaning 3 on the back surface of the semiconductor substrate 1S, the semiconductor substrate 1S is stored in the sealed transport container FP, and the ammonium ion concentration in the sealed transport container FP is controlled (controlled) to 1000 μg / m 3 or less. To do. As a result, disconnection of the wiring L2 caused by resist poisoning of the photoresist film PR3 made of a positive chemically amplified resist can be prevented, and the reliability of the semiconductor device can be improved.

ウエハ裏面洗浄3に、FPM洗浄を実施することができるため、半導体装置の製造工程におけるクロスコンタミネーションを防止(低減)することができ、半導体装置の製造歩留りを向上することができる。   Since FPM cleaning can be performed on the wafer back surface cleaning 3, cross contamination in the manufacturing process of the semiconductor device can be prevented (reduced), and the manufacturing yield of the semiconductor device can be improved.

密閉型搬送容器FP内のアンモニウムイオン(NH4 +)濃度を管理(制御)することで、装置トラブル等による仕掛製品の不良を防止することができる。ウエハ裏面洗浄3が完了した半導体基板1Sが、密閉型搬送容器FP内に長期間、保管されたとしても、過度にアンモニウムイオン(NH4 +)濃度が上昇することがないので、レジストポイゾニングによる配線L2の断線を懸念する必要はない。 By managing (controlling) the ammonium ion (NH 4 + ) concentration in the sealed transfer container FP, it is possible to prevent in-process products from being defective due to equipment troubles. Even if the semiconductor substrate 1S that has undergone the wafer backside cleaning 3 is stored in the sealed transfer container FP for a long period of time, the concentration of ammonium ions (NH 4 + ) does not increase excessively. There is no need to worry about disconnection of L2.

なお、図13を用いて説明したが、ビアホールVHの形成において、化学増幅型レジストからなるフォトレジスト膜PR1を用いており、フォトレジスト膜PR1形成前にもウエハ裏面洗浄2を実施しており、半導体基板1Sの裏面に形成された窒化シリコン膜の表面は露出している。そして、ウエハ裏面洗浄2からフォトレジスト膜PR1形成までの間、半導体基板1Sは、密閉型搬送容器FP内に保存されている。しかしながら、フォトレジスト膜PR1のパターニングの際には、レジストポイゾニングの現象は見受けられない。   Although described with reference to FIG. 13, in the formation of the via hole VH, the photoresist film PR1 made of a chemically amplified resist is used, and the wafer back surface cleaning 2 is performed before the formation of the photoresist film PR1. The surface of the silicon nitride film formed on the back surface of the semiconductor substrate 1S is exposed. The semiconductor substrate 1S is stored in the sealed transfer container FP between the wafer back surface cleaning 2 and the photoresist film PR1 formation. However, the resist poisoning phenomenon is not observed when the photoresist film PR1 is patterned.

このことから、配線溝WD2形成の際に、ビアホールVH内に埋まっているビアフィルPR2が影響してフォトレジスト膜PR3のレジストポイゾニングが発生しているものと推測できる。つまり、半導体基板1Sの裏面から放出されるアンモニウムイオン(NH4 +)が、有機膜からなるビアフィルPR2中に侵入して大量のアミンが発生することでフォトレジスト膜PR3のレジストポイゾニングが発生している。本実施の形態は、フォトレジスト膜PR3が直接接触するビアフィルPR2(有機膜)が下層に存在する場合に、特に効果的である。 From this, it can be presumed that the resist poisoning of the photoresist film PR3 occurs due to the influence of the via fill PR2 buried in the via hole VH when the wiring trench WD2 is formed. In other words, resist poisoning of the photoresist film PR3 occurs because ammonium ions (NH 4 + ) released from the back surface of the semiconductor substrate 1S enter the via fill PR2 made of an organic film to generate a large amount of amine. Yes. This embodiment is particularly effective when the via fill PR2 (organic film) in direct contact with the photoresist film PR3 is present in the lower layer.

<変形例1>
変形例1は、図2のステップS19のウエハ裏面洗浄3に引き続いて、半導体基板1Sの裏面に対して純水を流しながらスクラブ洗浄処理を施すものである。つまり、ステップS19のウエハ裏面洗浄3として、SPM洗浄およびFPM洗浄を順次実施した後に、半導体基板1Sの裏面に対して純水スクラブ洗浄を追加し、純水スクラブ洗浄した後に密閉型搬送容器FPに保存するものである。そして、ステップS20のフォトレジスト膜PR3形成工程を実施するまでの間は、密閉型搬送容器FP内に保存するが、密閉型搬送容器FP内のアンモニウムイオン(NH4 +)濃度の管理は必要ない。つまり、密閉型搬送容器FP内に窒素(N2)をパージする必要はない。
<Modification 1>
In Modification 1, following the wafer back surface cleaning 3 in step S19 of FIG. 2, a scrub cleaning process is performed while flowing pure water over the back surface of the semiconductor substrate 1S. That is, as the wafer back surface cleaning 3 in step S19, after performing SPM cleaning and FPM cleaning sequentially, pure water scrub cleaning is added to the back surface of the semiconductor substrate 1S, and after the pure water scrub cleaning, the sealed transfer container FP is filled. To save. Until the step of forming the photoresist film PR3 in step S20, the photoresist film PR3 is stored in the sealed transfer container FP, but it is not necessary to manage the ammonium ion (NH 4 + ) concentration in the sealed transfer container FP. . That is, it is not necessary to purge nitrogen (N 2 ) into the sealed transfer container FP.

前述の通り、ウエハ裏面洗浄3のFPM洗浄後において、半導体基板1Sの裏面の窒化シリコン膜は露出しているが、純水スクラブ洗浄を実施することにより、窒化シリコン膜の表面に薄い酸化シリコン膜を形成することができ、窒化シリコン膜中からアンモニウムイオンが排出されるのを防止(低減)することができる。そのため、半導体基板1Sを窒素(N2)パージせずに密閉型搬送容器FP内に保存しても、密閉型搬送容器FP内のアンモニウムイオン(NH4 +)濃度を1000μg/m3以下に保つことができ、配線L2の断線を防止することができる。 As described above, after the FPM cleaning of the wafer back surface cleaning 3, the silicon nitride film on the back surface of the semiconductor substrate 1S is exposed, but by performing pure water scrub cleaning, a thin silicon oxide film is formed on the surface of the silicon nitride film. Thus, ammonium ions can be prevented (reduced) from being discharged from the silicon nitride film. Therefore, even if the semiconductor substrate 1S is stored in the closed transfer container FP without purging with nitrogen (N 2 ), the ammonium ion (NH 4 + ) concentration in the closed transfer container FP is kept at 1000 μg / m 3 or less. And disconnection of the wiring L2 can be prevented.

もちろん、純水スクラブ洗浄を実施した半導体基板1Sを、窒素(N2)をパージした密閉型搬送容器FPに保存しても良い。また、純水に代えて、二酸化炭素(CO2)を混入した純水、オゾン(O3)水、または、過酸化水素水(H22)等を用いても同様の効能が得られる。 Of course, the semiconductor substrate 1S that has been subjected to pure water scrub cleaning may be stored in a sealed transfer container FP purged with nitrogen (N 2 ). The same effect can be obtained by using pure water mixed with carbon dioxide (CO 2 ), ozone (O 3 ) water, hydrogen peroxide water (H 2 O 2 ) or the like instead of pure water. .

<変形例2>
変形例2は、図2のステップS19のウエハ裏面洗浄3をSPM洗浄のみとし、FPM洗浄を実施しない例である。つまり、ステップS19のウエハ裏面洗浄3として、SPM洗浄を順次実施した後に、半導体基板1Sを密閉型搬送容器FPに保存するものである。そして、ステップS20のフォトレジスト膜PR3形成工程を実施するまでの間は、密閉型搬送容器FP内に保存する。この場合も、密閉型搬送容器FP内のアンモニウムイオン(NH4 +)濃度の管理は必要ない。つまり、密閉型搬送容器FP内に窒素(N2)をパージする必要はない。
<Modification 2>
Modification 2 is an example in which the wafer back surface cleaning 3 in step S19 in FIG. 2 is only SPM cleaning, and FPM cleaning is not performed. That is, as the wafer back surface cleaning 3 in step S19, after the SPM cleaning is sequentially performed, the semiconductor substrate 1S is stored in the sealed transfer container FP. Then, until the photoresist film PR3 forming step of Step S20 is performed, the photoresist film PR3 is stored in the sealed transfer container FP. Also in this case, it is not necessary to manage the concentration of ammonium ions (NH 4 + ) in the sealed transfer container FP. That is, it is not necessary to purge nitrogen (N 2 ) into the sealed transfer container FP.

ウエハ裏面洗浄3をSPM洗浄のみとすることで、半導体基板1Sの裏面の窒化シリコン膜の表面は、薄い酸化シリコン膜で覆われた状態となっているので、窒化シリコン膜中からアンモニウムイオンが排出されるのを防止(低減)することができる。そのため、半導体基板1Sを窒素(N2)パージせずに密閉型搬送容器FP内に保存しても、密閉型搬送容器FP内のアンモニウムイオン(NH4 +)濃度を1000μg/m3以下に保つことができ、配線L2の断線を防止することができる。 By using only the SPM cleaning for the wafer back surface cleaning 3, the surface of the silicon nitride film on the back surface of the semiconductor substrate 1S is covered with a thin silicon oxide film, so that ammonium ions are discharged from the silicon nitride film. Can be prevented (reduced). Therefore, even if the semiconductor substrate 1S is stored in the closed transfer container FP without purging with nitrogen (N 2 ), the ammonium ion (NH 4 + ) concentration in the closed transfer container FP is kept at 1000 μg / m 3 or less. And disconnection of the wiring L2 can be prevented.

もちろん、SPM洗浄直後の半導体基板1Sを、窒素(N2)をパージした密閉型搬送容器FPに保存しても良い。 Of course, the semiconductor substrate 1S immediately after the SPM cleaning may be stored in a sealed transfer container FP purged with nitrogen (N 2 ).

図22は、本実施の形態(変形例1および2を含む)の効果を示す図面である。図22の横軸において、(A)はウエハ裏面洗浄3を実施した半導体基板1Sを窒素(N2)パージした密閉型搬送容器FPに保存した例、(B)はウエハ裏面洗浄3を実施した後に、純水スクラブ洗浄を実施した半導体基板1Sを窒素(N2)パージしない密閉型搬送容器FPに保存した例、(C)はウエハ裏面洗浄3をSPM洗浄のみを実施した半導体基板1Sを窒素(N2)パージしない密閉型搬送容器FPに保存した例、そして、(D)はウエハ裏面洗浄3を実施した半導体基板1Sを窒素(N2)パージしない密閉型搬送容器FPに保存した例(従来技術)である。そして、保存期間は、4.5日として、各々の例について、密閉型搬送容器FP内のアンモニウムイオン(NH4 +)濃度を示している。(A)、(B)および(C)の例では、アンモニウムイオン(NH4 +)濃度が1000μg/m3以下となっており、配線L2の断線は発生せず、(D)の例についてのみ断線が発生した。 FIG. 22 is a diagram showing the effects of the present embodiment (including the first and second modifications). In the horizontal axis of FIG. 22, (A) shows an example in which the semiconductor substrate 1S subjected to wafer back surface cleaning 3 is stored in a sealed transfer container FP purged with nitrogen (N 2 ), and (B) performs wafer back surface cleaning 3. Later, an example in which the semiconductor substrate 1S subjected to pure water scrub cleaning was stored in a sealed transfer container FP that is not purged with nitrogen (N 2 ), (C) is a semiconductor substrate 1S subjected to only SPM cleaning for wafer back surface cleaning 3 (N 2 ) An example of storing in a sealed transfer container FP that does not purge, and (D) is an example of storing a semiconductor substrate 1S that has undergone wafer backside cleaning 3 in a sealed transfer container FP that does not purge with nitrogen (N 2 ) ( Prior art). The storage period is 4.5 days, and the ammonium ion (NH 4 + ) concentration in the sealed transfer container FP is shown for each example. In the examples of (A), (B), and (C), the ammonium ion (NH 4 + ) concentration is 1000 μg / m 3 or less, the disconnection of the wiring L2 does not occur, and only the example of (D) Disconnection occurred.

本実施の形態(変形例1および2を含む)によれば、配線L2の断線を防止でき、半導体装置の信頼性を向上できることが確認出来た。   According to the present embodiment (including modifications 1 and 2), it was confirmed that disconnection of the wiring L2 can be prevented and the reliability of the semiconductor device can be improved.

以上、本発明者によってなされた発明をその実施の形態に基づき具体的に説明したが、本発明は前記実施の形態に限定されるものではなく、その要旨を逸脱しない範囲で種々変更可能であることは言うまでもない。   As mentioned above, the invention made by the present inventor has been specifically described based on the embodiment. However, the invention is not limited to the embodiment, and various modifications can be made without departing from the scope of the invention. Needless to say.

前記実施の形態では、エッチングストッパとして機能する絶縁膜IFの形成時に、半導体基板1Sの裏面に窒化シリコン膜が形成される例を用いて説明したが、側壁絶縁膜SWを構成する窒化シリコン膜もLPCVD法で形成されるため、半導体基板1Sの主面と裏面とに窒化シリコン膜が同時に形成される。したがって、側壁絶縁膜SW用の窒化シリコン膜が、半導体基板1Sの裏面にも形成される場合もあるが、前記実施の形態と同様にして、配線L2の断線を防止することができる。   In the above embodiment, the example in which the silicon nitride film is formed on the back surface of the semiconductor substrate 1S when forming the insulating film IF functioning as an etching stopper has been described. However, the silicon nitride film constituting the sidewall insulating film SW is also used. Since it is formed by the LPCVD method, silicon nitride films are simultaneously formed on the main surface and the back surface of the semiconductor substrate 1S. Therefore, although the silicon nitride film for the sidewall insulating film SW may be formed on the back surface of the semiconductor substrate 1S, disconnection of the wiring L2 can be prevented in the same manner as in the above embodiment.

BCF1,BCF2 バリア導体膜
BIF バリア絶縁膜
CF1,CF2 銅膜
CIL コンタクト層間絶縁膜
CNT コンタクトホール
DP ダメージ保護膜
FP 密閉型搬送容器
GI ゲート絶縁膜
GN ゲート電極
GP ゲート電極
IF 絶縁膜
IL1,IL2 層間絶縁膜
L1,L2 配線
NH n型高濃度半導体層
NM n型低濃度半導体層
NW n型ウエル層
PG1,PG2 パージ穴
PH p型高濃度半導体層
PLG1,PLG2 プラグ電極
PM p型低濃度半導体層
PR1,PR3 フォトレジスト膜
PR2 ビアフィル
PW p型ウエル層
Qn n型MISFET
Qp p型MISFET
SCN,SCO バリア絶縁膜
SIL シリサイド層
STI 素子分離膜
SW 側壁絶縁膜
VH ビアホール
WF 半導体ウエハ
WD1,WD2 配線溝
1S 半導体基板
BCF1, BCF2 Barrier conductor film BIF Barrier insulation film CF1, CF2 Copper film CIL Contact interlayer insulation film CNT Contact hole DP Damage protection film FP Sealed transfer container GI Gate insulation film GN Gate electrode GP Gate electrode IF Insulation film IL1, IL2 Interlayer insulation Film L1, L2 Wiring NH n-type high-concentration semiconductor layer NM n-type low-concentration semiconductor layer NW n-type well layer PG1, PG2 purge hole PH p-type high-concentration semiconductor layer PLG1, PLG2 plug electrode PM p-type low-concentration semiconductor layer PR1, PR3 photoresist film PR2 via fill PW p-type well layer Qn n-type MISFET
Qp p-type MISFET
SCN, SCO Barrier insulating film SIL Silicide layer STI Element isolation film SW Side wall insulating film VH Via hole WF Semiconductor wafer WD1, WD2 Wiring groove 1S Semiconductor substrate

Claims (15)

(a)主面および裏面を有し、前記裏面に第1窒化シリコン膜を有する半導体基板を用意する工程、
(b)前記半導体基板の主面上に、第1開口を有する第1絶縁膜を形成する工程、
(c)選択的に、前記第1開口内に、ビアフィル膜を形成する工程、
(d)前記半導体基板の裏面を洗浄し、前記第1窒化シリコン膜の表面を露出する工程、
(e)前記第1絶縁膜および前記ビアフィル膜の上に、化学増幅型レジストからなるフォトレジスト膜を形成する工程、
を有し、
前記フォトレジスト膜は、前記第1開口と重なり、前記第1開口よりも大きい第2開口を有し、
前記工程(d)の終了後、前記工程(e)を実施するまでの間、前記半導体基板を、密閉型搬送容器に保存し、前記密閉型搬送容器内のアンモニウムイオン濃度を1000μg/m3以下とする、半導体装置の製造方法。
(A) preparing a semiconductor substrate having a main surface and a back surface, and having a first silicon nitride film on the back surface;
(B) forming a first insulating film having a first opening on the main surface of the semiconductor substrate;
(C) selectively forming a via fill film in the first opening;
(D) cleaning a back surface of the semiconductor substrate to expose a surface of the first silicon nitride film;
(E) forming a photoresist film made of a chemically amplified resist on the first insulating film and the via fill film;
Have
The photoresist film has a second opening that overlaps the first opening and is larger than the first opening;
After completion of the step (d), until the step (e) is carried out, the semiconductor substrate is stored in a sealed transport container, and the ammonium ion concentration in the sealed transport container is 1000 μg / m 3 or less. A method for manufacturing a semiconductor device.
請求項1記載の半導体装置の製造方法において、
前記密閉型搬送容器は、パージ穴を有し、前記パージ穴から前記密閉型搬送容器内に不活性ガスを注入して、前記アンモニウムイオン濃度を制御する、半導体装置の製造方法。
In the manufacturing method of the semiconductor device according to claim 1,
The method for manufacturing a semiconductor device, wherein the sealed transfer container has a purge hole, and an inert gas is injected into the sealed transfer container from the purge hole to control the ammonium ion concentration.
請求項1記載の半導体装置の製造方法において、
前記工程(d)において、フッ酸と過酸化水素水との混合液で、前記半導体基板の裏面上に形成された前記第1窒化シリコン膜の表面を覆う酸化膜を除去する、半導体装置の製造方法。
In the manufacturing method of the semiconductor device according to claim 1,
In the step (d), a mixed liquid of hydrofluoric acid and hydrogen peroxide solution is used to remove an oxide film that covers the surface of the first silicon nitride film formed on the back surface of the semiconductor substrate. Method.
請求項1記載の半導体装置の製造方法において、
前記工程(e)の後に、
(f)前記第1絶縁膜にエッチング処理を施し、前記第1絶縁膜に、前記第2開口に対応する第3開口を形成する工程、
(g)前記第1開口および前記第3開口を埋めるように、前記第1絶縁膜上に金属膜を形成する工程、
(h)前記金属膜にCMP処理を施し、前記第3開口の周囲の前記金属膜を除去して、前記第1絶縁膜を露出することにより、前記第3開口に配線を、前記第1開口にプラグ電極を形成する工程、
を有する、半導体装置の製造方法。
In the manufacturing method of the semiconductor device according to claim 1,
After step (e)
(F) etching the first insulating film, and forming a third opening corresponding to the second opening in the first insulating film;
(G) forming a metal film on the first insulating film so as to fill the first opening and the third opening;
(H) CMP is performed on the metal film, the metal film around the third opening is removed, and the first insulating film is exposed, whereby wiring is formed in the third opening. Forming a plug electrode on
A method for manufacturing a semiconductor device, comprising:
請求項4記載の半導体装置の製造方法において、
前記金属膜は、銅膜からなる、半導体装置の製造方法。
In the manufacturing method of the semiconductor device according to claim 4,
The method for manufacturing a semiconductor device, wherein the metal film is made of a copper film.
請求項1記載の半導体装置の製造方法において、
前記工程(a)は、
(a1)前記半導体基板の主面に、ゲート電極、ソース領域およびドレイン領域を有するMISFETを形成する工程、
(a2)前記MISFETを覆うように、LPCVD法を用いて、前記半導体基板の主面上に第2窒化シリコン膜を形成するとともに、前記半導体基板の裏面上に前記第1窒化シリコン膜を形成する工程、
を有する、半導体装置の製造方法。
In the manufacturing method of the semiconductor device according to claim 1,
The step (a)
(A1) forming a MISFET having a gate electrode, a source region and a drain region on the main surface of the semiconductor substrate;
(A2) A second silicon nitride film is formed on the main surface of the semiconductor substrate and a first silicon nitride film is formed on the back surface of the semiconductor substrate by LPCVD so as to cover the MISFET. Process,
A method for manufacturing a semiconductor device, comprising:
請求項1記載の半導体装置の製造方法において、
前記工程(e)は、
(e1)前記第1絶縁膜および前記ビアフィル膜を覆うように、前記化学増幅型レジストを塗布する工程、
(e2)前記化学増幅型レジストに、紫外線を照射する露光工程、
(e3)前記露光工程の後、前記化学増幅型レジストに、熱処理をする工程、
(e4)前記熱処理の後、前記化学増幅型レジストに、現像処理をする工程、
を含む、半導体装置の製造方法。
In the manufacturing method of the semiconductor device according to claim 1,
The step (e)
(E1) applying the chemically amplified resist so as to cover the first insulating film and the via fill film;
(E2) an exposure step of irradiating the chemically amplified resist with ultraviolet rays;
(E3) A step of heat-treating the chemically amplified resist after the exposure step;
(E4) a step of developing the chemically amplified resist after the heat treatment;
A method for manufacturing a semiconductor device, comprising:
(a)主面および裏面を有し、前記裏面に窒化シリコン膜を有する半導体基板を用意する工程、
(b)前記半導体基板の主面上に、第1開口を有する第1絶縁膜を形成する工程、
(c)選択的に、前記第1開口内に、ビアフィル膜を形成する工程、
(d)前記半導体基板の裏面を洗浄し、前記窒化シリコン膜の表面を露出する工程、
(e)前記工程(d)の後、前記半導体基板の裏面に対して、スクラブ洗浄を施し、前記窒化シリコン膜の表面に酸化膜を形成する工程、
(f)前記第1絶縁膜および前記ビアフィル膜の上に、化学増幅型レジストからなるフォトレジスト膜を形成する工程、
を有し、
前記フォトレジスト膜は、前記第1開口と重なり、前記第1開口よりも大きい第2開口を有し、
前記工程(e)の終了後、前記工程(f)を実施するまでの間、前記半導体基板を密閉型搬送容器に保存する、半導体装置の製造方法。
(A) preparing a semiconductor substrate having a main surface and a back surface and having a silicon nitride film on the back surface;
(B) forming a first insulating film having a first opening on the main surface of the semiconductor substrate;
(C) selectively forming a via fill film in the first opening;
(D) cleaning the back surface of the semiconductor substrate and exposing the surface of the silicon nitride film;
(E) After the step (d), scrub cleaning is performed on the back surface of the semiconductor substrate to form an oxide film on the surface of the silicon nitride film;
(F) forming a photoresist film made of a chemically amplified resist on the first insulating film and the via fill film;
Have
The photoresist film has a second opening that overlaps the first opening and is larger than the first opening;
A method of manufacturing a semiconductor device, wherein the semiconductor substrate is stored in a hermetic transfer container until the step (f) is performed after the step (e) is completed.
請求項8記載の半導体装置の製造方法において、
前記工程(e)工程では、前記半導体基板の裏面に、純水、二酸化炭素を混入した純水、オゾン水、または、過酸化水素水を流しながら前記スクラブ洗浄をする、半導体装置の製造方法。
The method of manufacturing a semiconductor device according to claim 8.
In the step (e), the scrub cleaning is performed while flowing pure water, pure water mixed with carbon dioxide, ozone water, or hydrogen peroxide water on the back surface of the semiconductor substrate.
請求項8記載の半導体装置の製造方法において、
前記密閉型搬送容器内のアンモニウムイオン濃度は、1000μg/m3以下である、半導体装置の製造方法。
The method of manufacturing a semiconductor device according to claim 8.
The method for manufacturing a semiconductor device, wherein the concentration of ammonium ions in the sealed transfer container is 1000 μg / m 3 or less.
請求項8記載の半導体装置の製造方法において、
前記密閉型搬送容器内に、不活性ガスを注入する、半導体装置の製造方法。
The method of manufacturing a semiconductor device according to claim 8.
A method for manufacturing a semiconductor device, comprising injecting an inert gas into the hermetic transfer container.
(a)主面および裏面を有し、前記裏面に窒化シリコン膜を有する半導体基板を用意する工程、
(b)前記半導体基板の主面上に、第1開口を有する第1絶縁膜を形成する工程、
(c)選択的に、前記第1開口内に、ビアフィル膜を形成する工程、
(d)前記半導体基板の裏面を、硫酸と過酸化水素水の混合液で洗浄する工程、
(e)前記第1絶縁膜および前記ビアフィル膜の上に、化学増幅型レジストからなるフォトレジスト膜を形成する工程、
を有し、
前記フォトレジスト膜は、前記第1開口と重なり、前記第1開口よりも大きい第2開口を有し、
前記洗浄工程によって、前記窒化シリコン膜の表面に酸化膜が形成された状態で、前記半導体基板を密閉型搬送容器に投入し、前記工程(e)を実施するまでの間、前記密閉型搬送容器に保存する、半導体装置の製造方法。
(A) preparing a semiconductor substrate having a main surface and a back surface and having a silicon nitride film on the back surface;
(B) forming a first insulating film having a first opening on the main surface of the semiconductor substrate;
(C) selectively forming a via fill film in the first opening;
(D) cleaning the back surface of the semiconductor substrate with a mixed solution of sulfuric acid and hydrogen peroxide solution;
(E) forming a photoresist film made of a chemically amplified resist on the first insulating film and the via fill film;
Have
The photoresist film has a second opening that overlaps the first opening and is larger than the first opening;
In the state where an oxide film is formed on the surface of the silicon nitride film by the cleaning step, the semiconductor substrate is put into a closed transfer container and the closed transfer container is used until the step (e) is performed. A method for manufacturing a semiconductor device, which is stored in
請求項12記載の半導体装置の製造方法において、
前記密閉型搬送容器内のアンモニウムイオン濃度は、1000μg/m3以下である、半導体装置の製造方法。
In the manufacturing method of the semiconductor device according to claim 12,
The method for manufacturing a semiconductor device, wherein the concentration of ammonium ions in the sealed transfer container is 1000 μg / m 3 or less.
請求項12記載の半導体装置の製造方法において、
前記密閉型搬送容器内に、不活性ガスを注入する、半導体装置の製造方法。
In the manufacturing method of the semiconductor device according to claim 12,
A method for manufacturing a semiconductor device, comprising injecting an inert gas into the hermetic transfer container.
請求項14記載の半導体装置の製造方法において、
前記不活性ガスは、窒素またはアルゴンからなる、半導体装置の製造方法。
15. The method of manufacturing a semiconductor device according to claim 14,
The method for manufacturing a semiconductor device, wherein the inert gas is made of nitrogen or argon.
JP2015118656A 2015-06-11 2015-06-11 Method for manufacturing semiconductor device Pending JP2017003824A (en)

Priority Applications (4)

Application Number Priority Date Filing Date Title
JP2015118656A JP2017003824A (en) 2015-06-11 2015-06-11 Method for manufacturing semiconductor device
US15/147,591 US9761487B2 (en) 2015-06-11 2016-05-05 Manufacturing method of semiconductor device
CN201610384198.7A CN106252274B (en) 2015-06-11 2016-06-02 Method for manufacturing semiconductor device
US15/670,867 US10332795B2 (en) 2015-06-11 2017-08-07 Manufacturing method of semiconductor device

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2015118656A JP2017003824A (en) 2015-06-11 2015-06-11 Method for manufacturing semiconductor device

Publications (1)

Publication Number Publication Date
JP2017003824A true JP2017003824A (en) 2017-01-05

Family

ID=57516142

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2015118656A Pending JP2017003824A (en) 2015-06-11 2015-06-11 Method for manufacturing semiconductor device

Country Status (3)

Country Link
US (1) US9761487B2 (en)
JP (1) JP2017003824A (en)
CN (1) CN106252274B (en)

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10332795B2 (en) * 2015-06-11 2019-06-25 Renesas Electronics Corporation Manufacturing method of semiconductor device
JP6855804B2 (en) * 2017-01-17 2021-04-07 富士電機株式会社 Semiconductor devices and methods for manufacturing semiconductor devices
JP7015218B2 (en) * 2018-06-28 2022-02-02 ルネサスエレクトロニクス株式会社 Semiconductor device

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH07263295A (en) * 1994-03-17 1995-10-13 Fujitsu Ltd Device and method for manufacturing semiconductor device
JPH1074724A (en) * 1996-08-30 1998-03-17 Hitachi Ltd Manufacture of semiconductor integrated circuit device and manufacture device
JPH11125914A (en) * 1997-10-24 1999-05-11 Nec Corp Wafer storage method and wafer storage device
JP2003140324A (en) * 2001-11-06 2003-05-14 Shin Etsu Chem Co Ltd Substrate housing container
JP2008024429A (en) * 2006-07-20 2008-02-07 Toshiba Corp Manufacturing method for electronic device
JP2010206056A (en) * 2009-03-05 2010-09-16 Renesas Electronics Corp Method of manufacturing semiconductor integrated circuit device

Family Cites Families (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH06260441A (en) * 1993-03-03 1994-09-16 Nec Corp Manufacture of semiconductor device
US6861356B2 (en) * 1997-11-05 2005-03-01 Tokyo Electron Limited Method of forming a barrier film and method of forming wiring structure and electrodes of semiconductor device having a barrier film
JP2004363558A (en) * 2003-05-13 2004-12-24 Toshiba Corp Manufacturing method of semiconductor device, and cleaning method of plasma etching device
JPWO2005013356A1 (en) * 2003-07-18 2007-09-27 日本電気株式会社 Semiconductor device having trench wiring and method of manufacturing semiconductor device
JP2005142369A (en) * 2003-11-06 2005-06-02 Renesas Technology Corp Method for manufacturing semiconductor device
KR100640966B1 (en) * 2004-12-30 2006-11-02 동부일렉트로닉스 주식회사 A method for cleaning a semiconductor device
JP5136103B2 (en) * 2008-02-12 2013-02-06 東京エレクトロン株式会社 Cleaning device and method, coating and developing device and method, and storage medium
US20120032323A1 (en) 2009-04-30 2012-02-09 Masahiro Matsumoto Semiconductor device and method of manufacturing the same
JP2012256846A (en) * 2011-05-16 2012-12-27 Elpida Memory Inc Manufacturing method of semiconductor device
KR101896517B1 (en) * 2012-02-13 2018-09-07 삼성전자주식회사 Semicoductor devices having through vias and methods for fabricating the same
JP5947093B2 (en) * 2012-04-25 2016-07-06 ルネサスエレクトロニクス株式会社 Manufacturing method of semiconductor integrated circuit device
US9153483B2 (en) * 2013-10-30 2015-10-06 Taiwan Semiconductor Manufacturing Company, Ltd. Method of semiconductor integrated circuit fabrication
TWI546847B (en) * 2013-12-27 2016-08-21 日立國際電氣股份有限公司 Substrate processing device and method for manufacturing a semiconductor device
KR20160112203A (en) * 2015-03-18 2016-09-28 삼성전자주식회사 Wiring structures, methods of forming wiring structures and methods of manufacturing semiconductor devices
JP6413888B2 (en) * 2015-03-30 2018-10-31 Jsr株式会社 Pattern forming composition, pattern forming method, and block copolymer

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH07263295A (en) * 1994-03-17 1995-10-13 Fujitsu Ltd Device and method for manufacturing semiconductor device
JPH1074724A (en) * 1996-08-30 1998-03-17 Hitachi Ltd Manufacture of semiconductor integrated circuit device and manufacture device
JPH11125914A (en) * 1997-10-24 1999-05-11 Nec Corp Wafer storage method and wafer storage device
JP2003140324A (en) * 2001-11-06 2003-05-14 Shin Etsu Chem Co Ltd Substrate housing container
JP2008024429A (en) * 2006-07-20 2008-02-07 Toshiba Corp Manufacturing method for electronic device
JP2010206056A (en) * 2009-03-05 2010-09-16 Renesas Electronics Corp Method of manufacturing semiconductor integrated circuit device

Also Published As

Publication number Publication date
CN106252274A (en) 2016-12-21
US9761487B2 (en) 2017-09-12
CN106252274B (en) 2022-01-11
US20160365278A1 (en) 2016-12-15

Similar Documents

Publication Publication Date Title
US7316949B2 (en) Integrating n-type and p-type metal gate transistors
US7256137B2 (en) Method of forming contact plug on silicide structure
KR100297144B1 (en) Semiconductor device and manufacturing method thereof
US9443817B2 (en) Method of manufacturing semiconductor device and semiconductor device
JP5357269B2 (en) Method for forming a gate stack
JP2010206056A (en) Method of manufacturing semiconductor integrated circuit device
TW201013773A (en) Method for photoresist pattern removal
TW200308022A (en) Method for fabricating a semiconductor device having an ONO film
US8617984B2 (en) Tungsten metallization: structure and fabrication of same
JP5431752B2 (en) Manufacturing method of semiconductor integrated circuit device
CN106252274B (en) Method for manufacturing semiconductor device
US8859398B2 (en) Enhancing adhesion of interlayer dielectric materials of semiconductor devices by suppressing silicide formation at the substrate edge
JP2008544524A (en) Avoiding copper delamination in semiconductor devices
JP2000208627A (en) Production of semiconductor device
JP2008141204A (en) Manufacturing method of semiconductor integrated circuit device
JP2008071864A (en) Method and device for manufacturing semiconductor device
JP2004119978A (en) Improved contact for memory cell
US10332795B2 (en) Manufacturing method of semiconductor device
JP2008305921A (en) Semiconductor device and manufacturing method therefor
JP2004207604A (en) Semiconductor device and its manufacturing method
JP4917328B2 (en) Manufacturing method of semiconductor device
JP2018056175A (en) Manufacturing method of semiconductor device
US8076235B2 (en) Semiconductor device and fabrication method thereof
JP2006203109A (en) Semiconductor device and its manufacturing method
US20070218697A1 (en) Method for removing polymer from wafer and method for removing polymer in interconnect process

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20171127

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20180918

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20180919

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20190319