JP2011228489A - Charged particle beam drawing device and charged particle beam drawing method - Google Patents

Charged particle beam drawing device and charged particle beam drawing method Download PDF

Info

Publication number
JP2011228489A
JP2011228489A JP2010097162A JP2010097162A JP2011228489A JP 2011228489 A JP2011228489 A JP 2011228489A JP 2010097162 A JP2010097162 A JP 2010097162A JP 2010097162 A JP2010097162 A JP 2010097162A JP 2011228489 A JP2011228489 A JP 2011228489A
Authority
JP
Japan
Prior art keywords
proximity effect
correction
dose
pattern
density
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2010097162A
Other languages
Japanese (ja)
Other versions
JP5525902B2 (en
Inventor
Yasushi Matsumoto
裕史 松本
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Nuflare Technology Inc
Original Assignee
Nuflare Technology Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Nuflare Technology Inc filed Critical Nuflare Technology Inc
Priority to JP2010097162A priority Critical patent/JP5525902B2/en
Priority to KR1020110036274A priority patent/KR101244525B1/en
Priority to TW100113766A priority patent/TWI438581B/en
Priority to US13/093,773 priority patent/US8610091B2/en
Publication of JP2011228489A publication Critical patent/JP2011228489A/en
Application granted granted Critical
Publication of JP5525902B2 publication Critical patent/JP5525902B2/en
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Landscapes

  • Preparing Plates And Mask In Photomechanical Process (AREA)
  • Electron Beam Exposure (AREA)

Abstract

PROBLEM TO BE SOLVED: To correct dimension fluctuations due to developing loading effect and etching loading effect while correcting proximity effect.SOLUTION: A drawing device 100 includes a storage device 142 inputting and storing a plurality of pattern dimension map data of different proximity effect densities, a selecting portion 10 selecting a group of a proximity effect correction coefficient where a dimension error of a pattern dimension in partial proximity effect density is corrected and the correction remainder occurs in the dimension error of the pattern dimension in remaining proximity effect density, and a reference irradiation amount when a map position is drawn by an irradiation amount obtained by an irradiation amount function at every map position, a correction term operating portion 16 operating a correction term for correcting correction remainder depending on the proximity effect density at every map position, an irradiation amount operating portion 18 operating the irradiation amount by using the selected group and the correction term at every map position and a drawing portion 150 drawing a desired pattern on a substrate by using electron beams of the obtained irradiation amount.

Description

本発明は、荷電粒子ビーム描画装置及び荷電粒子ビーム描画方法に係り、例えば、電子線描画において線幅均一性を向上させるための電子ビームの照射量を求める手法に関する。   The present invention relates to a charged particle beam drawing apparatus and a charged particle beam drawing method, and for example, relates to a method for obtaining an electron beam irradiation amount for improving line width uniformity in electron beam drawing.

半導体デバイスの微細化の進展を担うリソグラフィ技術は半導体製造プロセスのなかでも唯一パターンを生成する極めて重要なプロセスである。近年、LSIの高集積化に伴い、半導体デバイスに要求される回路線幅は年々微細化されてきている。これらの半導体デバイスへ所望の回路パターンを形成するためには、高精度の原画パターン(レチクル或いはマスクともいう。)が必要となる。ここで、電子線(電子ビーム)描画技術は本質的に優れた解像性を有しており、高精度の原画パターンの生産に用いられる。   Lithography technology, which is responsible for the progress of miniaturization of semiconductor devices, is an extremely important process for generating a pattern among semiconductor manufacturing processes. In recent years, with the high integration of LSI, circuit line widths required for semiconductor devices have been reduced year by year. In order to form a desired circuit pattern on these semiconductor devices, a highly accurate original pattern (also referred to as a reticle or a mask) is required. Here, the electron beam (electron beam) drawing technique has an essentially excellent resolution, and is used for producing a high-precision original pattern.

図13は、従来の可変成形型電子線描画装置の動作を説明するための概念図である。
可変成形型電子線(EB:Electron beam)描画装置は、以下のように動作する。第1のアパーチャ410には、電子線330を成形するための矩形例えば長方形の開口411が形成されている。また、第2のアパーチャ420には、第1のアパーチャ410の開口411を通過した電子線330を所望の矩形形状に成形するための可変成形開口421が形成されている。荷電粒子ソース430から照射され、第1のアパーチャ410の開口411を通過した電子線330は、偏向器により偏向され、第2のアパーチャ420の可変成形開口421の一部を通過して、所定の一方向(例えば、X方向とする)に連続的に移動するステージ上に搭載された試料340に照射される。すなわち、第1のアパーチャ410の開口411と第2のアパーチャ420の可変成形開口421との両方を通過できる矩形形状が、X方向に連続的に移動するステージ上に搭載された試料340の描画領域に描画される。第1のアパーチャ410の開口411と第2のアパーチャ420の可変成形開口421との両方を通過させ、任意形状を作成する方式を可変成形方式(VSB方式)という。
FIG. 13 is a conceptual diagram for explaining the operation of a conventional variable shaping type electron beam drawing apparatus.
The variable shaped electron beam (EB) drawing apparatus operates as follows. In the first aperture 410, a rectangular opening for forming the electron beam 330, for example, a rectangular opening 411 is formed. Further, the second aperture 420 is formed with a variable shaping opening 421 for shaping the electron beam 330 having passed through the opening 411 of the first aperture 410 into a desired rectangular shape. The electron beam 330 irradiated from the charged particle source 430 and passed through the opening 411 of the first aperture 410 is deflected by the deflector, passes through a part of the variable shaping opening 421 of the second aperture 420, and passes through a predetermined range. The sample 340 mounted on a stage that continuously moves in one direction (for example, the X direction) is irradiated. That is, the drawing area of the sample 340 mounted on the stage in which the rectangular shape that can pass through both the opening 411 of the first aperture 410 and the variable shaping opening 421 of the second aperture 420 is continuously moved in the X direction. Drawn on. A method of creating an arbitrary shape by passing both the opening 411 of the first aperture 410 and the variable shaping opening 421 of the second aperture 420 is referred to as a variable shaping method (VSB method).

上述した電子ビーム描画では、より高精度な試料面内、例えばマスク面内の線幅均一性が求められている。ここで、かかる電子ビーム描画では、電子ビームをレジストが塗布されたマスクに照射して回路パターンを描画する場合、電子ビームがレジスト層を透過してその下の層に達し、再度レジスト層に再入射する後方散乱による近接効果と呼ばれる現象が生じてしまう。これにより、描画の際、所望する寸法からずれた寸法に描画されてしまう寸法変動が生じてしまう。一方、描画後の現像やエッチングを行なう場合においても、回路パターンの粗密に起因したローディング効果と呼ばれる寸法変動が生じてしまう。   In the above-described electron beam drawing, line width uniformity within a sample surface, for example, a mask surface with higher accuracy is required. Here, in such electron beam drawing, when a circuit pattern is drawn by irradiating a resist-coated mask with an electron beam, the electron beam passes through the resist layer and reaches the layer below it, and then reappears on the resist layer. A phenomenon called a proximity effect due to incident backscattering occurs. Thereby, at the time of drawing, the dimension fluctuation | variation which will be drawn in the dimension shifted | deviated from the desired dimension will arise. On the other hand, even when development or etching after drawing is performed, a dimensional variation called a loading effect due to the density of the circuit pattern occurs.

電子ビームの照射量は、例えば、基準照射量Dbaseと、近接効果を補正するための近接効果補正係数ηとパターン面積密度ρ或いは近接効果密度Uに依存した近接効果補正照射量Dp(η,U)との積で計算される。ここで、基準照射量Dbase毎に近接効果補正がよく合う近接効果補正係数ηが存在する。そして、基準照射量Dbaseが大きいほどレレスト像の寸法が大きくなる。 The irradiation amount of the electron beam is, for example, the reference effect dose D base , the proximity effect correction coefficient η for correcting the proximity effect, and the proximity effect correction dose Dp (η, dependent on the pattern area density ρ or the proximity effect density U. U) and the product. Here, there is a proximity effect correction coefficient η with which the proximity effect correction matches well for each reference dose D base . Then, the larger the reference dose D base , the larger the dimensions of the rested image.

そこで、基板の位置毎に基準照射量Dbaseと近接効果補正係数ηとの組を変えて近接効果補正を維持しながらローディング効果による寸法変動量もあわせて補正する第1の手法がある(例えば、特許文献1参照)。かかる第1の手法で得られる照射量では、近接効果密度Uに関係なく、同じ寸法変化量が得られる。つまり、近接効果補正がずれないように寸法補正を行なう。かかる補正は、描画後の遮光膜のエッチング時に生じるローディング効果の補正に適している。 Therefore, there is a first method for correcting the amount of dimensional variation due to the loading effect while changing the set of the reference dose D base and the proximity effect correction coefficient η for each position of the substrate and maintaining the proximity effect correction (for example, , See Patent Document 1). Regardless of the proximity effect density U, the same dimensional change amount can be obtained with the irradiation amount obtained by the first method. That is, the dimension correction is performed so that the proximity effect correction does not shift. Such correction is suitable for correcting the loading effect that occurs during etching of the light shielding film after drawing.

一方、近接効果補正係数ηは変えずに補正したい寸法と裕度に応じて基準照射量Dbaseを変更して補正する第2の手法もある。かかる第2の手法では、近接効果密度毎に異なる寸法補正量が得られる。近接効果密度を合わせた照射量がレジスト現像時の閾値からずれる場合の補正に適している。そのため、現像液の濃度ムラにより生じる現像閾値の不均一性に起因するローディング効果の補正に適している。 On the other hand, there is a second method in which the reference dose D base is changed and corrected according to the size and tolerance to be corrected without changing the proximity effect correction coefficient η. In the second method, a different dimensional correction amount is obtained for each proximity effect density. This is suitable for correction when the irradiation amount combined with the proximity effect density deviates from the threshold value during resist development. Therefore, it is suitable for correcting the loading effect due to the non-uniformity of the developing threshold caused by the uneven density of the developing solution.

実際のマスク製造時に生じるローディング効果によるパターン寸法の誤差には、上述した現像時に生じるローディング効果とエッチング時に生じるローディング効果とが融合されている。すなわち、同じ位置にて両者が混在している場合がある。そのため、上述したいずれか一方の補正では補正しきれない場合がある。そこで、前者を第1の手法で補正し、後者を第2の手法で補正するといった第3の手法が検討されている。しかしながら、第3の手法で補正するためには、ユーザ等が実際に生じた寸法誤差を第1の手法の成分と第2の手法の成分に分離する必要があり、これを行なうことは非常に難しい。また、現像時に生じるローディング効果とエッチング時に生じるローディング効果との間で補正に用いる近接効果補正係数ηを変更する必要が生じた場合には上述した第3の手法は対応できなくなってしまう。   The loading effect that occurs during development and the loading effect that occurs during etching are combined with the error in pattern dimensions caused by the loading effect that occurs during actual mask manufacturing. That is, there are cases where both are mixed at the same position. For this reason, there is a case where correction cannot be performed by either one of the above-described corrections. Therefore, a third method is being studied in which the former is corrected by the first method and the latter is corrected by the second method. However, in order to correct with the third method, it is necessary for the user or the like to separate the actually generated dimensional error into the component of the first method and the component of the second method. difficult. In addition, when the proximity effect correction coefficient η used for correction needs to be changed between the loading effect generated during development and the loading effect generated during etching, the third method described above cannot be applied.

特開2007−150423号公報JP 2007-150423 A

上述したいずれの手法においても、現像時に生じるローディング効果による寸法変動とエッチング時に生じるローディング効果による寸法変動との両方を近接効果も補正しながら十分に補正することは困難であるといった問題があった。しかし、かかる問題に対して従来十分な手法が確立されていなかった。   In any of the above-described methods, there is a problem that it is difficult to sufficiently correct both the dimensional variation due to the loading effect that occurs during development and the dimensional variation due to the loading effect that occurs during etching while also correcting the proximity effect. However, a sufficient method has not been established for this problem.

そこで、本発明は、上述した問題点を克服し、現像時に生じるローディング効果による寸法変動とエッチング時に生じるローディング効果による寸法変動との両方を近接効果も補正しながら補正することが可能な装置および方法を提供することを目的とする。   Therefore, the present invention overcomes the above-described problems and can correct both a dimensional variation due to a loading effect that occurs during development and a dimensional variation due to a loading effect that occurs during etching while also correcting the proximity effect. The purpose is to provide.

本発明の一態様の荷電粒子ビーム描画装置は、
近接効果密度を可変にして基板にパターンを描画した際に基板に形成されたパターン寸法の分布を示す、それぞれ異なる近接効果密度の複数のパターン寸法マップデータを入力し、記憶する記憶装置と、
マップ位置毎に、近接効果補正係数と基準照射量とを用いて計算される寸法誤差を補正する照射量関数により得られる照射量で当該マップ位置を描画した際に、一部の近接効果密度ではパターン寸法の寸法誤差が補正され、残りの近接効果密度ではパターン寸法の寸法誤差に補正残りが生じる近接効果補正係数と基準照射量の組を選択する選択部と、
マップ位置毎に、近接効果密度に依存した補正残りを補正する補正項を演算する補正項演算部と、
マップ位置毎に、選択された近接効果補正係数と基準照射量の組と補正項とを用いて照射量を演算する照射量演算部と、
マップ位置毎に得られた照射量の荷電粒子ビームを用いて、基板上に所望のパターンを描画する描画部と、
を備えたことを特徴とする。
A charged particle beam drawing apparatus according to one embodiment of the present invention includes:
A storage device for inputting and storing a plurality of pattern dimension map data of different proximity effect densities, each indicating a distribution of pattern dimensions formed on the substrate when the proximity effect density is varied and a pattern is drawn on the substrate,
For each map position, when the map position is drawn with the dose obtained by the dose function that corrects the dimensional error calculated using the proximity effect correction coefficient and the reference dose, A selection unit that selects a set of a proximity effect correction coefficient and a reference irradiation amount in which the dimensional error of the pattern dimension is corrected, and the remaining proximity effect density causes a residual correction in the dimensional error of the pattern dimension;
For each map position, a correction term calculation unit that calculates a correction term for correcting the correction remaining depending on the proximity effect density,
A dose calculation unit that calculates a dose for each map position using a selected proximity effect correction coefficient, a reference dose set and a correction term;
A drawing unit that draws a desired pattern on a substrate using a charged particle beam of an irradiation amount obtained for each map position;
It is provided with.

かかる構成により、近接効果補正条件に縛られることなく、近接効果補正係数と基準照射量を選択できる。そして、寸法変動の現象に関係なく寸法補正ができる。   With this configuration, the proximity effect correction coefficient and the reference irradiation amount can be selected without being restricted by the proximity effect correction condition. And dimension correction can be performed irrespective of the phenomenon of dimensional variation.

また、異なる近接効果密度として、10%以下のいずれかと、50%と、90%以上のいずれかとの3つを用いると好適である。   Further, it is preferable to use three different proximity effect densities: any one of 10% or less, 50%, and any one of 90% or more.

また、選択部は、マップ位置毎に、補正残りがより小さくなる近接効果補正係数と基準照射量の前記組を選択すると好適である。   In addition, it is preferable that the selection unit selects the set of the proximity effect correction coefficient and the reference irradiation amount for which the remaining correction is smaller for each map position.

また、異なる近接効果密度のうちの1つを基準近接効果密度として、近接効果補正係数と基準照射量の組は、基準近接効果密度において所望のパターン寸法が得られるように相関され、
記憶装置は、さらに、近接効果補正係数と基準照射量の複数の組と、基準近接効果密度において複数の組でそれぞれ得られるパターン寸法と、残りの近接効果密度における複数の組での寸法変動量とを示す相関データを記憶し、
選択部は、相関データを参照して、近接効果補正係数と基準照射量の前記組を選択すると好適である。
In addition, with one of the different proximity effect densities as a reference proximity effect density, a set of the proximity effect correction coefficient and the reference dose is correlated so that a desired pattern dimension is obtained at the reference proximity effect density,
The storage device further includes a plurality of sets of the proximity effect correction coefficient and the reference irradiation amount, a pattern dimension obtained by each of the plurality of sets at the reference proximity effect density, and a dimensional variation amount at the plurality of sets at the remaining proximity effect density. And store correlation data indicating
It is preferable that the selection unit selects the set of the proximity effect correction coefficient and the reference irradiation amount with reference to the correlation data.

本発明の一態様の荷電粒子ビーム描画方法は、
近接効果密度を可変にして基板にパターンを描画した際に基板に形成されたパターン寸法の分布を示す、それぞれ異なる近接効果密度の複数のパターン寸法マップデータを記憶する記憶装置から複数のパターン寸法マップデータを読み出し、マップ位置毎に、近接効果補正係数と基準照射量とを用いて計算される寸法誤差を補正する照射量関数により得られる照射量で当該マップ位置を描画した際に、一部の近接効果密度ではパターン寸法の寸法誤差が補正され、残りの近接効果密度ではパターン寸法の寸法誤差に補正残りが生じる近接効果補正係数と基準照射量の組を選択する工程と、
マップ位置毎に、近接効果密度に依存した補正残りを補正する補正項を演算する工程と、
マップ位置毎に、選択された近接効果補正係数と基準照射量の組と補正項とを用いて照射量を演算する工程と、
マップ位置毎に得られた照射量の荷電粒子ビームを用いて、基板上に所望のパターンを描画する工程と、
を備えたことを特徴とする。
The charged particle beam drawing method of one embodiment of the present invention includes:
A plurality of pattern dimension maps from a storage device that stores a plurality of pattern dimension map data of different proximity effect densities, each indicating a distribution of pattern dimensions formed on the substrate when a pattern is drawn on the substrate with the proximity effect density varied. When the map position is drawn with the dose obtained by the dose function that corrects the dimensional error calculated using the proximity effect correction coefficient and the reference dose for each map position, In the proximity effect density, a dimension error of the pattern dimension is corrected, and in the remaining proximity effect density, a process of selecting a set of a proximity effect correction coefficient and a reference irradiation amount that causes a residual correction in the dimension error of the pattern dimension;
For each map position, calculating a correction term for correcting the correction remaining depending on the proximity effect density;
For each map position, calculating a dose using a selected proximity effect correction coefficient and a reference dose set and a correction term;
Drawing a desired pattern on a substrate using a charged particle beam of an irradiation amount obtained for each map position;
It is provided with.

本発明の一態様によれば、現像時に生じるローディング効果による寸法変動とエッチング時に生じるローディング効果による寸法変動といった複数の現象に基づく寸法変動全体を現象を区別することなく近接効果も補正しながら補正できる。   According to one aspect of the present invention, it is possible to correct the entire size variation based on a plurality of phenomena such as the size variation due to the loading effect that occurs during development and the size variation due to the loading effect that occurs during etching, while also correcting the proximity effect without distinguishing the phenomenon. .

実施の形態1における描画装置の構成を示す概念図である。1 is a conceptual diagram illustrating a configuration of a drawing apparatus according to Embodiment 1. FIG. 実施の形態1における描画方法の要部工程を示すフローチャート図である。FIG. 4 is a flowchart showing main steps of the drawing method according to Embodiment 1. 実施の形態1におけるパターン寸法と照射量との相関データの一例を示すグラフである。6 is a graph showing an example of correlation data between pattern dimensions and irradiation dose in the first embodiment. 実施の形態1における近接効果補正係数と基準照射量との相関データの一例を示すグラフである。6 is a graph showing an example of correlation data between a proximity effect correction coefficient and a reference dose in the first embodiment. 実施の形態1におけるパターン寸法と近接効果補正係数との相関データの一例を示すグラフである。5 is a graph showing an example of correlation data between pattern dimensions and proximity effect correction coefficients in the first embodiment. 実施の形態1における基準照射量と近接効果補正係数とU(x)=0.5でのパターン寸法とU(x)=0.5以外での寸法変動量との相関データの一例を示す図である。The figure which shows an example of the correlation data with the reference irradiation amount in Embodiment 1, the proximity effect correction coefficient, the pattern dimension in U (x) = 0.5, and the dimension fluctuation amount in other than U (x) = 0.5 It is. 実施の形態1における寸法マップの作成方法を説明するための概念図である。FIG. 5 is a conceptual diagram for explaining a method for creating a dimension map in the first embodiment. 実施の形態1における近接効果補正係数と基準照射量の組を選択する手法を説明するための概念図である。6 is a conceptual diagram for explaining a method of selecting a set of a proximity effect correction coefficient and a reference dose in Embodiment 1. FIG. 実施の形態1における補正項を演算するための手法を説明するための概念図である。FIG. 6 is a conceptual diagram for explaining a method for calculating a correction term in the first embodiment. 実施の形態1における照射量補正の一例を示す図である。6 is a diagram showing an example of dose correction in the first embodiment. FIG. 実施の形態1における照射量補正の他の一例を示す図である。It is a figure which shows another example of the irradiation amount correction | amendment in Embodiment 1. FIG. 実施の形態1における照射量補正の他の一例を示す図である。It is a figure which shows another example of the irradiation amount correction | amendment in Embodiment 1. FIG. 従来の可変成形型電子線描画装置の動作を説明するための概念図である。It is a conceptual diagram for demonstrating operation | movement of the conventional variable shaping type | mold electron beam drawing apparatus.

以下、実施の形態では、荷電粒子ビームの一例として、電子ビームを用いた構成について説明する。但し、荷電粒子ビームは、電子ビームに限るものではなく、イオンビーム等の荷電粒子を用いたビームでも構わない。また、荷電粒子ビーム装置の一例として、可変成形型の描画装置について説明する。   Hereinafter, in the embodiment, a configuration using an electron beam will be described as an example of a charged particle beam. However, the charged particle beam is not limited to an electron beam, and a beam using charged particles such as an ion beam may be used. Further, a variable shaping type drawing apparatus will be described as an example of the charged particle beam apparatus.

実施の形態1.
図1は、実施の形態1における描画装置の構成を示す概念図である。図1において、描画装置100は、描画部150と制御部160を備えている。描画装置100は、荷電粒子ビーム描画装置の一例である。特に、可変成形型(VSB型)の描画装置の一例である。描画部150は、電子鏡筒102と描画室103を備えている。電子鏡筒102内には、電子銃201、照明レンズ202、ブランキング偏向器(ブランカー)212、ブランキングアパーチャ214、第1の成形アパーチャ203、投影レンズ204、偏向器205、第2の成形アパーチャ206、対物レンズ207、及び偏向器208が配置されている。描画室103内には、少なくともXY方向に移動可能なXYステージ105が配置される。XYステージ105上には、描画対象となる試料101が配置される。試料101には、半導体装置を製造するための露光用のマスクやシリコンウェハ等が含まれる。マスクにはマスクブランクスが含まれる。
Embodiment 1 FIG.
FIG. 1 is a conceptual diagram illustrating a configuration of a drawing apparatus according to the first embodiment. In FIG. 1, the drawing apparatus 100 includes a drawing unit 150 and a control unit 160. The drawing apparatus 100 is an example of a charged particle beam drawing apparatus. In particular, it is an example of a variable shaping type (VSB type) drawing apparatus. The drawing unit 150 includes an electron column 102 and a drawing chamber 103. In the electron column 102, there are an electron gun 201, an illumination lens 202, a blanking deflector (blanker) 212, a blanking aperture 214, a first shaping aperture 203, a projection lens 204, a deflector 205, and a second shaping aperture. 206, an objective lens 207, and a deflector 208 are arranged. An XY stage 105 that can move at least in the XY direction is disposed in the drawing chamber 103. On the XY stage 105, a sample 101 to be drawn is arranged. The sample 101 includes an exposure mask and a silicon wafer for manufacturing a semiconductor device. Masks include mask blanks.

制御部160は、制御計算機110、メモリ112、偏向制御回路120、DAC(デジタル・アナログコンバータ)アンプユニット130(偏向アンプ)、及び磁気ディスク装置等の記憶装置140,142を有している。制御計算機110、メモリ112、偏向制御回路120、及び磁気ディスク装置等の記憶装置140,142は、図示しないバスを介して互いに接続されている。偏向制御回路120にはDACアンプユニット130が接続されている。DACアンプユニット130は、ブランキング偏向器212に接続されている。   The control unit 160 includes a control computer 110, a memory 112, a deflection control circuit 120, a DAC (digital / analog converter) amplifier unit 130 (deflection amplifier), and storage devices 140 and 142 such as a magnetic disk device. The control computer 110, the memory 112, the deflection control circuit 120, and the storage devices 140 and 142 such as a magnetic disk device are connected to each other via a bus (not shown). A DAC amplifier unit 130 is connected to the deflection control circuit 120. The DAC amplifier unit 130 is connected to the blanking deflector 212.

偏向制御回路120からDACアンプユニット130に対して、ブランキング制御用のデジタル信号が出力される。そして、DACアンプユニット130では、デジタル信号をアナログ信号に変換し、増幅させた上で偏向電圧として、ブランキング偏向器212に印加する。かかる偏向電圧によって電子ビーム200が偏向させられ、各ショットのビームが形成される。   A digital signal for blanking control is output from the deflection control circuit 120 to the DAC amplifier unit 130. The DAC amplifier unit 130 converts the digital signal into an analog signal, amplifies it, and applies it to the blanking deflector 212 as a deflection voltage. The electron beam 200 is deflected by such a deflection voltage, and a beam of each shot is formed.

また、制御計算機110内には、選択部10、近接効果補正係数η,基準照射量Dbaseマップ作成部12、補正残フィッティング処理部14、補正項算出部16、照射量演算部18、照射時間演算部20、及び描画データ処理部22が配置されている。選択部10、近接効果補正係数η,基準照射量Dbaseマップ作成部12、補正残フィッティング処理部14、補正項算出部16、照射量演算部18、照射時間演算部20、及び描画データ処理部22といった各機能は、プログラムといったソフトウェアで構成されても良い。或いは、電子回路等のハードウェアで構成されてもよい。或いは、これらの組み合わせであってもよい。制御計算機110に必要な入力データ或いは演算された結果はその都度メモリ112に記憶される。同様に、偏向制御回路120は、プログラムといったソフトウェアで動作させるコンピュータで構成されても、電子回路等のハードウェアで構成されてもよい。或いは、これらの組み合わせであってもよい。ここで、図1では、実施の形態1を説明する上で必要な構成を記載している。描画装置100にとって、通常、必要なその他の構成を備えていても構わない。例えば、偏向器205や偏向器208のための各DACアンプユニットも備えていることは言うまでもない。 Further, in the control computer 110, a selection unit 10, a proximity effect correction coefficient η, a reference dose D base map creation unit 12, a correction remaining fitting processing unit 14, a correction term calculation unit 16, a dose calculation unit 18, an irradiation time A calculation unit 20 and a drawing data processing unit 22 are arranged. Selection unit 10, proximity effect correction coefficient η, reference irradiation amount D base map creation unit 12, correction remaining fitting processing unit 14, correction term calculation unit 16, irradiation amount calculation unit 18, irradiation time calculation unit 20, and drawing data processing unit Each function such as 22 may be configured by software such as a program. Alternatively, it may be configured by hardware such as an electronic circuit. Alternatively, a combination thereof may be used. The input data necessary for the control computer 110 or the calculated result is stored in the memory 112 each time. Similarly, the deflection control circuit 120 may be configured by a computer that is operated by software such as a program, or may be configured by hardware such as an electronic circuit. Alternatively, a combination thereof may be used. Here, FIG. 1 shows a configuration necessary for explaining the first embodiment. The drawing apparatus 100 may normally have other necessary configurations. For example, it goes without saying that each DAC amplifier unit for the deflector 205 and the deflector 208 is also provided.

図2は、実施の形態1における描画方法の要部工程を示すフローチャート図である。図2において、描画装置100に入力する前に事前に行なう工程として、パターン寸法CDと照射量Dとの相関データ取得工程(S102)、近接効果補正係数ηと基準照射量Dbaseとの相関データ取得工程(S104)、パターン寸法CDと近接効果補正係数ηとの相関データ取得工程(S106)、及び補正パラメータ作成工程(S108)を実施する。さらに、事前に行なう工程として、寸法マップ作成工程(S110)を実施する。そして、実施の形態1における描画装置100内で行う描画方法は、近接効果補正係数η,基準照射量Dbase選択工程(S120)、近接効果補正係数η,基準照射量Dbaseマップ作成工程(S122)、補正残フィッティング工程(S124)、補正項算出工程(S126)、照射量演算工程(S128)、照射時間演算工程(S130)、及び描画工程(S132)といった一連の工程を実施する。 FIG. 2 is a flowchart showing main steps of the drawing method according to the first embodiment. In FIG. 2, as a process performed in advance before inputting to the drawing apparatus 100, a correlation data obtaining process (S102) between the pattern dimension CD and the dose D, and correlation data between the proximity effect correction coefficient η and the reference dose D base. An acquisition step (S104), a correlation data acquisition step (S106) between the pattern dimension CD and the proximity effect correction coefficient η, and a correction parameter creation step (S108) are performed. Further, a dimension map creating step (S110) is performed as a step performed in advance. The drawing method performed in the drawing apparatus 100 according to the first embodiment includes a proximity effect correction coefficient η and a reference dose D base selection step (S120), a proximity effect correction coefficient η and a reference dose D base map creation step (S122). ), A correction remaining fitting step (S124), a correction term calculation step (S126), an irradiation amount calculation step (S128), an irradiation time calculation step (S130), and a drawing step (S132).

まず、パターン寸法CDと照射量Dとの相関データ取得工程(S102)として、近接効果密度U毎に、パターン寸法CDと照射量Dとの相関データを実験により取得する。ここで、近接効果密度U(x)は、近接効果メッシュ内のパターン面積密度ρ(x)に分布関数g(x)を近接効果の影響範囲以上の範囲で畳み込み積分した値で定義される。近接効果メッシュは、近接効果の影響範囲の例えば1/10程度のサイズが好適であり、例えば、1μm程度のサイズが好適である。近接効果密度U(x)は次の式(1)で定義できる。xは位置を示すベクトルとする。   First, as a correlation data acquisition step (S102) between the pattern dimension CD and the dose D, correlation data between the pattern dimension CD and the dose D is acquired by experiment for each proximity effect density U. Here, the proximity effect density U (x) is defined as a value obtained by convolving and integrating the distribution function g (x) with the pattern area density ρ (x) in the proximity effect mesh in a range equal to or greater than the influence range of the proximity effect. The proximity effect mesh preferably has a size of, for example, about 1/10 of the influence range of the proximity effect, and for example, a size of about 1 μm is preferable. The proximity effect density U (x) can be defined by the following equation (1). x is a vector indicating the position.

Figure 2011228489
Figure 2011228489

図3は、実施の形態1におけるパターン寸法CDと照射量Dとの相関データの一例を示すグラフである。縦軸はパターン寸法CDを示し、横軸は照射量Dを対数で示している。ここでは、例えば、近接効果密度U(x)=0(0%),0.5(50%),1(100%)の各場合について実験により求めている。近接効果密度U(x)=0は実際にはパターンが無いことになってしまうので、周囲に何もない状態で測定用のラインパターンを例えば1つ描画することで近似して求めることができる。逆に、近接効果密度U(x)=1は周囲を含めてメッシュ内全体がパターンになってしまい寸法が測れないので、周囲がパターンで埋め尽くされた状態で測定用のラインパターンを例えば1つ描画することで近似して求めることができる。また、例えば、密度50%を想定して、1:1ラインアンドスペースパターンを描画した場合に、メッシュサイズが小さいため、1つのメッシュではラインパターンだけ、隣のメッシュではスペースパターンだけとなってしまうことも起こりえる。かかる場合、パターン面積密度ρ(x)ではそのまま周囲に関係なくメッシュ内の密度となってしまう。これに対して近接効果密度U(x)を用いることで、各メッシュが密度50%と算出可能となる。ここで、設定する近接効果密度U(x)は、0%,50%,100%の各場合に限るものではない。例えば、10%以下のいずれかと、50%と、90%以上のいずれかとの3つを用いても好適である。また、3種類に限らず、その他の数の種類で測定してもよい。例えば4種類以上測定しても構わない。   FIG. 3 is a graph showing an example of correlation data between the pattern dimension CD and the dose D in the first embodiment. The vertical axis represents the pattern dimension CD, and the horizontal axis represents the dose D in logarithm. Here, for example, the proximity effect density U (x) = 0 (0%), 0.5 (50%), and 1 (100%) are obtained by experiments. Since the proximity effect density U (x) = 0 actually means that there is no pattern, it can be approximated by drawing one line pattern for measurement in a state where there is nothing around. . On the other hand, the proximity effect density U (x) = 1 is a pattern in the entire mesh including the periphery, and the dimension cannot be measured. Therefore, the measurement line pattern is set to 1 for example in a state where the periphery is completely filled with the pattern. Can be approximated by drawing one. Also, for example, assuming a density of 50%, when a 1: 1 line and space pattern is drawn, the mesh size is small, so only one line pattern is used for one mesh, and only a space pattern is used for the adjacent mesh. Things can happen. In such a case, the pattern area density ρ (x) directly becomes the density in the mesh regardless of the surroundings. On the other hand, by using the proximity effect density U (x), each mesh can be calculated as 50% density. Here, the proximity effect density U (x) to be set is not limited to 0%, 50%, and 100%. For example, it is also preferable to use any one of 10% or less, 50%, and 90% or more. Moreover, you may measure by not only three types but another number. For example, four or more types may be measured.

次に、近接効果補正係数ηと基準照射量Dbaseとの相関データ取得工程(S104)として、実験で得られたCDと照射量Dとの相関データを用いて、近接効果補正係数ηと基準照射量Dbaseとの相関データを算出する。 Next, as a correlation data acquisition step (S104) between the proximity effect correction coefficient η and the reference dose D base , using the correlation data between the CD and the dose D obtained in the experiment, the proximity effect correction coefficient η and the reference dose are calculated. Correlation data with the dose D base is calculated.

図4は、実施の形態1における近接効果補正係数ηと基準照射量Dbaseとの相関データの一例を示すグラフである。縦軸は基準照射量Dbaseを示し、横軸は近接効果補正係数ηを示している。ここでは、例えば、近接効果密度U(x)が50%を基準近接効果密度として、かかる基準近接効果密度においてパターン寸法CDが一定となる近接効果補正係数ηと基準照射量Dbaseとの相関データを算出する。基準照射量Dbase毎に近接効果補正がよく合う近接効果補正係数ηが存在する。パターン寸法を可変にして、かかる相関データをパターン寸法毎に算出する。 FIG. 4 is a graph showing an example of correlation data between the proximity effect correction coefficient η and the reference dose D base in the first embodiment. The vertical axis represents the reference dose D base , and the horizontal axis represents the proximity effect correction coefficient η. Here, for example, when the proximity effect density U (x) is 50% as the reference proximity effect density, the correlation data between the proximity effect correction coefficient η that makes the pattern dimension CD constant at the reference proximity effect density and the reference dose D base. Is calculated. There is a proximity effect correction coefficient η that matches well with the proximity effect correction for each reference dose D base . The correlation data is calculated for each pattern size by changing the pattern size.

次に、パターン寸法CDと近接効果補正係数ηとの相関データ取得工程(S106)として、パターン寸法毎に得られた近接効果補正係数ηと基準照射量Dbaseとの相関データを用いて、パターン寸法CDと近接効果補正係数ηとの相関データを算出する。 Next, as a correlation data acquisition step (S106) between the pattern dimension CD and the proximity effect correction coefficient η, using the correlation data between the proximity effect correction coefficient η and the reference dose D base obtained for each pattern dimension, Correlation data between the dimension CD and the proximity effect correction coefficient η is calculated.

図5は、実施の形態1におけるパターン寸法CDと近接効果補正係数ηとの相関データの一例を示すグラフである。縦軸はパターン寸法CDを示し、横軸は近接効果補正係数ηを示している。ここでは、さらに、残りの近接効果密度U(x)について、近接効果補正係数ηに依存したパターン寸法CDの相関データも同様に算出しておく。図5に示すように、基準近接効果密度とした50%以外の近接効果密度U(x)では、近接効果補正係数ηに依存してパターン寸法CDは変化する。図5では、基準近接効果密度以外の近接効果補正係数ηに依存したパターン寸法CDの寸法変動量δを示し、近接効果密度U(x)=0についてはδ、近接効果密度U(x)=1についてはδ100で示している。 FIG. 5 is a graph showing an example of correlation data between the pattern dimension CD and the proximity effect correction coefficient η in the first embodiment. The vertical axis represents the pattern dimension CD, and the horizontal axis represents the proximity effect correction coefficient η. Here, the correlation data of the pattern dimension CD depending on the proximity effect correction coefficient η is calculated in the same manner for the remaining proximity effect density U (x). As shown in FIG. 5, at a proximity effect density U (x) other than 50% as the reference proximity effect density, the pattern dimension CD changes depending on the proximity effect correction coefficient η. FIG. 5 shows the dimensional fluctuation amount δ of the pattern dimension CD depending on the proximity effect correction coefficient η other than the reference proximity effect density, and δ 0 for the proximity effect density U (x) = 0 , and the proximity effect density U (x). = 1 is indicated by δ 100 .

次に、補正パラメータ作成工程(S108)として、上述した相関データを使って、補正パラメータを作成する。   Next, as a correction parameter creation step (S108), a correction parameter is created using the correlation data described above.

図6は、実施の形態1における基準照射量と近接効果補正係数とU(x)=0.5でのパターン寸法とU(x)=0.5以外での寸法変動量との相関データの一例を示す図である。上述したように、複数の近接効果密度U(x)のうちの1つを基準近接効果密度として、近接効果補正係数ηと基準照射量Dbaseの組は、基準近接効果密度において所望のパターン寸法が得られるように相関される。そこで、図6では、近接効果補正係数ηと基準照射量Dbaseの複数の組と、近接効果密度U(x)=0.5において複数の組でそれぞれ得られるパターン寸法CDと、残りの近接効果密度におけるかかる複数の組での寸法変動量δ,δ100との相関データとなる補正パラメータ30を示している。図6で示す補正パラメータ30では、例えば、パターン寸法CD毎に、近接効果補正係数ηと基準照射量Dbaseの組を可変にして、各場合の寸法変動量δ,δ100を示している。 FIG. 6 shows the correlation data of the reference irradiation amount, the proximity effect correction coefficient, the pattern dimension when U (x) = 0.5, and the dimensional variation when U (x) = 0.5 in the first embodiment. It is a figure which shows an example. As described above, one of the plurality of proximity effect densities U (x) is set as the reference proximity effect density, and the set of the proximity effect correction coefficient η and the reference dose D base is a desired pattern dimension in the reference proximity effect density. Are correlated to obtain Therefore, in FIG. 6, a plurality of sets of the proximity effect correction coefficient η and the reference irradiation amount D base , a pattern dimension CD obtained by a plurality of sets at the proximity effect density U (x) = 0.5, and the remaining proximity A correction parameter 30 serving as correlation data with the dimensional variation amounts δ 0 and δ 100 in the plurality of sets in the effect density is shown. In the correction parameter 30 shown in FIG. 6, for example, for each pattern dimension CD, the set of the proximity effect correction coefficient η and the reference irradiation amount D base is made variable, and the dimensional variation amounts δ 0 and δ 100 in each case are shown. .

次に、寸法マップ作成工程(S110)として、近接効果密度U(x)毎に、試料101の位置に依存した寸法マップを作成する。   Next, as a dimension map creating step (S110), a dimension map depending on the position of the sample 101 is created for each proximity effect density U (x).

図7は、実施の形態1における寸法マップの作成方法を説明するための概念図である。図7(a)において、評価用基板300に評価パターン302が評価用基板300の実質的全面に分布されるように規則的に評価パターン302を形成する。各評価パターン302には、近接効果密度U(x)=0,0.5,1となるパターンが配置される。描画装置100を用いてレジストが塗布された評価用基板300にかかる評価パターン302を全面に描画後、レジストを現像し、下地の遮光膜となる例えばクロム(Cr)膜をエッチングし、さらにアッシングする。そして、評価用基板300に形成された遮光膜のパターン寸法をそれぞれ測定する。そして、図7(b)に示すように、近接効果密度U(x)毎に、測定されたパターン寸法を位置に依存させて定義したパターン寸法マップ40を作成する。以上のようにして、近接効果密度U(x)を可変にして評価用基板300にパターンを描画した際に評価用基板300に形成されたパターン寸法CDの分布を示す、それぞれ異なる近接効果密度の複数のパターン寸法マップ40のデータを作成する。測定されたパターン寸法には、現像時に生じるローディング効果による寸法変動分とエッチング時に生じるローディング効果による寸法変動分との両方が含まれる。パターン寸法マップ40のメッシュサイズは、ローディング効果補正用として、ローディング効果の影響範囲の1/10程度が好適である。例えば、1mm角のメッシュとすると好適である。   FIG. 7 is a conceptual diagram for explaining a method of creating a dimension map in the first embodiment. In FIG. 7A, the evaluation pattern 302 is regularly formed on the evaluation substrate 300 so that the evaluation pattern 302 is distributed over substantially the entire surface of the evaluation substrate 300. In each evaluation pattern 302, a pattern having a proximity effect density U (x) = 0, 0.5, 1 is arranged. After drawing the evaluation pattern 302 on the evaluation substrate 300 coated with a resist on the entire surface using the drawing apparatus 100, the resist is developed, for example, a chromium (Cr) film serving as a base light-shielding film is etched, and further ashing is performed. . Then, the pattern dimensions of the light shielding film formed on the evaluation substrate 300 are measured. Then, as shown in FIG. 7B, for each proximity effect density U (x), a pattern dimension map 40 is created in which the measured pattern dimension is defined depending on the position. As described above, when the proximity effect density U (x) is made variable and a pattern is drawn on the evaluation substrate 300, the distribution of the pattern dimension CD formed on the evaluation substrate 300 is shown. Data of a plurality of pattern dimension maps 40 is created. The measured pattern dimension includes both a dimensional variation due to the loading effect that occurs during development and a dimensional variation due to the loading effect that occurs during etching. The mesh size of the pattern dimension map 40 is preferably about 1/10 of the influence range of the loading effect for correcting the loading effect. For example, a 1 mm square mesh is suitable.

以上のようにして、補正パラメータ30と近接効果密度U(x)毎のパターン寸法マップ40とを描画装置100で描画する前に事前に作成しておく。そして、かかる補正パラメータ30と近接効果密度U(x)毎のパターン寸法マップ40の各データは、描画装置100の外部から描画装置100内に入力され、記憶装置142に記憶される。ここでは、1つの記憶装置142に格納しているが、これに限るものではなく、複数の記憶装置に分けて格納されても構わない。そして、これらのデータを用いて、以下、描画装置100でパターンを描画する。   As described above, the correction parameter 30 and the pattern dimension map 40 for each proximity effect density U (x) are created in advance before drawing by the drawing apparatus 100. Each data of the correction parameter 30 and the pattern dimension map 40 for each proximity effect density U (x) is input from the outside of the drawing apparatus 100 into the drawing apparatus 100 and stored in the storage device 142. Here, the data is stored in one storage device 142, but is not limited thereto, and may be stored in a plurality of storage devices. Then, using these data, a pattern is drawn by the drawing apparatus 100 below.

描画装置100内では、描画データ処理部22が、外部から入力され記憶装置140に記憶された描画データを記憶装置140から読み出し、複数段のデータ変換処理を行う。そして、かかる複数段のデータ変換処理により描画装置固有のショットデータを生成する。そして、かかるショットデータに従って描画処理が行なわれることになる。また、描画データ処理部22は、描画データを読み出して、各位置でのパターン面積密度を算出し、さらに各位置での近接効果密度U(x)を算出する。   In the drawing apparatus 100, the drawing data processing unit 22 reads drawing data input from the outside and stored in the storage device 140 from the storage device 140, and performs a plurality of stages of data conversion processing. Then, shot data unique to the drawing apparatus is generated by such multi-stage data conversion processing. Then, drawing processing is performed according to the shot data. Further, the drawing data processing unit 22 reads the drawing data, calculates the pattern area density at each position, and further calculates the proximity effect density U (x) at each position.

近接効果補正係数η,基準照射量Dbase選択工程(S120)として、選択部10は、パターン寸法マップ40のマップ位置毎に、近接効果補正係数ηと基準照射量Dbaseとを用いて計算される寸法誤差を補正する照射量関数により得られる照射量Dで当該分布位置を描画した際に、一部の近接効果密度U(x)ではパターン寸法の寸法誤差が補正され、残りの近接効果密度U(x)ではパターン寸法の寸法誤差に補正残りとなる寸法変動量δ,δ100が生じる近接効果補正係数ηと基準照射量Dbaseの組を選択する。照射量関数は、次の式(2)で定義できる。 As the proximity effect correction coefficient η and the reference dose D base selection step (S120), the selection unit 10 is calculated using the proximity effect correction coefficient η and the reference dose D base for each map position of the pattern dimension map 40. When the distribution position is drawn with the dose D obtained by the dose function for correcting the dimensional error, the dimensional error of the pattern size is corrected for some of the proximity effect densities U (x), and the remaining proximity effect density In U (x), a set of a proximity effect correction coefficient η and a reference irradiation amount D base that cause a dimensional variation amount δ 0 , δ 100 that remains as a correction error in the dimensional error of the pattern dimension is selected. The dose function can be defined by the following equation (2).

Figure 2011228489
Figure 2011228489

式(2)に示すように、照射量D(x,U)は、基準照射量Dbase(x)と、近接効果補正係数η(x)及び近接効果密度U(x)に依存した近接効果補正照射量Dp(η(x),U(x))の積で定義できる。 As shown in Expression (2), the dose D (x, U) is a proximity effect that depends on the reference dose D base (x), the proximity effect correction coefficient η (x), and the proximity effect density U (x). It can be defined by the product of the corrected dose Dp (η (x), U (x)).

ここで、図5で示したように、近接効果密度U(x)=0.5でパターン寸法CDが一定となるように近接効果補正係数ηと基準照射量Dbaseの組が構成されている。そのため、全ての近接効果密度U(x)で所望のパターン寸法CDになる1点の近接効果補正係数ηを選択しなければ、近接効果密度U(x)=0.5以外については、ローディング効果がない条件では近接効果補正の補正残りが生じることになる。実施の形態1では、あえて、全ての近接効果密度U(x)で所望のパターン寸法CDになる1点の近接効果補正係数ηを選択せずに、近接効果補正係数ηをずらして選択する。その結果、近接効果密度U(x)=0.5では、照射量関数により得られる照射量Dで当該分布位置を描画した際に、ローディング効果を打ち消すことによりパターン寸法の寸法誤差が補正され、所望の寸法となる。これに対して、近接効果密度U(x)=0,1では、照射量関数により得られる照射量Dで当該分布位置を描画すると、パターン寸法の寸法誤差に補正残りが生じることになる。次に、選択手法について具体的に説明する。 Here, as shown in FIG. 5, the set of the proximity effect correction coefficient η and the reference irradiation amount D base is configured so that the proximity effect density U (x) = 0.5 and the pattern dimension CD is constant. . Therefore, unless the proximity effect correction coefficient η that achieves the desired pattern dimension CD is selected for all proximity effect densities U (x), the loading effect is obtained except for the proximity effect density U (x) = 0.5. If there is no condition, a correction effect of proximity effect correction will occur. In the first embodiment, the proximity effect correction coefficient η is selected by shifting without selecting the one-point proximity effect correction coefficient η that achieves the desired pattern dimension CD at all the proximity effect densities U (x). As a result, when the proximity effect density U (x) = 0.5, when the distribution position is drawn with the dose D obtained by the dose function, the dimensional error of the pattern dimension is corrected by canceling the loading effect. It becomes a desired dimension. On the other hand, when the proximity effect density U (x) = 0, 1, when the distribution position is drawn with the dose D obtained by the dose function, a correction error occurs in the dimension error of the pattern dimension. Next, the selection method will be specifically described.

図8は、実施の形態1における近接効果補正係数と基準照射量の組を選択する手法を説明するための概念図である。パターン寸法マップ40の位置毎に、各近接効果密度U(x)におけるパターン寸法CDを読み出す。そして、まず、図8(a)に示すように、基準近接効果密度となる近接効果密度U(x)=0.5におけるパターン寸法CDになる近接効果補正係数ηと基準照射量Dbaseの組を想定する。次に、近接効果密度U(x)=0におけるパターン寸法マップ40に定義されたパターン寸法のU(x)=0.5におけるパターン寸法からの寸法誤差ΔCDと近接効果密度U(x)=0における当該組で得られる照射量Dで描画した際のパターン寸法変動量δとの差分の絶対値Δを演算する。絶対値Δが、近接効果密度U(x)=0における補正残りとなる。同様に、近接効果密度U(x)=1におけるパターン寸法マップ40に定義されたパターン寸法のU(x)=0.5におけるパターン寸法からの寸法誤差ΔCD100と近接効果密度U(x)=1における当該組で得られる照射量Dで描画した際のパターン寸法変動量δ100との差分の絶対値Δ100を演算する。絶対値Δ100が、近接効果密度U(x)=1における補正残りとなる。そして、次の式(3)で示すように、両者を加算する。 FIG. 8 is a conceptual diagram for explaining a method of selecting a set of the proximity effect correction coefficient and the reference dose in the first embodiment. For each position of the pattern dimension map 40, the pattern dimension CD at each proximity effect density U (x) is read. First, as shown in FIG. 8A, a set of a proximity effect correction coefficient η and a reference dose D base that become a pattern dimension CD at a proximity effect density U (x) = 0.5 that becomes a reference proximity effect density. Is assumed. Next, the dimension error ΔCD 0 from the pattern dimension at U (x) = 0.5 of the pattern dimension defined in the pattern dimension map 40 at the proximity effect density U (x) = 0 and the proximity effect density U (x) = The absolute value Δ 0 of the difference from the pattern dimension variation amount δ 0 when drawing with the irradiation amount D obtained in the set at 0 is calculated. Absolute delta 0 becomes a corrected residue in proximity effect density U (x) = 0. Similarly, the dimension error ΔCD 100 from the pattern dimension at U (x) = 0.5 of the pattern dimension defined in the pattern dimension map 40 at the proximity effect density U (x) = 1 and the proximity effect density U (x) = The absolute value Δ 100 of the difference from the pattern dimension variation amount δ 100 when drawing with the irradiation amount D obtained in the set in 1 is calculated. Absolute value delta 100 becomes a corrected residue in proximity effect density U (x) = 1. Then, as shown by the following equation (3), both are added.

Figure 2011228489
Figure 2011228489

そして、図8(b)に示すように、選択部10は、パターン寸法マップ40の分布位置毎に、補正パラメータ30を参照して、式(3)で示したΔerrが最小となる近接効果補正係数ηと基準照射量Dbaseの組を選択する。言い換えれば、補正残りがより小さくなる近接効果補正係数ηと基準照射量Dbaseの組を選択する。 Then, as illustrated in FIG. 8B, the selection unit 10 refers to the correction parameter 30 for each distribution position of the pattern dimension map 40, and performs proximity effect correction that minimizes Δerr shown in Expression (3). A set of a coefficient η and a reference dose D base is selected. In other words, a set of the proximity effect correction coefficient η and the reference irradiation amount D base with a smaller correction residue is selected.

そして、近接効果補正係数η,基準照射量Dbaseマップ作成工程(S122)として、近接効果補正係数η,基準照射量Dbaseマップ作成部12は、パターン寸法マップ40の分布位置毎に選択された近接効果補正係数ηと基準照射量Dbaseの組を用いて、それぞれ位置に依存した近接効果補正係数ηマップと基準照射量Dbaseマップを作成する。ここでは、近接効果補正係数η,基準照射量Dbaseマップ作成部12が両方のマップを作成している構成になっているが、近接効果補正係数ηマップ作成部と基準照射量Dbaseマップ作成部とに作成機能が別々であっても構わない。 Then, as the proximity effect correction coefficient η and the reference dose D base map creation step (S122), the proximity effect correction coefficient η and the reference dose D base map creation unit 12 is selected for each distribution position of the pattern dimension map 40. Using a set of the proximity effect correction coefficient η and the reference dose D base, a position-dependent proximity effect correction factor η map and a reference dose D base map are created. Here, the proximity effect correction coefficient η and the reference dose D base map creation unit 12 are configured to create both maps, but the proximity effect correction coefficient η map creation unit and the reference dose D base map creation. The creation function may be different for each part.

以上の構成により、近接効果密度U(x)毎のパターン寸法マップから、現像時に生じるローディング効果による寸法変動とエッチング時に生じるローディング効果による寸法変動といった複数の現象に基づく寸法変動全体を、現象を区別することなく補正できる近接効果補正係数ηマップと基準照射量Dbaseマップを作成できる。そして、近接効果密度U(x)=0.5については近接効果も同時に補正できる。しかし、このままでは、近接効果密度U(x)=0.5以外において、かかる補正残りが生じたままなので、次に、以下のように補正項を設ける。 With the above configuration, the entire size variation based on a plurality of phenomena such as a size variation due to the loading effect generated during development and a size variation due to the loading effect generated during etching is distinguished from the pattern size map for each proximity effect density U (x). It is possible to create a proximity effect correction coefficient η map and a reference dose D base map that can be corrected without doing so. For the proximity effect density U (x) = 0.5, the proximity effect can be corrected simultaneously. However, with this as it is, such a correction remaining remains except for the proximity effect density U (x) = 0.5. Next, a correction term is provided as follows.

図9は、実施の形態1における補正項を演算するための手法を説明するための概念図である。図9(a)において、縦軸は補正残りΔ、横軸は近接効果密度U(x)を示す。図9(b)において、縦軸は補正項Dcorr、横軸は近接効果密度U(x)を示す。 FIG. 9 is a conceptual diagram for explaining a method for calculating a correction term in the first embodiment. In FIG. 9A, the vertical axis represents the remaining correction Δ, and the horizontal axis represents the proximity effect density U (x). In FIG. 9B, the vertical axis represents the correction term D corr and the horizontal axis represents the proximity effect density U (x).

まず、補正残フィッティング工程(S124)として、図9(a)に示すように、補正残フィッティング処理部14は、近接効果密度U(x)毎の補正残差Δを所定の関数でフィッティングして近似式を演算する。   First, in the correction residual fitting step (S124), as shown in FIG. 9A, the correction residual fitting processing unit 14 fits the correction residual Δ for each proximity effect density U (x) with a predetermined function. Calculate the approximate expression.

そして、補正項算出工程(S126)して、補正項算出部16は、マップ位置毎に、近接効果密度U(x)に依存した補正残りΔを補正する補正項Dcorrを演算する。ここでは補正残フィッティング工程(S124)で作成した近似式で得られる近接効果密度U(x)に依存した補正残りΔを補正するように補正項Dcorrの関数を設定すればよい。 Then, in the correction term calculation step (S126), the correction term calculation unit 16 calculates a correction term D corr for correcting the correction remaining Δ depending on the proximity effect density U (x) for each map position. Here, the function of the correction term D corr may be set so as to correct the correction remaining Δ depending on the proximity effect density U (x) obtained by the approximate expression created in the correction remaining fitting step (S124).

照射量演算工程(S128)として、照射量演算部18は、マップ位置毎に、選択された近接効果補正係数ηと基準照射量Dbaseの組と補正項Dcorrとを用いて照射量Dを演算する。照射量Dは、次の式(4)で定義される。 As the dose calculation step (S128), the dose calculation unit 18 calculates the dose D using the set of the selected proximity effect correction coefficient η and the reference dose D base and the correction term D corr for each map position. Calculate. The dose D is defined by the following formula (4).

Figure 2011228489
Figure 2011228489

式(4)に示すように、実施の形態1における照射量D(x,U)は、基準照射量Dbase(x)と、近接効果補正係数η(x)及び近接効果密度U(x)に依存した近接効果補正照射量Dp(η(x),U(x))の積に、さらに、位置x及び近接効果密度U(x)に依存した補正項Dcorr(x,U(x))を乗じた式で定義できる。 As shown in Expression (4), the dose D (x, U) in the first embodiment is the reference dose D base (x), the proximity effect correction coefficient η (x), and the proximity effect density U (x). And the correction term D corr (x, U (x)) depending on the position x and the proximity effect density U (x), in addition to the product of the proximity effect correction dose Dp (η (x), U (x)) depending on ).

以上のように照射量Dを演算することで、現像時に生じるローディング効果による寸法変動とエッチング時に生じるローディング効果による寸法変動といった複数の現象に基づく寸法変動全体を、現象を区別することなく近接効果も補正しながら補正できる。
ここで、照射量演算部18は、マップ位置毎に、選択された近接効果補正係数η(x)と基準照射量Dbaseの組と補正項Dcorrとを用いて演算された照射量D(x,U)に、さらに、マップ位置ごとに定義されたかぶり効果の補正計数を乗じた値を算出して、かかる結果を照射量D(x,U)としても好適である。
By calculating the irradiation amount D as described above, the proximity effect can be obtained without distinguishing the entire dimensional variation based on a plurality of phenomena such as the dimensional variation due to the loading effect occurring during development and the dimensional variation due to the loading effect occurring during etching. It can be corrected while correcting.
Here, for each map position, the dose calculation unit 18 calculates the dose D () calculated using the selected proximity effect correction coefficient η (x), the reference dose D base , and the correction term D corr. It is also possible to calculate a value obtained by multiplying x, U) by the fogging effect correction coefficient defined for each map position, and use the result as the dose D (x, U).

照射時間演算工程(S130)として、照射時間演算部20は、描画領域の各位置における電子ビーム200の照射時間Tを計算する。照射量Dは、照射時間Tと電流密度Jとの積で定義することができるので、照射時間Tは、照射量Dを電流密度Jで除することで求めることができる。算出された照射時間は偏向制御回路120に出力される。   As the irradiation time calculation step (S130), the irradiation time calculation unit 20 calculates the irradiation time T of the electron beam 200 at each position in the drawing region. Since the dose D can be defined by the product of the irradiation time T and the current density J, the irradiation time T can be obtained by dividing the dose D by the current density J. The calculated irradiation time is output to the deflection control circuit 120.

描画工程(S132)として、描画部150は、マップ位置毎に得られた照射量の電子ビーム200を用いて、試料101上に所望のパターンを描画する。具体的には、以下のように動作する。偏向制御回路120は、ショット毎の照射時間を制御するデジタル信号をDACアンプユニット130に出力する。そして、DACアンプユニット130は、デジタル信号をアナログ信号に変換し、増幅した上で偏向電圧としてブランキング偏向器212に印加する。   In the drawing step (S132), the drawing unit 150 draws a desired pattern on the sample 101 using the electron beam 200 having an irradiation amount obtained for each map position. Specifically, it operates as follows. The deflection control circuit 120 outputs a digital signal for controlling the irradiation time for each shot to the DAC amplifier unit 130. The DAC amplifier unit 130 converts the digital signal into an analog signal, amplifies it, and applies it to the blanking deflector 212 as a deflection voltage.

電子銃201(放出部)から放出された電子ビーム200は、ブランキング偏向器212内を通過する際にブランキング偏向器212によって、ビームONの状態では、ブランキングアパーチャ214を通過するように制御され、ビームOFFの状態では、ビーム全体がブランキングアパーチャ214で遮へいされるように偏向される。ビームOFFの状態からビームONとなり、その後ビームOFFになるまでにブランキングアパーチャ214を通過した電子ビーム200が1回の電子ビームのショットとなる。ブランキング偏向器212は、通過する電子ビーム200の向きを制御して、ビームONの状態とビームOFFの状態とを交互に生成する。例えば、ビームONの状態では電圧を印加せず、ビームOFFの際にブランキング偏向器212に電圧を印加すればよい。かかる各ショットの照射時間Tで試料101に照射される電子ビーム200のショットあたりの照射量が調整されることになる。   When the electron beam 200 emitted from the electron gun 201 (emission unit) passes through the blanking deflector 212, it is controlled by the blanking deflector 212 so as to pass through the blanking aperture 214 in the beam ON state. In the beam OFF state, the entire beam is deflected so as to be shielded by the blanking aperture 214. The electron beam 200 that has passed through the blanking aperture 214 until the beam is turned off after the beam is turned off becomes one shot of the electron beam. The blanking deflector 212 controls the direction of the passing electron beam 200 to alternately generate a beam ON state and a beam OFF state. For example, the voltage may be applied to the blanking deflector 212 when the beam is OFF, without applying a voltage when the beam is ON. The irradiation amount per shot of the electron beam 200 irradiated on the sample 101 is adjusted with the irradiation time T of each shot.

以上のようにブランキング偏向器212とブランキングアパーチャ214を通過することによって生成された各ショットの電子ビーム200は、照明レンズ202により矩形例えば長方形の穴を持つ第1の成形アパーチャ203全体を照明する。ここで、電子ビーム200をまず矩形例えば長方形に成形する。そして、第1の成形アパーチャ203を通過した第1のアパーチャ像の電子ビーム200は、投影レンズ204により第2の成形アパーチャ206上に投影される。偏向器205によって、かかる第2の成形アパーチャ206上での第1のアパーチャ像は偏向制御され、ビーム形状と寸法を変化させる(可変成形を行なう)ことができる。かかる可変成形はショット毎に行なわれ、通常ショット毎に異なるビーム形状と寸法に成形される。そして、第2の成形アパーチャ206を通過した第2のアパーチャ像の電子ビーム200は、対物レンズ207により焦点を合わせ、偏向器208によって偏向され、連続的に移動するXYステージ105に配置された試料の所望する位置に照射される。以上のように、各偏向器によって、電子ビーム200の複数のショットが順に基板となる試料101上へと偏向される。   As described above, the electron beam 200 of each shot generated by passing through the blanking deflector 212 and the blanking aperture 214 illuminates the entire first shaping aperture 203 having a rectangular hole, for example, a rectangular hole, by the illumination lens 202. To do. Here, the electron beam 200 is first formed into a rectangle, for example, a rectangle. Then, the electron beam 200 of the first aperture image that has passed through the first shaping aperture 203 is projected onto the second shaping aperture 206 by the projection lens 204. The deflector 205 controls the deflection of the first aperture image on the second shaping aperture 206 and can change the beam shape and dimensions (variable shaping is performed). Such variable shaping is performed for each shot, and is usually shaped into different beam shapes and dimensions for each shot. The electron beam 200 of the second aperture image that has passed through the second shaping aperture 206 is focused by the objective lens 207, deflected by the deflector 208, and placed on the XY stage 105 that moves continuously. The desired position is irradiated. As described above, a plurality of shots of the electron beam 200 are sequentially deflected onto the sample 101 serving as the substrate by each deflector.

以上のように実施の形態1によれば、複数の現象に基づく寸法変動をまとめて補正できる。近接効果密度毎の寸法マップを直接入力して処理するため、従来法のようにユーザ側で現象毎に補正幅を振り分ける必要がない。また、複数の現象に対して、従来法ではηをそれぞれ変更するような補正が必要なケースでも対応可能である。さらに、近接効果密度に依存する補正項を導入したことにより、補正精度を向上できる。   As described above, according to the first embodiment, dimensional variations based on a plurality of phenomena can be corrected together. Since the size map for each proximity effect density is directly input and processed, there is no need to assign a correction width for each phenomenon on the user side as in the conventional method. In addition, the conventional method can deal with a plurality of phenomena even when corrections such as changing η are necessary. Furthermore, by introducing a correction term that depends on the proximity effect density, the correction accuracy can be improved.

図10は、実施の形態1における照射量補正の一例を示す図である。図10(a)では、近接効果密度U(x)=0,1におけるパターン寸法マップ40に定義されたパターン寸法のU(x)=0.5におけるパターン寸法からの寸法誤差ΔCDが例えば1nm、ΔCD100が例えば−1nmである場合を示している。また、図10(c)では、かかる場合に、パターン寸法CDと近接効果補正係数ηとの相関関係の一例を示している。図10(c)の相関関係の例では、δ=1、δ100=−1となる近接効果補正係数ηが存在する。この例では、かかる近接効果補正係数ηを選択することで、図10(b)に示すように補正残り無く寸法誤差を補正できる。よって補正項Dcorr=1にできる。 FIG. 10 is a diagram showing an example of dose correction in the first embodiment. In FIG. 10A, the dimensional error ΔCD 0 from the pattern dimension at U (x) = 0.5 of the pattern dimension defined in the pattern dimension map 40 at the proximity effect density U (x) = 0, 1 is, for example, 1 nm. , ΔCD 100 is, for example, −1 nm. FIG. 10C shows an example of the correlation between the pattern dimension CD and the proximity effect correction coefficient η in such a case. In the example of the correlation in FIG. 10C, there is a proximity effect correction coefficient η such that δ 0 = 1 and δ 100 = −1. In this example, by selecting the proximity effect correction coefficient η, the dimensional error can be corrected without any remaining correction as shown in FIG. Therefore, the correction term D corr = 1 can be set.

図11は、実施の形態1における照射量補正の他の一例を示す図である。図11(a)では、近接効果密度U(x)=0,1におけるパターン寸法マップ40に定義されたパターン寸法のU(x)=0.5におけるパターン寸法からの寸法誤差ΔCDが例えば1nm、ΔCD100が例えば0である場合を示している。かかる場合、図11(c)の相関関係の例では、δ=1、δ100=0となる近接効果補正係数ηは存在しない。そこで、図10(b)に示すように、例えば共に−0.5nmずつ補正残りが生じる近接効果補正係数ηを選択する。かかる選択により、近接効果密度U(x)=0,1においてそれぞれ完全ではないがある程度の補正をすることができる。実施の形態1では、補正項Dcorrを用いることで補正残りも補正できる。 FIG. 11 is a diagram illustrating another example of dose correction in the first embodiment. In FIG. 11A, the dimensional error ΔCD 0 from the pattern dimension at U (x) = 0.5 of the pattern dimension defined in the pattern dimension map 40 at the proximity effect density U (x) = 0, 1 is, for example, 1 nm. , ΔCD 100 is 0, for example. In this case, in the example of the correlation in FIG. 11C, there is no proximity effect correction coefficient η that satisfies δ 0 = 1 and δ 100 = 0. Therefore, as shown in FIG. 10B, for example, the proximity effect correction coefficient η that causes a residual correction by −0.5 nm is selected. By such selection, it is possible to correct to some extent, though not completely, at the proximity effect density U (x) = 0, 1. In the first embodiment, the remaining correction can be corrected by using the correction term D corr .

図12は、実施の形態1における照射量補正の他の一例を示す図である。図12(a)では、近接効果密度U(x)=0,1におけるパターン寸法マップ40に定義されたパターン寸法のU(x)=0.5におけるパターン寸法からの寸法誤差ΔCDが例えば1nm、ΔCD100が例えば1nmである場合を示している。かかる場合、図12(b)の相関関係の例では、δ=1、δ100=1となる近接効果補正係数ηは存在しない。近接効果密度U(x)=0,1における寸法変動は反対側に働くので両者を共に補正することは従来困難であった。これに対して、実施の形態1では、補正項Dcorrを用いることでかかるケースでも補正できる。 FIG. 12 is a diagram showing another example of dose correction in the first embodiment. In FIG. 12A, the dimensional error ΔCD 0 from the pattern dimension at U (x) = 0.5 of the pattern dimension defined in the pattern dimension map 40 at the proximity effect density U (x) = 0, 1 is, for example, 1 nm. , ΔCD 100 is, for example, 1 nm. In this case, in the example of the correlation in FIG. 12B, there is no proximity effect correction coefficient η that satisfies δ 0 = 1 and δ 100 = 1. Since the dimensional variation at the proximity effect density U (x) = 0, 1 works on the opposite side, it has been difficult to correct both of them. On the other hand, in the first embodiment, correction can be made even in such a case by using the correction term D corr .

以上、具体例を参照しつつ実施の形態について説明した。しかし、本発明は、これらの具体例に限定されるものではない。   The embodiments have been described above with reference to specific examples. However, the present invention is not limited to these specific examples.

また、装置構成や制御手法等、本発明の説明に直接必要しない部分等については記載を省略したが、必要とされる装置構成や制御手法を適宜選択して用いることができる。例えば、描画装置100を制御する制御部構成については、記載を省略したが、必要とされる制御部構成を適宜選択して用いることは言うまでもない。   In addition, although descriptions are omitted for parts and the like that are not directly required for the description of the present invention, such as a device configuration and a control method, a required device configuration and a control method can be appropriately selected and used. For example, although the description of the control unit configuration for controlling the drawing apparatus 100 is omitted, it goes without saying that the required control unit configuration is appropriately selected and used.

その他、本発明の要素を具備し、当業者が適宜設計変更しうる全ての荷電粒子ビーム描画装置及び方法は、本発明の範囲に包含される。   In addition, all charged particle beam writing apparatuses and methods that include elements of the present invention and that can be appropriately modified by those skilled in the art are included in the scope of the present invention.

10 選択部
12 近接効果補正係数η,基準照射量Dbaseマップ作成部
14 補正残フィッティング処理部
16 補正項算出部
18 照射量演算部
20 照射時間演算部
22 描画データ処理部
30 補正パラメータ
40 寸法マップ
100 描画装置
101 試料
102 電子鏡筒
103 描画室
105 XYステージ
110 制御計算機
112 メモリ
120 偏向制御回路
130 DACアンプユニット
140,142 記憶装置
150 描画部
160 制御部
200 電子ビーム
201 電子銃
202 照明レンズ
203 第1の成形アパーチャ
204 投影レンズ
205 偏向器
206 第2の成形アパーチャ
207 対物レンズ
208 偏向器
212 ブランキング偏向器
214 ブランキングアパーチャ
330 電子線
340 試料
410 第1のアパーチャ
411 開口
420 第2のアパーチャ
421 可変成形開口
430 荷電粒子ソース
DESCRIPTION OF SYMBOLS 10 Selection part 12 Proximity effect correction coefficient (eta), reference | standard irradiation amount D base map creation part 14 Remaining correction fitting process part 16 Correction term calculation part 18 Irradiation amount calculation part 20 Irradiation time calculation part 22 Drawing data processing part 30 Correction parameter 40 Dimension map DESCRIPTION OF SYMBOLS 100 Drawing apparatus 101 Sample 102 Electron barrel 103 Drawing room 105 XY stage 110 Control computer 112 Memory 120 Deflection control circuit 130 DAC amplifier unit 140,142 Memory | storage device 150 Drawing part 160 Control part 200 Electron beam 201 Electron gun 202 Illumination lens 203 1st 1 shaping aperture 204 projection lens 205 deflector 206 second shaping aperture 207 objective lens 208 deflector 212 blanking deflector 214 blanking aperture 330 electron beam 340 sample 410 first aperture 411 opening 20 a second aperture 421 variable-shaped opening 430 a charged particle source

Claims (7)

近接効果密度を可変にして基板にパターンを描画した際に前記基板に形成されたパターン寸法の分布を示す、それぞれ異なる近接効果密度の複数のパターン寸法マップデータを入力し、記憶する記憶装置と、
マップ位置毎に、近接効果補正係数と基準照射量とを用いて計算される寸法誤差を補正する照射量関数により得られる照射量で当該分布位置を描画した際に、一部の近接効果密度では前記パターン寸法の寸法誤差が補正され、残りの近接効果密度では前記パターン寸法の寸法誤差に補正残りが生じる近接効果補正係数と基準照射量の組を選択する選択部と、
マップ位置毎に、近接効果密度に依存した前記補正残りを補正する補正項を演算する補正項演算部と、
マップ位置毎に、選択された近接効果補正係数と基準照射量の組と前記補正項とを用いて照射量を演算する照射量演算部と、
マップ位置毎に得られた照射量の荷電粒子ビームを用いて、前記基板上に所望のパターンを描画する描画部と、
を備えたことを特徴とする荷電粒子ビーム描画装置。
A storage device for inputting and storing a plurality of pattern dimension map data of different proximity effect densities, each indicating a distribution of pattern dimensions formed on the substrate when a pattern is drawn on the substrate with the proximity effect density varied.
For each map position, when the distribution position is drawn with the dose obtained by the dose function that corrects the dimensional error calculated using the proximity effect correction coefficient and the reference dose, A selection unit that selects a set of a proximity effect correction coefficient and a reference irradiation amount in which the dimensional error of the pattern dimension is corrected and the remaining proximity effect density causes a correction error in the dimensional error of the pattern dimension;
A correction term computing unit that computes a correction term for correcting the correction remaining depending on the proximity effect density for each map position;
A dose calculation unit that calculates a dose using a set of the selected proximity effect correction coefficient and reference dose and the correction term for each map position;
A drawing unit that draws a desired pattern on the substrate using a charged particle beam of an irradiation amount obtained for each map position;
A charged particle beam drawing apparatus comprising:
前記異なる近接効果密度として、10%以下のいずれかと、50%と、90%以上のいずれかとの3つを用いたことを特徴とする請求項1記載の荷電粒子ビーム描画装置。   The charged particle beam drawing apparatus according to claim 1, wherein the different proximity effect densities are any one of 10% or less, 50%, and 90% or more. 前記選択部は、分布位置毎に、前記補正残りがより小さくなる近接効果補正係数と基準照射量の前記組を選択することを特徴とする請求項1又は2記載の荷電粒子ビーム描画装置。   3. The charged particle beam drawing apparatus according to claim 1, wherein the selection unit selects, for each distribution position, the set of a proximity effect correction coefficient and a reference irradiation amount with which the correction remaining becomes smaller. 前記異なる近接効果密度のうちの1つを基準近接効果密度として、近接効果補正係数と基準照射量の組は、前記基準近接効果密度において所望のパターン寸法が得られるように相関され、
前記記憶装置は、さらに、近接効果補正係数と基準照射量の複数の組と、前記基準近接効果密度において前記複数の組でそれぞれ得られるパターン寸法と、残りの近接効果密度における前記複数の組での寸法変動量とを示す相関データを記憶し、
前記選択部は、前記相関データを参照して、近接効果補正係数と基準照射量の前記組を選択することを特徴とする請求項1〜3いずれか記載の荷電粒子ビーム描画装置。
Using one of the different proximity effect densities as a reference proximity effect density, a set of a proximity effect correction coefficient and a reference dose is correlated so that a desired pattern dimension is obtained at the reference proximity effect density,
The storage device further includes a plurality of sets of proximity effect correction coefficients and reference doses, pattern dimensions respectively obtained by the plurality of sets in the reference proximity effect density, and the plurality of sets in the remaining proximity effect density. Storing correlation data indicating the dimensional variation of
The charged particle beam drawing apparatus according to claim 1, wherein the selection unit selects the set of a proximity effect correction coefficient and a reference dose with reference to the correlation data.
近接効果密度を可変にして基板にパターンを描画した際に前記基板に形成されたパターン寸法の分布を示す、それぞれ異なる近接効果密度の複数のパターン寸法マップデータを記憶する記憶装置から複数のパターン寸法マップデータを読み出し、マップ位置毎に、近接効果補正係数と基準照射量とを用いて計算される寸法誤差を補正する照射量関数により得られる照射量で当該分布位置を描画した際に、一部の近接効果密度では前記パターン寸法の寸法誤差が補正され、残りの近接効果密度では前記パターン寸法の寸法誤差に補正残りが生じる近接効果補正係数と基準照射量の組を選択する工程と、
マップ位置毎に、近接効果密度に依存した前記補正残りを補正する補正項を演算する工程と、
マップ位置毎に、選択された近接効果補正係数と基準照射量の組と前記補正項とを用いて照射量を演算する工程と、
マップ位置毎に得られた照射量の荷電粒子ビームを用いて、前記基板上に所望のパターンを描画する工程と、
を備えたことを特徴とする荷電粒子ビーム描画方法。
A plurality of pattern dimensions from a storage device for storing a plurality of pattern dimension map data of different proximity effect densities, each representing a distribution of pattern dimensions formed on the substrate when a pattern is drawn on the substrate with the proximity effect density varied. When map data is read and the distribution position is drawn with the dose obtained by the dose function that corrects the dimensional error calculated using the proximity effect correction coefficient and the reference dose for each map position. A step of selecting a set of a proximity effect correction coefficient and a reference irradiation amount in which a dimensional error of the pattern dimension is corrected in the proximity effect density of the above, and a residual correction effect is generated in the dimensional error of the pattern dimension in the remaining proximity effect density;
Calculating a correction term for correcting the correction remaining depending on the proximity effect density for each map position;
For each map position, calculating a dose using the selected proximity effect correction coefficient and reference dose set and the correction term;
Drawing a desired pattern on the substrate using a charged particle beam of an irradiation amount obtained for each map position;
A charged particle beam drawing method comprising:
前記照射量演算部は、前記マップ位置毎に、選択された近接効果補正係数と基準照射量の組と前記補正項とを用いて演算された照射量に、さらに、マップ位置ごとに定義されたかぶり効果の補正計数を乗じた値を算出することを特徴とする請求項1又は4記載の荷電粒子ビーム描画装置。   The irradiation amount calculation unit further includes a fog defined for each map position in addition to the irradiation amount calculated using the selected proximity effect correction coefficient, reference irradiation amount set, and the correction term for each map position. 5. The charged particle beam drawing apparatus according to claim 1, wherein a value obtained by multiplying the effect correction number is calculated. 前記照射量を演算する際に、前記マップ位置毎に、選択された近接効果補正係数と基準照射量の組と前記補正項とを用いて演算された照射量に、マップ位置ごとに定義されたかぶり効果の補正計数を乗じた値を算出することを特徴とする請求項5記載の荷電粒子ビーム描画方法。   When calculating the dose, the fog defined for each map position is calculated for each map position using the selected proximity effect correction coefficient, reference dose set and the correction term. 6. The charged particle beam drawing method according to claim 5, wherein a value obtained by multiplying the effect correction number is calculated.
JP2010097162A 2010-04-20 2010-04-20 Charged particle beam drawing apparatus and charged particle beam drawing method Active JP5525902B2 (en)

Priority Applications (4)

Application Number Priority Date Filing Date Title
JP2010097162A JP5525902B2 (en) 2010-04-20 2010-04-20 Charged particle beam drawing apparatus and charged particle beam drawing method
KR1020110036274A KR101244525B1 (en) 2010-04-20 2011-04-19 Charged particle beam writing method and apparatus
TW100113766A TWI438581B (en) 2010-04-20 2011-04-20 Charged particle beam writing apparatus and charged particle beam writing method
US13/093,773 US8610091B2 (en) 2010-04-20 2011-04-25 Charged particle beam writing apparatus and charged particle beam writing method

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2010097162A JP5525902B2 (en) 2010-04-20 2010-04-20 Charged particle beam drawing apparatus and charged particle beam drawing method

Publications (2)

Publication Number Publication Date
JP2011228489A true JP2011228489A (en) 2011-11-10
JP5525902B2 JP5525902B2 (en) 2014-06-18

Family

ID=45043514

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2010097162A Active JP5525902B2 (en) 2010-04-20 2010-04-20 Charged particle beam drawing apparatus and charged particle beam drawing method

Country Status (1)

Country Link
JP (1) JP5525902B2 (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2019082520A (en) * 2017-10-30 2019-05-30 Hoya株式会社 Pattern drawing method, method for manufacturing photomask, and method for manufacturing device for display device

Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH11297584A (en) * 1998-04-10 1999-10-29 Hitachi Ltd Method and apparatus for manufacturing semiconductor integrated circuit device
JP2001168018A (en) * 1999-12-13 2001-06-22 Canon Inc Device and method for exposing charged corpuscular beam, determining method for exposure correction data and method for producing device by applying the same method
JP2004279950A (en) * 2003-03-18 2004-10-07 Toppan Printing Co Ltd Photomask, its manufacturing method, semiconductor integrated circuit, and its manufacturing method
JP2007150243A (en) * 2005-10-25 2007-06-14 Nuflare Technology Inc Method for calculating amount of irradiation of charged particle beam, method of drawing charged particle beam, program, and apparatus of drawing charged particle beam
JP2007258659A (en) * 2006-02-21 2007-10-04 Nuflare Technology Inc Method and device of charged particle beam lithography
JP2008034781A (en) * 2005-10-26 2008-02-14 Nuflare Technology Inc Method and device for charged particle beam drawing, and program
JP2009033025A (en) * 2007-07-30 2009-02-12 Jeol Ltd Dose correction drawing method and device in charged particle beam lithography apparatus
JP2009194062A (en) * 2008-02-13 2009-08-27 Nuflare Technology Inc Charged particle beam writing apparatus, and apparatus and method for correcting dimension error of pattern

Patent Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH11297584A (en) * 1998-04-10 1999-10-29 Hitachi Ltd Method and apparatus for manufacturing semiconductor integrated circuit device
JP2001168018A (en) * 1999-12-13 2001-06-22 Canon Inc Device and method for exposing charged corpuscular beam, determining method for exposure correction data and method for producing device by applying the same method
JP2004279950A (en) * 2003-03-18 2004-10-07 Toppan Printing Co Ltd Photomask, its manufacturing method, semiconductor integrated circuit, and its manufacturing method
JP2007150243A (en) * 2005-10-25 2007-06-14 Nuflare Technology Inc Method for calculating amount of irradiation of charged particle beam, method of drawing charged particle beam, program, and apparatus of drawing charged particle beam
JP2008034781A (en) * 2005-10-26 2008-02-14 Nuflare Technology Inc Method and device for charged particle beam drawing, and program
JP2007258659A (en) * 2006-02-21 2007-10-04 Nuflare Technology Inc Method and device of charged particle beam lithography
JP2009033025A (en) * 2007-07-30 2009-02-12 Jeol Ltd Dose correction drawing method and device in charged particle beam lithography apparatus
JP2009194062A (en) * 2008-02-13 2009-08-27 Nuflare Technology Inc Charged particle beam writing apparatus, and apparatus and method for correcting dimension error of pattern

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2019082520A (en) * 2017-10-30 2019-05-30 Hoya株式会社 Pattern drawing method, method for manufacturing photomask, and method for manufacturing device for display device

Also Published As

Publication number Publication date
JP5525902B2 (en) 2014-06-18

Similar Documents

Publication Publication Date Title
JP4476975B2 (en) Charged particle beam irradiation amount calculation method, charged particle beam drawing method, program, and charged particle beam drawing apparatus
US8610091B2 (en) Charged particle beam writing apparatus and charged particle beam writing method
JP4976071B2 (en) Charged particle beam drawing method and charged particle beam drawing apparatus
KR100857959B1 (en) Pattern generation method and charged particle beam writing apparatus
US7619230B2 (en) Charged particle beam writing method and apparatus and readable storage medium
JP5020849B2 (en) Charged particle beam drawing apparatus, pattern dimensional error correction apparatus, and pattern dimensional error correction method
JP5871558B2 (en) Charged particle beam drawing apparatus and charged particle beam drawing method
JP5616674B2 (en) Charged particle beam drawing apparatus and charged particle beam drawing method
JP5731257B2 (en) Charged particle beam drawing apparatus and charged particle beam drawing method
JP5242963B2 (en) Charged particle beam drawing apparatus, pattern dimension resizing apparatus, charged particle beam drawing method, and pattern dimension resizing method
JP2014060194A (en) Charged particle beam drawing device and charged particle beam drawing method
US9812284B2 (en) Charged particle beam drawing apparatus and charged particle beam drawing method
JP2008192675A (en) Pattern forming method and charged particle beam drawing apparatus
JP6869695B2 (en) Charged particle beam drawing device and charged particle beam drawing method
JP5525902B2 (en) Charged particle beam drawing apparatus and charged particle beam drawing method
JP5441806B2 (en) Charged particle beam drawing apparatus and charged particle beam drawing method
JP2012069667A (en) Charged particle beam drawing device and drawing method of charged particle beam
JP5871557B2 (en) Charged particle beam drawing apparatus and charged particle beam drawing method
JP2012023279A (en) Charged particle beam lithography apparatus and charged particle beam lithography method
JP7031516B2 (en) Irradiation amount correction amount acquisition method, charged particle beam drawing method, and charged particle beam drawing device
JP6575455B2 (en) Charged particle beam drawing method and charged particle beam drawing apparatus
JP5773637B2 (en) Charged particle beam drawing apparatus and charged particle beam drawing method
JP2019192788A (en) Charged particle beam drawing device and charged particle beam drawing method

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20130312

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20131127

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20131203

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20140131

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20140401

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20140414

R150 Certificate of patent or registration of utility model

Ref document number: 5525902

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250