JP2011035366A - 高アスペクト比のフィーチャーへのタングステン堆積方法 - Google Patents

高アスペクト比のフィーチャーへのタングステン堆積方法 Download PDF

Info

Publication number
JP2011035366A
JP2011035366A JP2009292610A JP2009292610A JP2011035366A JP 2011035366 A JP2011035366 A JP 2011035366A JP 2009292610 A JP2009292610 A JP 2009292610A JP 2009292610 A JP2009292610 A JP 2009292610A JP 2011035366 A JP2011035366 A JP 2011035366A
Authority
JP
Japan
Prior art keywords
feature
layer
tungsten
aspect ratio
deposition
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2009292610A
Other languages
English (en)
Inventor
Anand Chandrashekar
チャンドラセカール、アナンド
Raashina Humayun
フマーユーン、ラッシナ
Michal Danek
ダネク、マイケル
Aaron R Fellis
アール. フェリス、アーロン
Shoon Chan
チャン、ショーン
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Novellus Systems Inc
Original Assignee
Novellus Systems Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Novellus Systems Inc filed Critical Novellus Systems Inc
Publication of JP2011035366A publication Critical patent/JP2011035366A/ja
Pending legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/045Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • C23C16/08Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material from metal halides
    • C23C16/14Deposition of only one other metal element
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68764Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a movable susceptor, stage or support, others than those only rotating on their own vertical axis, e.g. susceptors on a rotating caroussel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68771Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by supporting more than one semiconductor substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76865Selective removal of parts of the layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L21/76876Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers for deposition from the gas phase, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • H01L22/12Measuring as part of the manufacturing process for structural parameters, e.g. thickness, line width, refractive index, temperature, warp, bond strength, defects, optical inspection, electrical measurement of structural dimensions, metallurgic measurement of diffusions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Vapour Deposition (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

【課題】高アスペクト比のフィーチャーをタングステン含有材料で充填する。
【解決手段】部分的に製造された半導体基板上の高アスペクト比のフィーチャーをタングステン含有材料で充填する方法が提供される。ある実施形態においては、当該方法は高アスペクト比のフィーチャーにタングステン含有材料を部分的に充填する工程とフィーチャー空洞から部分的に充填された材料を選択的に除去する工程とを有する。これらの方法を用いて処理された基板においては、高アスペクト比のフィーチャーに充填されたタングステン含有材料のステップカバレッジが改善され、シームの大きさが低減する。
【選択図】図3

Description

化学気相成長法(CVD)を用いたタングステン含有材料の堆積は、多くの半導体製造プロセスにおける重要な部分である。これらの材料は、水平方向の相互接続、隣接するメタル層の間のビア、第1のメタル層およびシリコン基板上のデバイスの間のコンタクト、および高アスペクト比のフィーチャーに用いられる場合がある。従来の堆積プロセスにおいては、基板が堆積チャンバーにおいてプロセス温度まで熱せられて、シードまたは核生成層として機能するタングステン含有材料の薄い層が堆積される。その後、タングステン含有フィルム(バルク層)の残留物が、核生成層上に堆積される。一般的には、タングステン含有バルク層は、タングステンヘキサフロライド(WF)を水素(H)で還元することによって形成されている。タングステン含有層はフィーチャーおよびフィールド領域を含む基板の露出された表面領域のすべてに渡って堆積される。
タングステン含有材料を、小さい、特に、高いアスペクト比のフィーチャーに堆積することによって、充填されたフィーチャーの内部にシームが形成される場合がある。大きなシームは、高い抵抗、コンタミネーション、充填された材料の損失、および集積回路の性能劣化を招く場合がある。例えば、シームは充填プロセス後にフィールド領域付近にまで延伸された後に、化学機械研磨の間に開口する。
高アスペクト比のフィーチャーをタングステン含有材料で充填する方法が提供される。ある実施形態においては、当該方法は、化学気相成長法によって1以上の高アスペクト比のフィーチャーを有する基板上にタングステン含有材料を堆積し、部分的にフィーチャーを充填する工程を有する。当該方法においては、続いて、堆積された層の一部の除去が行われて、エッチングされた層が形成される。当該一部の除去は、フィーチャーの開口付近の堆積層の平均厚がフィーチャー内部の平均厚よりも大きくなるよう、フィーチャーの内部よりもフィーチャーの開口付近において、より多くの材料を堆積層から除去する。
本発明のこれらの特徴およびその他の特徴は、対応する以下の図面を参照してより詳細に説明される。
一部の実施形態における異なるプロセス段階での高アスペクト比のフィーチャーを有する半導体基板の一例を示す。
一部の実施形態における高アスペクト比のフィーチャーをタングステン含有材料で充填する方法を説明するプロセスフローチャートを示す。
一部の実施形態における異なる充填プロセス段階での基板断面の説明図である。
一部の実施形態における高アスペクト比のフィーチャーを充填する装置の説明図である。
一部の実施形態における高アスペクト比のフィーチャーを充填するマルチステーション装置の模式図である。
一部の実施形態における高アスペクト比のフィーチャーを充填するマルチチャンバー装置の模式図である。
フィーチャー内部にタングステン含有層が堆積された部分的に製造された半導体基板に設けられたフィーチャーの説明図であり、異なる層厚測定位置が示されている。
2つの異なるプロセス条件によるエッチング前およびエッチング後における、図6Aに示されるタングステン含有層の厚さ分布のグラフを示す。
以下の説明においては、本発明を十分に理解することができるように、さまざまな具体的な詳細が説明される。本発明は、これらの具体的な詳細のうちの一部または全部を用いることなく実施されてもよい。他の例では、本発明を不必要に曖昧にすることがないように、公知のプロセス処理は説明されていない。本発明は特定の実施形態とともに説明されるが、実施形態に発明を限定すると意図されていないことは理解されよう。
タングステン含有材料でフィーチャーを充填すると、充填されたフィーチャーの内部にシームが形成される場合がある。シームは、プロセスチャンバーの環境によって、フィーチャーの側壁に堆積された層が、何もない空間(以下、ボイド空間)を閉じ込める位置に相当するシーリング位置(ピンチ位置または参照位置とも称される)まで厚くなる場合に形成される。言い換えると、堆積層が厚くなると、ボイド空間が狭くなる。このように狭くなることによって、前駆体および/または他の反応物質がボイド空間に入り込むことが妨げられ、ボイド空間は充填されないままの状態となる。ボイド空間は、一般的には細長いシームであり、フィーチャーの深さ方向に沿って充填されたフィーチャー全体に延伸される。このボイド空間またはシームは、その形状により、鍵穴と称されることも時々ある。
シームが形成される原因には、いくつかの可能性がある。1つには、タングステン含有材料、または、より一般的には、拡散防止層または核生成層のような他の材料の堆積中に、フィーチャーの開口付近に形成されたオーバーハングである。図1は、一部の実施形態における異なるプロセス段階での高アスペクト比のフィーチャーを有する半導体基板の一例を示す。第1の断面101は、あらかじめ形成されたフィーチャー孔105を有する基板103を示す。基板は、例えば、200mmウェハ、300mmウェハ、450mmウェハのシリコンウェハである。フィーチャー孔105は、少なくとも約2:1のアスペクト比を有してよく、もしくは、より具体的な実施形態においては、少なくとも約4:1であってもよい。フィーチャー孔105は、開口付近における断面寸法(例えば、開口直径、ライン幅など)が、10ナノメートルから500ナノメートル、もしくは、より具体的には、25ナノメートルから300ナノメートルの間であってもよい。フィーチャー孔は、未充填のフィーチャーと称されたり、単にフィーチャーと称されたりすることがある。
次の段階(断面111)においては、基板103はフィーチャー孔105の内側を覆う堆積された下部層113とともに示される。下部層113は、拡散防止層、吸着層、核生成層、それらの組み合わせ、または他の適用可能な材料であってもよい。多くの堆積プロセスは良好なステップカバレッジ特性を有しないので、つまり、より多くの材料が、フィーチャー内部よりもフィールド領域および開口付近に堆積されるので、下部層113はオーバーハングを形成する場合がある。オーバーハング115は下部層113の一部であり、下部層113は、例えばフィーチャー内部よりも開口付近における方が厚い場合がある。本明細書の説明においては、「開口付近」とは、フィーチャー内部における、フィールド領域から計測されたフィーチャーの深さの約0〜10%の間に対応する、概略の位置または領域であると定義される。一部の実施形態においては、開口付近の領域は開口領域に対応する。さらに、「フィーチャー内部」とは、フィーチャー内部における、フィーチャーの上端にあるフィールド領域から計測されたフィーチャーの深さの約20〜60%の間に対応する、概略の位置または領域であると定義される。一般的には、あるパラメータ(例えば、厚さ)の値が「開口付近」または「フィーチャー内部」と特定される場合には、これらの値は、これらの位置/領域において取得された一の測定値または複数の測定値の平均値を表す。一部の実施形態においては、開口付近の下部層の平均厚は、フィーチャー内部の平均厚よりも少なくとも約10%大きい。さらに特定の実施形態においては、この差分は少なくとも約25%、少なくとも約50%、または約100%であってもよい。フィーチャー内部の材料の分布は、ステップカバレッジによって特徴づけられてもよい。本明細書の説明において、「ステップカバレッジ」は、二つの厚みの比、例えば、フィーチャー内部の材料の厚みを開口付近の材料の厚みで割った値であると定義される。一部の実施形態においては、下部層のステップカバレッジは約100%より少なく、より具体的には、約75%より少なく、さらに約50%より少ない。
次の断面121はタングステン含有材料123によって充填されたフィーチャー孔を示す。堆積プロセスの結果として、下部層113を覆って材料123のコンフォーマル(共形)層が積み重ねられる。この堆積された層はオーバーハング115を含む下部層113の形状と同じ形状となる。一部の実施形態においては、そして、特に、堆積プロセスの後半の段階(例えば、フィーチャーが閉じる直前)においては、層123はコンフォーマルでなくなり、ステップカバレッジが小さくなる場合がある(例えば、フィーチャー内部よりも開口付近において、より多くの材料が堆積される)。層123の厚みが増すと、フィーチャーが閉じてピンチ位置125が発生する場合がある。堆積プロセスが終了する前に、さらなる材料がピンチ位置125より上に堆積されることが多い。オーバーハング115によって、また、一部の実施形態においては層123のステップカバレッジが小さいことによって、閉じたフィーチャーは、参照位置125より下に充填されていないボイド129(つまり、シーム)を有する場合がある。ボイド129の大きさ、およびフィールド領域に対する参照位置125の位置は、フィーチャーの大きさ、アスペクト比、もしくは曲がり具合、堆積プロセスパラメータ、および他のパラメータと同様に、オーバーハング115の大きさに依存する。
最後に、断面131は、基板103から上層部を除去する化学機械研磨(CMP)後の基板133を示す。CMPはフィールド領域から、基板103の表面層に存在していた層113および層123の一部のような表面部を除去するのに用いられてもよい。一般的には、基板103はCMPの間に薄くされ、基板133が形成される。ピンチ位置125が、図1に示すようにCMPプロセスの平坦化レベルよりも上にある場合には、シーム129が現われ、シーム開口135を通して環境に露出される。開いた大きなシームに伴う問題は上述のとおりである。
図1に示されていないが、シームを形成したり、シームを大きくしたり、参照位置をフィールド領域に近づけたりする他の原因は、フィーチャー孔のカーブした(曲がった)側面であり、バウドフィーチャー(bowed feature)とも称される。バウドフィーチャーにおいては、開口付近の空洞の断面寸法は、フィーチャー内部の断面寸法よりも小さい。バウドフィーチャーにおけるこれらの狭い開口の効果は、上述したオーバーハング問題と少し似通った効果を生じる。さらに、バウドフィーチャーはオーバーハングを有する下部層を有し、シーム形成の負の効果を複合させる原因となる他のシームを形成してしまう場合がある。
タングステン含有材料が充填されたフィーチャーからシームを完全に除去することは不可能であるか、または、現実的ではない。例えば、堆積材料の大きな粒、特にフィーチャーが閉じる前の堆積中の大量輸送制限(mass transport limitation)、その他の理由により、ある程度のボイド空間がフィーチャー内部に残留する場合がある。しかしながら、シームの大きさを小さくし、参照位置をフィールド領域からはるかかなたに移動する方法がここに開示される。これらは、まとめて、シーム形成軽減法と称される。
(プロセス)
シーム形成は、フィーチャー充填プロセス全体における1以上の中間的な選択除去処理によって、ある程度軽減されることが発見された。これらの除去処理のためのプロセスパラメータは、これらの処理の後で、堆積されたタングステン含有層のステップカバレッジが改善するものであってよい。一部の実施形態においては、選択的除去の結果、フィーチャー内部よりも開口付近において、それまでに堆積されたより多くの材料が除去される。
図2は、一部の実施形態における高アスペクト比のフィーチャーをタングステン含有材料で充填する方法を説明するプロセスフローチャートを示す。プロセス200は高アスペクト比のフィーチャーを含む基板をプロセスチャンバー内部の堆積ステーションに載置することにより開始する(ブロック201)。基板は、拡散防止層および/またはタングステン核生成層のような下部層を有してよい。一部の基板および下部層の詳細については、図1の説明において示された。一部の実施形態においては、開口付近の下部層の平均厚は、フィーチャー内部の平均厚よりも少なくとも約25%は大きい。より一般的には、基板はオーバーハングを伴った下部層を有する。いくつかの場合においては、以前堆積されたバルクタングステンの層がフィーチャー内部に存在する場合がある。
拡散防止層が先に基板上に堆積されて、フィーチャーを充填する材料が基板の周辺材料に拡散することを防止するコンフォーマル層を形成してもよい。拡散防止層の材料は、タングステンニトライド、チタン、チタンニトライド、およびその他を含んでもよい。拡散防止層は約10オングストロームと500オングストロームの間の厚さであってよく、より特定の実施形態においては、約25オングストロームと200オングストロームの厚さであってもよい。
核生成層は、一般的には、バルクのタングステン含有材料が堆積されることを促す薄いコンフォーマル層である。一部の実施形態においては、核生成層は、パルス核生成層(PNL)技術を用いて堆積される。PNL技術においては、エージェント、パージガス、およびタングステン含有前駆体を還元するパルスが、反応チャンバーから順次注入され、パージされる。当該プロセスは、所望の厚みに達するまで周期的に繰り返される。PNLは半導体基板上に反応する反応物質を順次加えるどのような周期的プロセスをも広く含む。タングステン核生成層を堆積するPNL技術は、2008年2月13日に出願された米国特許出願12/030645号、2007年12月5日に出願された米国特許出願11/951236号、および2009年3月19日に出願された米国特許出願12/407541号に記載されており、これらの全てはタングステン堆積プロセスを記載する目的で、完全にここに参照により組み込まれる。PNLタイププロセスについてのさらなる説明は、米国特許出願11/265531号とともに、米国特許6635965号、6844258、7005372号、および7141494号に記載されており、これらも参照により組み込まれる。ここに記載される方法は、タングステン核生成層の特別な堆積方法に限定されるものではないが、PNL、ALD、CVD、PVD、およびその他の方法を含むいずれかの方法によって形成されたタングステン核生成層上にバルクタングステン膜の堆積を含む。さらに、一部の実施形態においては、バルクタングステンは、核生成層を用いないで直接堆積されてもよい。
堆積ステーションは一部の先に行う処理(例えば、拡散防止層の堆積、核生成層の堆積)および/または後続の処理(例えば、エッチング、その他の堆積、最終的なフィーチャーの充填)の実行に用いられてもよい。一部の実施形態においては、堆積ステーションは、特に堆積処理203の実行をすることが指定されてもよい。装置は、堆積処理203を実行するためのさらなる堆積ステーションを有してもよい。例えば、第一の堆積ステーションで最初の堆積が実行される。基板は、エッチングされるべく他のステーションに移動されてもよい。プロセスが、次にその他の堆積処理203を必要とする場合には、基板は第一堆積ステーションに戻されるか、その他の堆積ステーションに移動されてもよい。複数の基板に対して並行して堆積処理203の実行に、複数の堆積ステーションが用いられてもよい。さらなる詳細と装置の実施形態は図4、図5A、および図5Bの説明として後述される。
プロセスはタングステン含有材料の基板上への堆積を進める(ブロック203)。一部の実施形態においては、バルク堆積には、タングステン含有前駆体を水素で還元してタングステンを堆積する化学気相成長(CVD)プロセスが含まれる。タングステンヘキサフロライド(WF)が用いられることが多いが、プロセスは、タングステンヘキサクロライド(WCL)、有機金属前駆体、MDNOW(メチルシクロペンタジニエル−ジカルボニルニトロシル−タングステン)及びEDNOW(エチルシクロペンタジエニル−ジカルボニルニトロシルータングステン)のようにフッ素を含まない前駆体を含むが、これらに限定されない、その他のタングステン前駆体を用いて実行されてもよい。さらに、バルクタングステン層のCVD堆積において、通常は水素が還元剤として用いられるが、本発明の範囲から逸脱することなく、水素に加えて、または水素に代えて、シランを含むその他の還元剤が用いられてもよい。その他の実施形態においては、還元剤とともに、または還元剤を用いることなく、タングステンヘキサカルボニル(W(CO))が使用されてもよい。上述のPNLプロセスと異なり、CVD技術においては、WFおよびHまたはその他の反応原料は同時に反応チャンバーに導入されてもよい。こうすることで、基板表面において、混合された反応原料ガスの連続的な化学反応によってタングステン膜が形成される。化学気相成長(CVD)を用いたタングステン膜の堆積方法は、2008年8月29日出願の米国特許出願12/202126号に記載されており、堆積プロセスの記載を目的として全体がここに参照により組み込まれる。さまざまな実施形態によれば、ここに記載される方法は部分的にフィーチャーを充填する特別な方法に限定されることなく、どのような適当な堆積技術を含んでもよい。
図3は、充填プロセスの異なる段階におけるフィーチャーの断面の一例の図を示す。特に、断面321は、最初の堆積処理203の一つが完了した後のフィーチャーの一例を示す。プロセスのこの段階においては、基板303は下部層313の上に堆積されたタングステン含有材料の層323を有してもよい。開口付近の空洞の大きさは、例えば、下部層313のオーバーハング315、および/または、図1の説明において詳細に上述された堆積層323のステップカバレッジの小ささにより、フィーチャー内部の空洞の大きさよりも狭くてよい。
図2に戻り、堆積処理203は堆積層(例えば、層232)が特定の厚さに到達するまで進められる。この厚みは空洞のプロファイルおよび開口サイズに応じて定められてもよい。一部の実施形態においては、開口付近における堆積層の平均厚は、下部層がある場合には、下部層を含むフィーチャーの断面寸法の約5%から25%の間であってもよい。他の実施形態においては、堆積処理203の間に開口は完全に閉じて、その後、選択的除去処理(不図示)の間に再び開口する。
一部の実施形態においては、プロセスチャンバーは、インサイチュー計測を実行して堆積処理203および除去処理205の状態を特定するための様々なセンサーを備えてもよい。インサイチュー計測は、例えば、堆積された膜厚を特定する光学顕微鏡およびX線蛍光(XRF)を含む。さらに、赤外線(IR)分光法がエッチング処理の間に生成されるタングステンフロライド(WFx)の量の検出に用いられてもよい。最後に、タングステン核生成層または拡散防止層のような下部層がエッチ停止層として用いられてもよい。
続いて、選択的除去処理205が行われる。エッチングプロセスの詳細は、本願と同時に出願される、事務所案件番号NOVLP297X1/NMLS−3529のチャンドラシュカル他による米国特許公報「低抵抗、低ラフネス、および高反射率を有するタングステン膜の堆積方法」に記載されている。基板は堆積ステーションから他のステーションに移動されてもよく、同一のステーションにおいてプロセスが継続されてもよく、まず堆積ステーションから取り除かれて(例えば、保存のため)、その後、堆積層の選択的除去をするべく当該堆積ステーションに戻されてもよい。
一部の実施形態においては、基板は除去処理205が進行する前に加熱されるか、または冷却される必要がある。基板を予め定められた温度にするために、ステーション内の加熱部(例えば、ペデスタルに接地された電気抵抗ヒーター、またはペデスタルを循環する熱伝導流体)、基板の上の赤外線ランプ、点火プラズマなどのさまざまなデバイスが用いられてもよい。
一部の実施形態においては、選択的除去処理205は、エッチング液をプロセスチャンバーに導入する段階、およびエッチング液を堆積層と反応させる段階を含む。堆積層とエッチング液との間の化学反応を誘発させることに加えて、それら二つの間の反応速度を制御することでフィーチャー内部よりも開口付近においてより多くの材料が除去されるように、予め定められた基板温度が選択される。当該温度はエッチング液の化学的組成、好ましいエッチング速度、および、その他の材料ならびにプロセスのパラメータに基づいて選択されてよい。フッ素ベースのエッチング液を用いる一部の実施形態においては、基板は約300℃から450℃の間に加熱され、より特定の実施形態においては、約350℃から400℃の間に加熱される。異なるエッチング液に対しては、他の温度範囲が用いられてもよい。
一部の実施形態においては、処理205において用いられるエッチング液は、三フッ化窒素(NF)、フッ素(F)、四フッ化メタン(CF)、四フッ化エチレン(C)、六フッ化エタン(C)、八フッ化プロパン(C)、六フッ化硫黄(SF)、およびその他のようなフッ素を基礎とするエッチング液であってよい。エッチング液はリモートプラズマ発生器からチャンバーに導入され、活性化された種(ラジカル、イオン、および/または、高エネルギー分子を含む)を供給してもよい。
エッチング液の流量は、通常はチャンバーの大きさ、エッチング速度、エッチング均一性、およびその他のパラメータに依存する。通常は、流量はフィーチャー内部よりも開口付近において、より多くのタングステン含有材料が除去されるように選択される。例えば、195リットルチャンバーで用いられる流量は、約100sscmおよび10000sccmの間であってもよく、より具体的には、200sccmと1000sccmとの間であってもよい。ある実施形態においては、流量は2000sccmより小さく、1000sccmより小さく、または、さらに具体的には500sccmより小さくてもよい。
選択的除去(つまり、フィーチャー内部よりも開口付近においてより多くの堆積された材料が除去される)を行う一つの方法は、大量輸送制限条件下において処理205を実行することである。この手法においては、フィーチャー内部における除去速度は、フィーチャーに供給されるエッチング液などの反応材料の量によって制限される。特定の例においては、フィーチャー内部におけるエッチング速度は、この場所におけるエッチング液の濃度に依存する。これは、高い反応速度(例えば、高い温度)を維持するとともに、フィーチャー内部にその流路に沿ってエッチング液を消費している間に、プロセスチャンバー内に極めて少量のエッチング液を供給する(例えば、空洞のプロファイルおよび寸法に対して低いエッチング液の流量を用いる)ことにより達成される。特定の実施形態においては、開口付近におけるエッチング速度もまたエッチング液の濃度によっても制限される場合があるが、この条件は選択的除去を行う場合には要求されない。エッチング液はフィーチャーに供給され、空洞の開口を通してフィーチャーから反応生成物が除去されるので、流路に沿ってフィーチャーに消費されるエッチング液によって、フィーチャー内部のエッチング液の濃度は開口付近よりも小さくなる。濃度差は、使用可能な(エッチング液の流量によって加速される濃度の)エッチング液に対して、より多くのエッチング液が消費される(高い温度による高い反応速度の)場合に、より顕著になる。
選択除去処理205の結果、開口付近の堆積層の平均厚は、フィーチャー内部よりも減少する場合がある。ある実施形態においては、開口付近における減少は、フィーチャー内部における減少よりも少なくとも約10%以上大きく、より特定の実施形態においては、少なくとも約25%大きい。除去処理205は、一般的には基板、または、あるとすればいずれかの下部層がエッチング液に露出される位置まで実行される。残りの層は、ステップカバレッジによって特徴づけられてもよい。ある実施形態においては、エッチングされた層のステップカバレッジは、少なくとも約75%であり、より具体的には少なくとも約100%であり、または、少なくとも約125%であり、さらに具体的には少なくとも約150%である。
ある実施形態においては、基板は、堆積処理203の間は閉じており、選択的除去処理205の間も閉じたままになっている1以上のフィーチャーを有してよい。例えば、基板は、小さな大きさ、中間的な大きさ、そして大きなフィーチャーを有してもよい。いくつかの小さなフィーチャーは、最初の堆積処理の間は閉じており、二度と開口することはない。中間的な大きさのフィーチャーは後半のサイクルの間に閉じて、より大きな他のフィーチャーが充填されている間、閉じたままとなっている。ある実施形態のおいては、例えば、フィーチャーは、デュアルダマシン配置のように基板の垂直方向の異なる位置に存在してもよい。低い位置のフィーチャーは、高い位置にあるフィーチャーよりも早く閉じてもよい。
ある実施形態においては、堆積処理203は、一時的にフィーチャーを閉じるだけである。後述する処理213、または、上述した複数の異なる大きさおよび垂直方向の位置の複数のフィーチャーを有する場合におけるように最後の充填処理でフィーチャーを閉じる場合と異なり、この間の一時的に閉じるシームは、まだ許容されない程度に大きかったり、フィールド領域に近すぎる位置に現われたりする。これらの実施形態においては、選択的除去処理205は、処理205の最初の部分においてはフィーチャーを再度開口し、処理205の次の部分で堆積材料の選択的除去に用いられる。これらの二つの部分のプロセス条件は同じであってもよく、異なっていてもよい。例えば、エッチング液の流量は処理205の最初の部分の間は高く、フィーチャーが開口すると低くしてもよい。
堆積処理203および選択的除去処理205を含む堆積−除去サイクルは、判断ブロック207に示されるように、一回以上繰り返されてもよい。例えば、特に、大きなオーバーハングを有する小さなフィーチャーの場合には、1回のサイクルの後には、望ましいステップカバレッジに到達することは難しい場合がある。さらなるサイクルを進めるかどうかの判断207においては、要求されるシームの大きさおよびシームの位置とともに、オーバーハングの大きさ、フィーチャーの大きさ、フィーチャーのアスペクト比、フィーチャーのボウイングが考慮される。
ある実施形態においては、次のサイクルにおける一つのまたは両方の処理のプロセスパラメータが変更されてもよい(ブロック209)。例えば、最初のサイクルにおいては、堆積層は依然として薄い層でありエッチング中に汚染されるリスクが高いので、堆積の総量は後半のサイクルにおけるよりも大きいことが要求されてもよい。同時に、最初は、空洞がより大きく開口しており、閉じてしまうリスクは小さい。例えば、最初の堆積サイクルは遅い速度で実行されて(例えば、300℃付近の低い温度で行われる)、部分的に製造された基板に堆積されたタングステン含有材料の量がより大きくなるように制御してもよい。低い速度とすることによって、特定の種類のフィーチャーにおいて必要とされる、形状がより等しい堆積が可能になる。堆積される厚さの制御はそれほどクリティカルではなく、および/または、その前の堆積−エッチングサイクルは、不十分な状態で閉じる可能性が小さくなるようにフィーチャーの空洞を形づくるので、続く堆積サイクルは、より速い速度で実行されてもよい(例えば、395℃の高い温度で行われる)。
図3を参照すると、断面331は選択的除去の後のフィーチャーを示す。このように、断面321および断面331は最初のサイクルを表し、または、より一般的には、初期のサイクルの一つを表す。このサイクルの間の堆積層323は、オーバーハング315のように、シームが形成されるさまざまな原因を完全に補償したり、変化させたり(offset)するには薄すぎる。例えば、選択的除去処理の後も、断面331に示される空洞は、依然としてフィーチャー内部におけるよりも開口付近における方が狭い。ある実施形態においては、この違い(どれだけ狭いか)は十分に小さく、プロセスは堆積−除去サイクルを繰り返すことなく最終的な充填処理へと続いてもよい。
断面341および断面351は、後半のサイクルの間、および後半のサイクルの後の基板303を示す。まず、断面341はエッチングされた層333の上に形成された新たな堆積層343を示す。層343を有するフィーチャーは、その前のサイクルで達成されたより良いステップカバレッジが反映された、改善されたプロファイルを有していてもよい。しかし、空洞のプロファイルは、依然として最終的な充填に進むことを許容しないで、この空洞をさらに成形するためにその他のエッチング処理が必要になる場合がある。断面351は、充填を完了する最後の堆積に先立つステージにおける基板303を表す。空洞内部よりも開口付近において、空洞はより広くなっている。ある実施形態においては、新たに堆積された層のステップカバレッジは、最初に堆積された層よりも少なくとも約10%は大きく、または、より特定の実施形態においては、少なくとも約20%大きく、または少なくとも約30%大きい。
図2に戻ると、ある実施形態においては、ブロック204に示されるように、堆積処理203および選択的除去処理205は同時に実行されてもよい。例えば、前駆体およびエッチング液は、堆積反応およびエッチング反応の両方が同時に起こるように、プロセスチャンバーに流入される。フィーチャー内部において、少なくとも最初は、開口付近よりも大きな堆積総量を達成するために、エッチング液およびタングステン含有前駆体の流量は、エッチング反応が大量輸送制限されており、エッチング液の濃度に依存する速度となっている。同時に、堆積反応は大量輸送制限がされておらず、フィーチャー内部および開口において同じ速度で進行する。処理204の間は、エッチング液、前駆体、またはその両方の流量は調整され(例えば、徐々にまたは段階的に)、どこかの時点で、プロセスチャンバーへのエッチング液の流入は中断される。この時点で、プロセスは、後述する最後の充填処理213に移行する。
1以上の堆積−除去サイクルが繰り返されて、部分的にフィーチャーを充填し、フィーチャーの外形を形成し、プロセスは最終的な充填処理213へと続く。この処理は、ある面においては堆積処理203と同様である。主な違いは、処理213はフィーチャーが完全に閉じるまで進み、その後でフィーチャーを開口するエッチング処理が行われないことである。図3に戻ると、断面361は最終的な充填処理の後の基板303を表す。ある実施形態においては、フィーチャーは依然としてシーム363を有しているが、図1に示されたような従来の充填されたフィーチャーに比べて小さく、フィールド領域からはるかに離れた参照位置を有する。ある実施形態においては、シーム363は、フィーチャーの深さに対してフィールド領域から少なくとも約20%で終わる(つまり、DREFに対するDFETの比が少なくとも約20%)。
他の実施形態においては、フィーチャーは、開口付近よりもフィーチャー内部においてより多くのタングステンを堆積することによって充填される。タングステン含有材料が堆積される表面が、フィーチャー内(例えば、開口付近またはフィーチャー内部)の位置に依存して異なるレベルで堆積されることを抑制することによって、異なる堆積速度が達成されてもよい。特に、開口付近の表面はフィーチャー内部の表面よりも強く抑制されてもよい。特定の実施形態においては、堆積処理に先立って、プロセスチャンバーに抑制物質が導入されてもよい。フィーチャーの露出された表面は、エッチングに関して上述した場合と同様に、大量輸送制限手法において、この抑制物質によって処理されてもよい。しかしながら、エッチング処理と異なり、抑制中には表面から一切の材料は除去されない(つまり、エッチングされない)。例えば、あるプロセス条件においては、フロラインを基礎とした堆積層のエッチングにより、残っているエッチング層の表面に残留物(例えば、特定のタングステンフロライドを含む)が形成されることになる場合がある。これらの残留物は、その後に行われる堆積処理における抑制物質として作用する場合がある。さらに、あるプロセス条件においては、堆積層からの材料の除去が発生しないで、堆積層が、フィーチャー内部よりも開口付近においてより広がった抑止層を形成する場合がある。堆積−除去処理とともに、または、その代わりに行われる、異なる堆積速度を用いたフィーチャーの充填については、後述する。
どの適切なチャンバーであっても、この新しい方法の実施に用いることができる。堆積装置の例としては、カリフォルニア州サンノゼにあるノベラスシステムズ株式会社から購入できるALTUSおよびALTUS Maxなどのさまざまなシステム、または、その他の販売されているプロセスシステムが含まれる。
図4は、ある実施形態において部分的に製造された半導体基板の処理をする装置400を図示する。装置400は、ペデスタル420を有するチャンバー418、シャワーヘッド414、および、インサイチュープラズマ発生器416を備える。装置400は、さまざまなデバイスへの入力を受け、および/または制御信号を供給するシステムコントローラ422も含む。
エッチング液、および、ある実施形態においては、アルゴン、ヘリウムなどのような不活性ガスがソース402からリモートプラズマ発生器406に供給される。ソース402は、貯蔵タンクであってもよい。どのようなリモートプラズマ発生器であっても、チャンバー418に導入される前にエッチング液を活性化させる場合に用いることができる。例えば、ASTRON(登録商標)iタイプAX7670、ASTRON(登録商標)eタイプAX7680、ASTRON(登録商標)exタイプAX7685、ASTRON(登録商標)hf−sタイプAX7645のようなリモートプラズマクリーニング(RPC)ユニットの全ては、マサチューセッツ州アンドーバーのMKSインスツルメンツから調達することができる。RPCユニットは、一般的には供給されるエッチング液を用いて、弱くイオン化されたプラズマを生成する、自己内蔵型デバイスである。RPCユニットに内蔵された高出力RF発生器は、プラズマ内の電子にエネルギーを供給する。続いて、このエネルギーは、中性のエッチング液の分子に伝達され、これらの分子を、熱分離を生じさせる2000Kオーダーの温度にする。RPCユニットは、その高いRFエネルギーと特別なチャネル形状とによって、入ってくるエッチング液の分子の60%以上を分離し、このエネルギーの大部分をエッチング液に吸収させる。
ある実施形態においては、接続路408を経由して、リモートプラズマ発生器406からチャンバー418にエッチング液が流入され、その混合物がシャワーヘッド414を通じて分配される。他の実施形態においては、エッチング液は、リモートプラズマ発生器406を完全にバイパスして、チャンバー418に直接流入される(例えば、システム400は、そのような発生器を有しない)。その代わりに、リモートプラズマ発生器406はエッチング液をチャンバー418に流入している間は、エッチング液を活性化する必要がないので、例えば電源が切られる。
シャワーヘッド414またはペデスタル420には、一般的には、内蔵プラズマ発生器416が装着されてもよい。一例においては、発生器416は、1MHzから100MHzの間の周波数において、約0Wから10000Wの間で供給することができる高周波(HF)発生器であってもよい。より特定の実施形態においては、HF発生器は13.56MHzにおいて約0Wから5000Wの間で供給してもよい。RF発生器416はインサイチュープラズマを発生して、初期のタングステン層の除去を促進してもよい。ある実施形態においては、RF発生器416はプロセスの除去処理の間は用いられない。
チャンバー418は、堆積およびエッチングの程度、濃度、圧力、温度、その他のさまざまなプロセスパラメータを検出するセンサー424を有してもよい。センサー424はプロセス中に、チャンバーの状態に関する情報をシステムコントローラ422に供給してもよい。センサー424の例として、マスフローコントローラ、圧力センサー、熱結合器、その他がある。センサー424は、チャンバー内のガスの存在を検出して測定を制御する赤外線検出器または光検出器をも有してよい。
堆積および選択的除去処理は、チャンバー418から取り除かれるさまざまな揮発性物質を生成する。さらに、プロセスは、チャンバー418内において、ある所定の圧力レベルで実行される。これらの機能はともに、例えば真空ポンプである真空アウトレット426を用いて行われる。
ある実施形態においては、プロセスパラメータの制御にシステムコントローラ422が用いられる。システムコントローラ422は、通常は、1以上のメモリデバイスおよび1以上のプロセッサを有する。プロセッサは、CPUまたはコンピュータ、アナログおよび/またはデジタル入出力接続部、ステッピングモーターコントローラ基板などを有してもよい。一般的には、システムコントローラ422と関連するユーザインターフェイスが設けられる。ユーザインターフェイスは、表示スクリーン、装置および/またはプロセス条件のグラフィカルソフトウェア表示、および、ポインティングデバイス、キーボード、タッチスクリーン、マイクなどのユーザ入力デバイスを含んでもよい。
ある実施形態においては、システムコントローラ422は基板温度、エッチング液の流量、リモートプラズマ発生器406の出力電力、チャンバー418内の圧力、およびその他のプロセスパラメータを制御する。システムコントローラ422は、タイミング、ガスの混合、チャンバー圧力、チャンバー温度、その他の特定のプロセスのパラメータを制御する複数の命令を含むシステム制御ソフトを実行する。実施形態によっては、コントローラと対応付けてメモリデバイスに格納された他のコンピュータプログラムが用いられてもよい。
プロセスシーケンスにおけるプロセスを制御するコンピュータプログラムコードは、コンピュータがリードすることができる公知のどのようなプログラム言語で記述されてもよい。例えば、アセンブリ言語、C、C++、パスカル、フォートラン、その他である。コンパイルされたオブジェクトコードまたはスクリプトは、プロセッサによって実行されて、プログラムで特定されるタスクを処理する。システムソフトウェアは、さまざまな異なる方法で設計され、実装されてよい。例えば、さまざまなチャンバーコンポーネントサブルーチンまたは制御オブジェクトは、記載されたプロセスを実行するために必要なチャンバーコンポーネントの処理を制御するべく記述される。これを目的としたプログラムまたはプログラムのセクションの一例は、プロセスガス制御コード、圧力制御コード、およびプラズマ制御コードである。
コントローラパラメータは、例えば、それぞれの処理のタイミング、チャンバー内の圧力、基板温度、エッチング液の流量などのプロセス条件に関係する。これらのパラメータは、レシピ形式でユーザに提供され、ユーザインターフェイスを用いて入力される。プロセスを監視する信号は、システムコントローラ422のアナログおよび/またはデジタル入出力接続部により供給される。プロセスを制御する信号は、装置400のアナログおよびデジタル出力接続部に出力される。
(マルチステーション装置)
図5Aは、マルチステーション装置500の一例を示す。装置500は、プロセスチャンバー501、および処理される基板および処理が完了した基板を保持する1以上のカセット503(例えば、前面で開くユニファイドポート)を有する。チャンバー501は、複数のステーション、例えば、2台のステーション、3台のステーション、4台のステーション、5台のステーション、6台のステーション、7台のステーション、8台のステーション、10台のステーション、または、その他何台のステーションを備えてもよい。ステーションの台数は、普通はプロセス処理の複雑さと、共有環境で実行することができるこれらの処理の数に応じて選択される。図5Aは、511から516の符号が付された6台のステーションを備えるプロセスチャンバー501を示す。1つのプロセスチャンバー503を有するマルチステーション装置500内の全てのステーションは、同じ圧力環境下に置かれる。しかし、それぞれのステーションは、特定の反応分布システムと、ローカルプラズマと、図4に示されたような専用のプラズマ発生器およびペデスタルによって用いられる熱条件とを有してよい。
処理される基板は、ロードロック505を介して、カセット503のうちの一つからステーション511に引き出される。外部ロボット507が、基板をカセット503からロードロック505への移動に用いられてもよい。図に示された実施形態においては、2つの離れたロードロック505がある。これらには、通常、(プロセスチャンバー503の内部環境に対応するレベルに圧力が一度均衡すると、)基板をロードロック505からステーション511に移動して、プロセスチャンバー503から取り除くために、ステーション516からロードロック505に戻す基板搬送デバイスが設けられている。内部ロボット509は、プロセスステーション511から516の間で基板を搬送するために用いられ、後述するように、処理期間中にいくつかの基板を支持する。
ある実施形態においては、1以上のステーションが基板の加熱に確保される。そのようなステーションは、基板上に配置された加熱ランプ(不図示)、および/または、図4に示されたものと同様の基板を支持する加熱ペデスタルを有してもよい。例えば、ステーション511は、ロードロックから基板を受け取り、さらなる処理の前に基板を予備加熱するのに用いられる。他のステーションは堆積および選択的除去処理を含む高アスペクト比のフィーチャーの充填に用いられてもよい。
基板が加熱された後、または、ステーション511において処理された後で、基板は連続的に、プロセスステーション512、513、514、515、および516に移動されてよく、それらはシーケンシャルに行われてもよく、シーケンシャルに行われなくてもよい。マルチステーション装置500は、全てのステーションが同じ圧力環境下に置かれる。そうすることによって、ロードロックのような搬送ポートがない場合であっても、チャンバー501内で、ステーション511から他のステーションに基板を搬送することができる。
内部ロボット509は、ステーション511からステーション516の間で基板を搬送するのに用いられる。ロボット509は、それぞれのプロセスステーションごと(ステーション間を延ばして示している)に少なくとも1本のアームを持つフィンを有する。プロセスステーションに隣接するアームの末端には、アームから延伸してそれぞれの側に2本ずつの4本のフィンガーがある。これらのフィンガーは、プロセスステーション内で基板を持ち上げ、下げ、位置決めするのに用いられる。例えば、マルチステーション装置が6台のプロセスステーションを備える一実施形態においては、スピンドルアセンブリは1つのフィンに6本のアームを有する6個のアームが回転するアセンブリである。例えば、図に示されるように、スピンドルアセンブリのフィンは、それぞれ4本のフィンガーを持つ6本のアームを有する。4本のフィンガーの組、つまり、第1のアームの2本のフィンガーと隣接する第2のアーム上の2本のフィンガーとは、1台のステーションから他のステーションへと基板を持ち上げ、位置決めし、下げるのに用いられる。このようにして、装置には、ペデスタル、ステーション、および基板ごとに4本のフィンガーが設けられる。
一実施形態においては、1台以上のステーションが、フィーチャーをタングステン含有材料で充填するのに用いられる。例えば、ステーション512が最初の堆積処理に用いられ、ステーション513が対応する選択的除去処理に用いられる。堆積−除去サイクルが繰り返される実施形態においては、ステーション514が他の堆積処理で用いられ、ステーション515が他の部分除去処理で用いられてもよい。セクション516は、最後の充填処理で用いられてよい。特定のプロセス(加熱、充填、および除去)に、どのようなステーションを指定するように構成してもよいことが理解されよう。
上述のマルチステーション装置の代わりに、この方法は、基板を単一のプロセスステーション内でバッチモード(つまり、シーケンシャルでないモード)で処理する単一基板チャンバーまたはマルチステーションチャンバーで実施されてもよい。本発明のこの側面では、基板はチャンバーに引き出され、単一のプロセスステーション(一つだけのプロセスステーションを有しているか、バッチモードで動作する複数のステーションを有しているかによらない)のペデスタルに置かれる。続いて、基板は加熱され、堆積処理が実行される。次に、チャンバー内のプロセス条件が調整され、続いて、選択的除去処理が実行される。プロセスは、1以上の堆積−除去サイクルを繰返し、同一のステーションにおいて、最後の充填処理まで続く。これに代わり、単一のステーション装置が、複数のウェハに対して新しい方法の一つの処理のみを実行するためにまず用いられ(例えば、堆積、選択的除去、最後の充填)、その後、基板が同一のステーションに戻されるか、異なるステーション(例えば、異なる装置の)に移動されて、1以上の残りの処理を実行してもよい。
図5Bは、特定の実施形態において用いられるマルチチャンバー装置520の構成図である。同図に示されるように、装置520は別々のチャンバー521、523、および525を有する。これらのチャンバーのそれぞれは、2つのペデスタルとともに示されている。装置は、何台のチャンバーを有してもよく(例えば、1、2、3、4、5、6など)、それぞれのチャンバーは、何台のチャンバーを有してもよい(例えば、1、2、3、4、5、6など)。チャンバー521から525のそれぞれは、独自の圧力環境を有してよく、チャンバー間で共通でなくてもよい。それぞれのチャンバーは、1以上の対応する搬送ポート(例えば、ロードロック)を有しても良い。装置は、基板を搬送ポートと1以上のカセット529間で搬送する共有の基板操作ロボット527を有してもよい。
(実施例)
堆積された材料および生成されたシームの選択的除去における異なるプロセス条件の効果を確認するべく、いくつかの実験を行った。基板温度を上昇させ、エッチング液の流量を下げることにより、フィーチャー内における大量輸送が制限されたエッチングによって、フィーチャー内部よりも開口付近において、より多くの材料がエッチング除去されることが判明した。
ある実験においては、異なるエッチング条件と、それがステップカバレッジに与える影響を評価した。断面に約250ナノメートルの開口を有し、アスペクト比が約10:1のフィーチャーを有する基板を用いた。最初に、約395℃の基板温度で、タングステンフロライド(WF)をアルゴンおよび水素の環境に約200sccmの速度で流入させ、フィーチャーをタングステンで充填した。そして、フィーチャー内のタングステンの分布を解析するために、いくつかの基板を切断した。開口付近(平均すると約639オングストロームの厚さ)に比べて、フィーチャー内部(平均すると約862オングストロームの厚さ)の方が少し薄く、約62%のステップカバレッジになっていることが判明した。
残りの基板を2つのグループに分けた。第一のグループの基板を、参照プロセス条件、つまり、約8トールの圧力のチャンバー、約350℃の基板温度、約2000sccmの三フッ化窒素(NF)、および約4秒のエッチング期間という条件を用いてエッチングした。このグループのいくつかの基板をエッチング後に切断して、フィーチャー内部のタングステン分布を解析した。内部の厚みが、約107%のステップカバレッジに対して、平均約464オングストロームの厚みであるところ、開口の厚み(開口付近のタングステン層の厚み)は平均約497オングストロームであることが判明した。
第二の基板(wafer)のグループは異なる(「改善された」)プロセス条件を用いてエッチングされた。これらの新しい条件によって、フィーチャー内部のエッチングが、大量輸送が制限された形態になるので、ステップカバレッジをさらに改善することができると考えていた。エッチング流量速度を約400sccmに低下するとともに、基板温度を約395℃に上昇させた。約12秒間に渡って、2トールに維持されたチャンバー内でエッチングした。残りのエッチング層は開口付近(平均厚が約344オングストローム)に比べて、フィーチャー内部では非常に厚かった(平均厚が約555オングストローム)。算出されたステップカバレッジは161%であった。
図6Aは、上記実験において用いられたものと同様に、フィーチャー601とともにタングステン含有層605が形成され、部分的に製造された半導体基板603に設けられるフィーチャー601の構成図を示す。同図は、また、層厚の異なる測定位置を特定している。図6Bは、2つの異なるプロセス条件でのエッチング前後のタングステン含有層の厚みの分布を示すグラフである。グラフの水平軸は、図6Aに示された測定位置に対応する。グラフに記載された厚みの値は、フィールド領域(測定位置1および16)のそれぞれの値に正規化されている。一番下に示す細い線607は、エッチング前のフィーチャー内における厚み分布を示している。この線は、一般的に、堆積後の層厚は、開口付近よりもフィーチャー内部における方が少し薄いことを示している。真ん中の太い線609は、参照エッチング条件でエッチングされた基板の厚み分布を示している。この分布は、線607よりも少し大きなステップカバレッジを示している。最後に、一番上の細い線611は、「改善された」条件を用いてエッチングされたタングステンの分布を表している。ステップカバレッジが実質的に改善されたことを示している。最も低い(深い)測定位置(フィーチャーの底部に対して約30−40%にある位置8、9、および10)における厚みは、フィールド領域付近(位置1、2、15、および16)における厚みのほとんど2倍である。
(結論)
明確に理解できるようにすることを目的として、上述の発明の詳細について説明したが、添付の請求項の範囲内で、変更および改変をすることができることは明らかである。本願発明に係るプロセス、システム、および装置を実施する多くの代替方法があることに留意すべきである。従って、本詳細な説明は、これに制限されるものではなく、例示的なものであり、本願発明はここに記載された詳細に限定されるものではない。

Claims (20)

  1. 部分的に製造された半導体基板に設けられた高アスペクト比のフィーチャーを充填する方法であって、
    タングステン含有前駆体および還元剤をプロセスチャンバーに導入する工程と、
    前記タングステン含有前駆体と前記還元剤との間の化学気相成長反応によって前記部分的に製造された半導体基板にタングステン含有材料の層を堆積し、前記堆積された堆積層で高アスペクト比の前記フィーチャーを部分的に充填する堆積工程と、
    開口付近の前記堆積層の平均厚の減少が、前記フィーチャー内部における前記堆積層の平均厚の減少よりも大きくなるように前記堆積層の一部を選択的に除去して、エッチングされた層を形成する選択的除去工程と
    を備える方法。
  2. 前記開口付近の減少が、前記フィーチャー内部の減少よりも少なくとも約10%大きい請求項1に記載の方法。
  3. 前記開口付近の減少が、前記フィーチャー内部の減少よりも少なくとも約25%大きい請求項1に記載の方法。
  4. 前記高アスペクト比のフィーチャーは、少なくとも約2のアスペクト比を有する請求項1に記載の方法。
  5. 前記開口付近における前記堆積層の平均厚は、前記フィーチャーの断面寸法の約5%から50%の間である請求項1に記載の方法。
  6. 前記堆積工程は、前記基板に先に堆積された下部層を覆うように実行され、前記開口付近における前記下部層の平均厚は、前記フィーチャー内部の前記下部層の平均厚よりも少なくとも約25%大きい請求項1に記載の方法。
  7. 前記選択的除去工程は、前記プロセスチャンバーにエッチング液を導入する工程と、前記エッチング液を前記堆積層と反応させる工程とを有する請求項1に記載の方法。
  8. 前記反応中の前記基板の温度は、少なくとも約摂氏300度である請求項7に記載の方法。
  9. 前記エッチング液は、大量輸送条件に対応する流量で前記チャンバーに導入される請求項7に記載の方法。
  10. 前記エッチング液は、リモートプラズマ発生器から前記プロセスチャンバーに導入される請求項7に記載の方法。
  11. 前記堆積工程を繰り返して第2の堆積層を形成する段階と、前記選択的除去工程を繰り返して第2のエッチングされた層を形成する段階とをさらに備える請求項1に記載の方法。
  12. 前記選択的除去工程を繰り返す場合には、それ以前の前記選択的除去工程と異なるプロセス条件で実行される請求項11に記載の方法。
  13. 前記開口付近における前記第2の堆積層の平均厚の減少は、前記フィーチャー内部の前記第2の堆積層の平均厚の減少よりも、少なくとも約10%は大きい請求項11に記載の方法。
  14. 前記タングステン含有前駆体と前記還元剤との間の化学気相成長反応によって、前記高アスペクト比のフィーチャーが閉じるまで、前記部分的に製造された半導体基板に前記タングステン含有材料を堆積する工程をさらに備える請求項1に記載の方法。
  15. 閉じた前記高アスペクト比のフィーチャーはシームを有し、前記高アスペクト比のフィーチャーの深さに対してフィールド領域から少なくとも約20%の位置で前記シームが終端する請求項14に記載の方法。
  16. 前記堆積工程および前記選択的除去工程はマルチステーション装置の異なるステーションにおいて実行される請求項1に記載の方法。
  17. 前記基板は、前記堆積工程の間に閉じられ、前記選択的除去工程の後にも閉じたままとなる第2のフィーチャーを有する請求項1に記載の方法。
  18. 前記高アスペクト比のフィーチャーは前記堆積工程の間に閉じて、前記選択的除去工程の間に開く請求項1に記載の方法。
  19. 部分的に製造された半導体基板に設けられた高アスペクト比のフィーチャーを充填する方法であって、
    タングステン含有前駆体および還元剤をプロセスチャンバーに導入する工程と、
    前記タングステン含有前駆体と前記還元剤との間の化学気相成長反応によって前記部分的に製造された半導体基板にタングステン含有材料の層を堆積し、前記堆積された堆積層で高アスペクト比の前記フィーチャーを部分的に充填する堆積工程と、
    開口の付近における前記層の平均厚が前記フィーチャー内部の前記層の平均厚よりも小さくなるように、エッチング液を前記プロセスチャンバーに導入し、前記エッチング液を前記堆積層と反応させて、前記堆積層の一部を選択的に除去してエッチングされた層を形成する選択的除去工程と、
    前記高アスペクト比のフィーチャーが閉じるまで、前記タングステン含有前駆体と還元剤との間の化学気相成長反応によって、前記部分的に製造された半導体基板に前記タングステン含有材料を堆積させる工程と
    を備え、
    前記高アスペクト比のフィーチャーは少なくとも約2のアスペクト比を有する方法。
  20. 部分的に製造された半導体基板に設けられた高アスペクト比のフィーチャーを充填する半導体プロセス装置であって、
    前記基板を載置する1以上の堆積ステーションを有するプロセスチャンバーと、
    前記基板を予め定められた温度まで加熱する加熱部と、
    タングステン含有前駆体および還元剤を前記プロセスチャンバーに導入し、
    前記タングステン含有前駆体と前記還元剤との間の化学気相成長反応によって、前記部分的に製造された半導体基板にタングステン含有材料の層を堆積し、前記層で前記高アスペクト比のフィーチャーを部分的に充填し、
    開口の付近における前記層の平均厚が前記フィーチャー内部の前記層の平均厚よりも小さくなるように、前記堆積された前記層の一部を選択的に除去してエッチングされた層を形成するプログラム命令を有するコントローラと
    を備える半導体プロセス装置。
JP2009292610A 2009-08-04 2009-12-24 高アスペクト比のフィーチャーへのタングステン堆積方法 Pending JP2011035366A (ja)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US12/535,464 US8119527B1 (en) 2009-08-04 2009-08-04 Depositing tungsten into high aspect ratio features

Publications (1)

Publication Number Publication Date
JP2011035366A true JP2011035366A (ja) 2011-02-17

Family

ID=43764096

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2009292610A Pending JP2011035366A (ja) 2009-08-04 2009-12-24 高アスペクト比のフィーチャーへのタングステン堆積方法

Country Status (4)

Country Link
US (1) US8119527B1 (ja)
JP (1) JP2011035366A (ja)
KR (1) KR101327258B1 (ja)
TW (1) TWI495756B (ja)

Cited By (31)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2013032575A (ja) * 2011-07-06 2013-02-14 Tokyo Electron Ltd タングステン膜の成膜方法
JP2015018879A (ja) * 2013-07-09 2015-01-29 東京エレクトロン株式会社 基板処理方法及び制御装置
JP2015038964A (ja) * 2013-05-24 2015-02-26 ラム リサーチ コーポレーションLam Research Corporation 3次元半導体フィーチャ内での空隙を含まないタングステン充填のための方法および装置
JP2015512568A (ja) * 2012-03-27 2015-04-27 ノベラス・システムズ・インコーポレーテッドNovellus Systems Incorporated タングステンによるフィーチャ充填
JP2015514160A (ja) * 2012-03-27 2015-05-18 ノベラス・システムズ・インコーポレーテッドNovellus Systems Incorporated 核形成の抑制を伴うタングステンによるフィーチャ充填
JP2015221940A (ja) * 2014-05-09 2015-12-10 ラム リサーチ コーポレーションLam Research Corporation 塩化タングステン前駆体を使用してタングステンおよび窒化タングステン薄膜を準備する方法
JP2016222954A (ja) * 2015-05-28 2016-12-28 東京エレクトロン株式会社 金属膜の成膜方法
US9748137B2 (en) 2014-08-21 2017-08-29 Lam Research Corporation Method for void-free cobalt gap fill
US9754824B2 (en) 2015-05-27 2017-09-05 Lam Research Corporation Tungsten films having low fluorine content
JP2018509598A (ja) * 2015-01-19 2018-04-05 インテグリス・インコーポレーテッド 赤外線および紫外線を監視するための小容積、長経路長のマルチパスガスセル
US9953984B2 (en) 2015-02-11 2018-04-24 Lam Research Corporation Tungsten for wordline applications
US9972504B2 (en) 2015-08-07 2018-05-15 Lam Research Corporation Atomic layer etching of tungsten for enhanced tungsten deposition fill
US9978605B2 (en) 2015-05-27 2018-05-22 Lam Research Corporation Method of forming low resistivity fluorine free tungsten film without nucleation
US9978610B2 (en) 2015-08-21 2018-05-22 Lam Research Corporation Pulsing RF power in etch process to enhance tungsten gapfill performance
US9997405B2 (en) 2014-09-30 2018-06-12 Lam Research Corporation Feature fill with nucleation inhibition
US10170320B2 (en) 2015-05-18 2019-01-01 Lam Research Corporation Feature fill with multi-stage nucleation inhibition
US10211099B2 (en) 2016-12-19 2019-02-19 Lam Research Corporation Chamber conditioning for remote plasma process
US10256142B2 (en) 2009-08-04 2019-04-09 Novellus Systems, Inc. Tungsten feature fill with nucleation inhibition
US10316410B2 (en) 2016-09-13 2019-06-11 Tokyo Electron Limited Method of filling recesses in substrate with tungsten
US10381266B2 (en) 2012-03-27 2019-08-13 Novellus Systems, Inc. Tungsten feature fill with nucleation inhibition
US10566211B2 (en) 2016-08-30 2020-02-18 Lam Research Corporation Continuous and pulsed RF plasma for etching metals
US10573522B2 (en) 2016-08-16 2020-02-25 Lam Research Corporation Method for preventing line bending during metal fill process
US11348795B2 (en) 2017-08-14 2022-05-31 Lam Research Corporation Metal fill process for three-dimensional vertical NAND wordline
US11437269B2 (en) 2012-03-27 2022-09-06 Novellus Systems, Inc. Tungsten feature fill with nucleation inhibition
JP2022551965A (ja) * 2019-10-15 2022-12-14 ラム リサーチ コーポレーション モリブデン充填
US11549175B2 (en) 2018-05-03 2023-01-10 Lam Research Corporation Method of depositing tungsten and other metals in 3D NAND structures
US11970776B2 (en) 2019-01-28 2024-04-30 Lam Research Corporation Atomic layer deposition of metal films
US11972952B2 (en) 2018-12-14 2024-04-30 Lam Research Corporation Atomic layer deposition on 3D NAND structures
US11978666B2 (en) 2018-12-05 2024-05-07 Lam Research Corporation Void free low stress fill
US12002679B2 (en) 2019-04-11 2024-06-04 Lam Research Corporation High step coverage tungsten deposition
US12014928B2 (en) 2018-07-31 2024-06-18 Lam Research Corporation Multi-layer feature fill

Families Citing this family (272)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8129270B1 (en) 2008-12-10 2012-03-06 Novellus Systems, Inc. Method for depositing tungsten film having low resistivity, low roughness and high reflectivity
US20100144140A1 (en) * 2008-12-10 2010-06-10 Novellus Systems, Inc. Methods for depositing tungsten films having low resistivity for gapfill applications
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US9548228B2 (en) 2009-08-04 2017-01-17 Lam Research Corporation Void free tungsten fill in different sized features
US9034768B2 (en) 2010-07-09 2015-05-19 Novellus Systems, Inc. Depositing tungsten into high aspect ratio features
US8124531B2 (en) 2009-08-04 2012-02-28 Novellus Systems, Inc. Depositing tungsten into high aspect ratio features
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8159247B2 (en) * 2009-10-06 2012-04-17 International Business Machines Corporation Yield enhancement for stacked chips through rotationally-connecting-interposer
US8883637B2 (en) * 2011-06-30 2014-11-11 Novellus Systems, Inc. Systems and methods for controlling etch selectivity of various materials
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9330975B2 (en) * 2012-05-31 2016-05-03 Micron Technology, Inc. Integrated circuit substrates comprising through-substrate vias and methods of forming through-substrate vias
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US11043386B2 (en) 2012-10-26 2021-06-22 Applied Materials, Inc. Enhanced spatial ALD of metals through controlled precursor mixing
US9230815B2 (en) * 2012-10-26 2016-01-05 Appled Materials, Inc. Methods for depositing fluorine/carbon-free conformal tungsten
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
WO2015023404A1 (en) * 2013-08-16 2015-02-19 Applied Materials, Inc. Tungsten deposition with tungsten hexafluoride (wf6) etchback
US20160379879A1 (en) * 2013-11-27 2016-12-29 Tokyo Electron Limited Tungsten film forming method
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9797042B2 (en) * 2014-05-15 2017-10-24 Lam Research Corporation Single ALD cycle thickness control in multi-station substrate deposition systems
US9899234B2 (en) 2014-06-30 2018-02-20 Lam Research Corporation Liner and barrier applications for subtractive metal integration
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9349637B2 (en) 2014-08-21 2016-05-24 Lam Research Corporation Method for void-free cobalt gap fill
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US9595466B2 (en) * 2015-03-20 2017-03-14 Applied Materials, Inc. Methods for etching via atomic layer deposition (ALD) cycles
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10468263B2 (en) 2015-12-19 2019-11-05 Applied Materials, Inc. Tungsten deposition without barrier layer
US10991586B2 (en) 2015-12-19 2021-04-27 Applied Materials, Inc. In-situ tungsten deposition without barrier layer
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10049927B2 (en) * 2016-06-10 2018-08-14 Applied Materials, Inc. Seam-healing method upon supra-atmospheric process in diffusion promoting ambient
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
WO2018111547A1 (en) * 2016-12-15 2018-06-21 Applied Materials, Inc. Nucleation-free gap fill ald process
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US20190067014A1 (en) * 2017-08-30 2019-02-28 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor device structures
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10697059B2 (en) 2017-09-15 2020-06-30 Lam Research Corporation Thickness compensation by modulation of number of deposition cycles as a function of chamber accumulation for wafer to wafer film thickness matching
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102404056B1 (ko) * 2017-11-16 2022-05-31 삼성전자주식회사 반도체 장치의 제조 방법
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
TWI779134B (zh) 2017-11-27 2022-10-01 荷蘭商Asm智慧財產控股私人有限公司 用於儲存晶圓匣的儲存裝置及批爐總成
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
KR102657269B1 (ko) 2018-02-14 2024-04-16 에이에스엠 아이피 홀딩 비.브이. 주기적 증착 공정에 의해 기판 상에 루테늄-함유 막을 증착하는 방법
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
CN112041969A (zh) * 2018-04-24 2020-12-04 应用材料公司 无阻挡层的钨沉积
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
JP7126381B2 (ja) 2018-05-21 2022-08-26 東京エレクトロン株式会社 成膜装置および成膜方法
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
TWI819010B (zh) 2018-06-27 2023-10-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
TWI751420B (zh) 2018-06-29 2022-01-01 荷蘭商Asm知識產權私人控股有限公司 薄膜沉積方法
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的***及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP7504584B2 (ja) 2018-12-14 2024-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
JP7509548B2 (ja) 2019-02-20 2024-07-02 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TWI839544B (zh) 2019-07-19 2024-04-21 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112992667A (zh) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 形成氮化钒层的方法和包括氮化钒层的结构
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11665916B2 (en) * 2020-02-12 2023-05-30 Winbond Electronics Corp. Memory devices and methods for forming the same
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220006455A (ko) 2020-07-08 2022-01-17 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0794488A (ja) * 1993-09-20 1995-04-07 Tokyo Electron Ltd 真空処理装置集合体のクリーニング方法
JPH09326436A (ja) * 1996-06-06 1997-12-16 Sony Corp 配線形成方法
JPH10144790A (ja) * 1996-11-08 1998-05-29 Sony Corp 半導体装置における配線形成方法
JPH10178014A (ja) * 1996-12-17 1998-06-30 Shibaura Eng Works Co Ltd 半導体装置の製造方法
JP2001007048A (ja) * 1999-05-24 2001-01-12 Lucent Technol Inc 半導体基板上にタングステンを堆積する方法
JP2001274114A (ja) * 2000-03-28 2001-10-05 Toshiba Corp 半導体装置の製造方法
JP2002009017A (ja) * 2000-06-22 2002-01-11 Mitsubishi Electric Corp 半導体装置の製造方法
JP2002305162A (ja) * 2002-01-11 2002-10-18 Ulvac Japan Ltd Cvd装置
JP2010225697A (ja) * 2009-03-19 2010-10-07 Oki Semiconductor Co Ltd 半導体装置の製造方法

Family Cites Families (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5164330A (en) 1991-04-17 1992-11-17 Intel Corporation Etchback process for tungsten utilizing a NF3/AR chemistry
JP3538970B2 (ja) 1995-05-24 2004-06-14 ヤマハ株式会社 配線形成法
US5747379A (en) 1996-01-11 1998-05-05 Taiwan Semiconductor Manufacturing Company, Ltd. Method of fabricating seamless tungsten plug employing tungsten redeposition and etch back
US5866483A (en) 1997-04-04 1999-02-02 Applied Materials, Inc. Method for anisotropically etching tungsten using SF6, CHF3, and N2
US5807786A (en) 1997-07-30 1998-09-15 Taiwan Semiconductor Manufacturing Company, Ltd. Method of making a barrier layer to protect programmable antifuse structure from damage during fabrication sequence
TW359884B (en) 1998-01-07 1999-06-01 Nanya Technology Co Ltd Multi-level interconnects with I-plug and production process therefor
TW436366B (en) 1998-08-21 2001-05-28 United Microelectronics Corp Method of fabricating a plug
US6245654B1 (en) 1999-03-31 2001-06-12 Taiwan Semiconductor Manufacturing Company, Ltd Method for preventing tungsten contact/via plug loss after a backside pressure fault
US6376376B1 (en) 2001-01-16 2002-04-23 Chartered Semiconductor Manufacturing Ltd. Method to prevent CU dishing during damascene formation
US7141494B2 (en) * 2001-05-22 2006-11-28 Novellus Systems, Inc. Method for reducing tungsten film roughness and improving step coverage
US7005372B2 (en) 2003-01-21 2006-02-28 Novellus Systems, Inc. Deposition of tungsten nitride
US6635965B1 (en) 2001-05-22 2003-10-21 Novellus Systems, Inc. Method for producing ultra-thin tungsten layers with improved step coverage
JP2002353161A (ja) * 2001-05-25 2002-12-06 Mitsubishi Electric Corp 半導体装置の製造方法及び半導体装置
JP2003142484A (ja) * 2001-10-31 2003-05-16 Mitsubishi Electric Corp 半導体装置の製造方法
KR20030058853A (ko) * 2002-01-02 2003-07-07 주식회사 하이닉스반도체 반도체 소자의 플러그 형성 방법
US6802944B2 (en) * 2002-10-23 2004-10-12 Applied Materials, Inc. High density plasma CVD process for gapfill into high aspect ratio features
KR100542740B1 (ko) 2002-11-11 2006-01-11 삼성전자주식회사 가스 플라즈마 생성 방법 및 장치, 플라즈마 생성용 가스조성물 및 이를 이용한 반도체 장치의 제조 방법
KR100528073B1 (ko) * 2003-04-07 2005-11-15 동부아남반도체 주식회사 반도체소자 제조방법
US6844258B1 (en) 2003-05-09 2005-01-18 Novellus Systems, Inc. Selective refractory metal and nitride capping
KR20050011479A (ko) * 2003-07-23 2005-01-29 주식회사 하이닉스반도체 반도체 소자의 텅스텐 콘택플러그 형성방법
US7199045B2 (en) 2004-05-26 2007-04-03 Taiwan Semiconductor Manufacturing Company, Ltd. Metal-filled openings for submicron devices and methods of manufacture thereof
JP4783169B2 (ja) * 2006-02-13 2011-09-28 パナソニック株式会社 ドライエッチング方法、微細構造形成方法、モールド及びその製造方法
US20100072623A1 (en) * 2008-09-19 2010-03-25 Advanced Micro Devices, Inc. Semiconductor device with improved contact plugs, and related fabrication methods
US20100144140A1 (en) 2008-12-10 2010-06-10 Novellus Systems, Inc. Methods for depositing tungsten films having low resistivity for gapfill applications
US8124531B2 (en) 2009-08-04 2012-02-28 Novellus Systems, Inc. Depositing tungsten into high aspect ratio features

Patent Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0794488A (ja) * 1993-09-20 1995-04-07 Tokyo Electron Ltd 真空処理装置集合体のクリーニング方法
JPH09326436A (ja) * 1996-06-06 1997-12-16 Sony Corp 配線形成方法
JPH10144790A (ja) * 1996-11-08 1998-05-29 Sony Corp 半導体装置における配線形成方法
JPH10178014A (ja) * 1996-12-17 1998-06-30 Shibaura Eng Works Co Ltd 半導体装置の製造方法
JP2001007048A (ja) * 1999-05-24 2001-01-12 Lucent Technol Inc 半導体基板上にタングステンを堆積する方法
JP2001274114A (ja) * 2000-03-28 2001-10-05 Toshiba Corp 半導体装置の製造方法
JP2002009017A (ja) * 2000-06-22 2002-01-11 Mitsubishi Electric Corp 半導体装置の製造方法
JP2002305162A (ja) * 2002-01-11 2002-10-18 Ulvac Japan Ltd Cvd装置
JP2010225697A (ja) * 2009-03-19 2010-10-07 Oki Semiconductor Co Ltd 半導体装置の製造方法

Cited By (47)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10256142B2 (en) 2009-08-04 2019-04-09 Novellus Systems, Inc. Tungsten feature fill with nucleation inhibition
US10103058B2 (en) 2009-08-04 2018-10-16 Novellus Systems, Inc. Tungsten feature fill
US11075115B2 (en) 2009-08-04 2021-07-27 Novellus Systems, Inc. Tungsten feature fill
US11410883B2 (en) 2009-08-04 2022-08-09 Novellus Systems, Inc. Tungsten feature fill with nucleation inhibition
US9653353B2 (en) 2009-08-04 2017-05-16 Novellus Systems, Inc. Tungsten feature fill
JP2013032575A (ja) * 2011-07-06 2013-02-14 Tokyo Electron Ltd タングステン膜の成膜方法
JP2015512568A (ja) * 2012-03-27 2015-04-27 ノベラス・システムズ・インコーポレーテッドNovellus Systems Incorporated タングステンによるフィーチャ充填
US11437269B2 (en) 2012-03-27 2022-09-06 Novellus Systems, Inc. Tungsten feature fill with nucleation inhibition
KR102064627B1 (ko) * 2012-03-27 2020-01-09 노벨러스 시스템즈, 인코포레이티드 텅스텐 피처 충진
JP2015514160A (ja) * 2012-03-27 2015-05-18 ノベラス・システムズ・インコーポレーテッドNovellus Systems Incorporated 核形成の抑制を伴うタングステンによるフィーチャ充填
US10381266B2 (en) 2012-03-27 2019-08-13 Novellus Systems, Inc. Tungsten feature fill with nucleation inhibition
JP2015038964A (ja) * 2013-05-24 2015-02-26 ラム リサーチ コーポレーションLam Research Corporation 3次元半導体フィーチャ内での空隙を含まないタングステン充填のための方法および装置
JP2015018879A (ja) * 2013-07-09 2015-01-29 東京エレクトロン株式会社 基板処理方法及び制御装置
JP2015221940A (ja) * 2014-05-09 2015-12-10 ラム リサーチ コーポレーションLam Research Corporation 塩化タングステン前駆体を使用してタングステンおよび窒化タングステン薄膜を準備する方法
US9748137B2 (en) 2014-08-21 2017-08-29 Lam Research Corporation Method for void-free cobalt gap fill
US9997405B2 (en) 2014-09-30 2018-06-12 Lam Research Corporation Feature fill with nucleation inhibition
US10580695B2 (en) 2014-09-30 2020-03-03 Lam Research Corporation Feature fill with nucleation inhibition
US11901227B2 (en) 2014-09-30 2024-02-13 Lam Research Corporation Feature fill with nucleation inhibition
JP2018509598A (ja) * 2015-01-19 2018-04-05 インテグリス・インコーポレーテッド 赤外線および紫外線を監視するための小容積、長経路長のマルチパスガスセル
US10451540B2 (en) 2015-01-19 2019-10-22 Entegris, Inc. Multi-pass gas cell with mirrors in openings of cylindrical wall for IR and UV monitoring
US9953984B2 (en) 2015-02-11 2018-04-24 Lam Research Corporation Tungsten for wordline applications
US10529722B2 (en) 2015-02-11 2020-01-07 Lam Research Corporation Tungsten for wordline applications
US10580654B2 (en) 2015-05-18 2020-03-03 Lam Research Corporation Feature fill with multi-stage nucleation inhibition
US10170320B2 (en) 2015-05-18 2019-01-01 Lam Research Corporation Feature fill with multi-stage nucleation inhibition
US10916434B2 (en) 2015-05-18 2021-02-09 Lam Research Corporation Feature fill with multi-stage nucleation inhibition
US10546751B2 (en) 2015-05-27 2020-01-28 Lam Research Corporation Forming low resistivity fluorine free tungsten film without nucleation
US9754824B2 (en) 2015-05-27 2017-09-05 Lam Research Corporation Tungsten films having low fluorine content
US9978605B2 (en) 2015-05-27 2018-05-22 Lam Research Corporation Method of forming low resistivity fluorine free tungsten film without nucleation
JP2016222954A (ja) * 2015-05-28 2016-12-28 東京エレクトロン株式会社 金属膜の成膜方法
US11069535B2 (en) 2015-08-07 2021-07-20 Lam Research Corporation Atomic layer etch of tungsten for enhanced tungsten deposition fill
US9972504B2 (en) 2015-08-07 2018-05-15 Lam Research Corporation Atomic layer etching of tungsten for enhanced tungsten deposition fill
US10395944B2 (en) 2015-08-21 2019-08-27 Lam Research Corporation Pulsing RF power in etch process to enhance tungsten gapfill performance
US9978610B2 (en) 2015-08-21 2018-05-22 Lam Research Corporation Pulsing RF power in etch process to enhance tungsten gapfill performance
US10573522B2 (en) 2016-08-16 2020-02-25 Lam Research Corporation Method for preventing line bending during metal fill process
US11355345B2 (en) 2016-08-16 2022-06-07 Lam Research Corporation Method for preventing line bending during metal fill process
US10566211B2 (en) 2016-08-30 2020-02-18 Lam Research Corporation Continuous and pulsed RF plasma for etching metals
US10316410B2 (en) 2016-09-13 2019-06-11 Tokyo Electron Limited Method of filling recesses in substrate with tungsten
US10211099B2 (en) 2016-12-19 2019-02-19 Lam Research Corporation Chamber conditioning for remote plasma process
US11348795B2 (en) 2017-08-14 2022-05-31 Lam Research Corporation Metal fill process for three-dimensional vertical NAND wordline
US11549175B2 (en) 2018-05-03 2023-01-10 Lam Research Corporation Method of depositing tungsten and other metals in 3D NAND structures
US12014928B2 (en) 2018-07-31 2024-06-18 Lam Research Corporation Multi-layer feature fill
US11978666B2 (en) 2018-12-05 2024-05-07 Lam Research Corporation Void free low stress fill
US11972952B2 (en) 2018-12-14 2024-04-30 Lam Research Corporation Atomic layer deposition on 3D NAND structures
US11970776B2 (en) 2019-01-28 2024-04-30 Lam Research Corporation Atomic layer deposition of metal films
US12002679B2 (en) 2019-04-11 2024-06-04 Lam Research Corporation High step coverage tungsten deposition
JP2022551965A (ja) * 2019-10-15 2022-12-14 ラム リサーチ コーポレーション モリブデン充填
JP2023113892A (ja) * 2019-10-15 2023-08-16 ラム リサーチ コーポレーション 3d nand及び他の用途のためのモリブデン充填

Also Published As

Publication number Publication date
KR20110014069A (ko) 2011-02-10
TW201105813A (en) 2011-02-16
KR101327258B1 (ko) 2013-11-08
TWI495756B (zh) 2015-08-11
US8119527B1 (en) 2012-02-21

Similar Documents

Publication Publication Date Title
JP2011035366A (ja) 高アスペクト比のフィーチャーへのタングステン堆積方法
US11410883B2 (en) Tungsten feature fill with nucleation inhibition
US9034768B2 (en) Depositing tungsten into high aspect ratio features
US10395944B2 (en) Pulsing RF power in etch process to enhance tungsten gapfill performance
US8835317B2 (en) Depositing tungsten into high aspect ratio features
TWI706509B (zh) 包含多段式抑制成核之特徵部塡充
US10381266B2 (en) Tungsten feature fill with nucleation inhibition
KR102496626B1 (ko) 리모트 플라즈마 프로세스를 위한 챔버 컨디셔닝
JP6494940B2 (ja) 異なるサイズのフィーチャへのボイドフリータングステン充填
US11437269B2 (en) Tungsten feature fill with nucleation inhibition
US10566211B2 (en) Continuous and pulsed RF plasma for etching metals
WO2013148444A1 (en) Tungsten feature fill with nucleation inhibition
KR101340793B1 (ko) 고 종횡비 특징부 내부로 텅스텐 증착하기
US20230041794A1 (en) Tungsten feature fill with nucleation inhibition
TWI847146B (zh) 用於遠程電漿程序之腔室調節方法
SG190631A1 (en) Depositing tungsten into high aspect ratio features

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20121225

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20140213

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20140225

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20140526

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20140529

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20140625

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20140630

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20140725

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20150210

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20150609

A911 Transfer to examiner for re-examination before appeal (zenchi)

Free format text: JAPANESE INTERMEDIATE CODE: A911

Effective date: 20150616

A912 Re-examination (zenchi) completed and case transferred to appeal board

Free format text: JAPANESE INTERMEDIATE CODE: A912

Effective date: 20150828